KR101786223B1 - 부유 보호제를 갖는 리소그래픽 레지스트 - Google Patents

부유 보호제를 갖는 리소그래픽 레지스트 Download PDF

Info

Publication number
KR101786223B1
KR101786223B1 KR1020150164999A KR20150164999A KR101786223B1 KR 101786223 B1 KR101786223 B1 KR 101786223B1 KR 1020150164999 A KR1020150164999 A KR 1020150164999A KR 20150164999 A KR20150164999 A KR 20150164999A KR 101786223 B1 KR101786223 B1 KR 101786223B1
Authority
KR
South Korea
Prior art keywords
group
resist
resist material
protective agent
workpiece
Prior art date
Application number
KR1020150164999A
Other languages
English (en)
Other versions
KR20160104539A (ko
Inventor
쉐-안 첸
칭-유 창
치엔-웨이 왕
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160104539A publication Critical patent/KR20160104539A/ko
Application granted granted Critical
Publication of KR101786223B1 publication Critical patent/KR101786223B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/22Esters containing halogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/22Esters containing halogen
    • C08F220/24Esters containing halogen containing perhaloalkyl radicals
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/38Esters containing sulfur
    • C08F220/387Esters containing sulfur and containing nitrogen and oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • C08L33/14Homopolymers or copolymers of esters of esters containing halogen, nitrogen, sulfur, or oxygen atoms in addition to the carboxy oxygen
    • C08L33/16Homopolymers or copolymers of esters containing halogen atoms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2205/00Polymer mixtures characterised by other features
    • C08L2205/02Polymer mixtures characterised by other features containing two or more polymers of the same C08L -group
    • C08L2205/025Polymer mixtures characterised by other features containing two or more polymers of the same C08L -group containing two or more polymers of the same hierarchy C08L, and differing only in parameters such as density, comonomer content, molecular weight, structure

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Organic Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

본 발명에서는 환경 오염물에 대한 개선된 내성을 부여하는 집적 회로 워크피스와 같은 워크피스를 패턴화하기 위한 개선된 레지스트 재료 및 기술이 제공된다. 예시적인 실시예에서, 그러한 방법은 워크피스를 수용하는 단계, 및 전체에 두루 분산된 보호제를 함유하는 레지스트 재료를 워크피스에 도포하는 단계를 포함한다. 보호제가 레지스트 재료의 상부 영역에서 농축되게 하는 열적 공정이 워크피스 상에서 수행된다. 그 레지스트 재료가 리소그래픽 공정에서 노광되고, 이 노광된 레지스트 재료가 현상되어 레지스트 재료 내에 패턴을 한정하게 된다. 일부 그러한 예에서, 보호제는 레지스트 재료의 산/염기 비율에 영향을 미치는 일 없이 환경 오염의 작용을 감소시키도록 선택된다. 일부 그러한 실시예에서, 보호제는 소수성 작용기를 포함한다.

Description

부유 보호제를 갖는 리소그래픽 레지스트{LITHOGRAPHIC RESIST WITH FLOATING PROTECTANT}
본 발명은 부유 보호제(floating protectant)를 갖는 리소그래픽 레지스트에 관한 것이다.
반도체 집적 회로(IC) 산업은 급속한 성장을 겪고 있다. IC 진화의 과정에서, 기능적 밀도(즉, 칩 면적 당 상호연결된 소자의 수)가 일반적으로 증가하는 ㄱ가운데, 기하학적 크기(즉, 제조 공정을 이용하여 형성될 수 있는 최소 구성요소(또는 배선))가 감소하고 있다. 이러한 축소 공정(scaling down process)은 일반적으로 생산 효율을 증가시키고 관련 비용을 저하시킴으로써 이익을 제공한다. 그러나, 그러한 축소는 또한, 이러한 IC들을 통합한 소자의 설계 및 제조에서의 증가된 복잡성을 동반해 왔으며, 그리고 실현될 그러한 진보를 위해서, 소자 제조에서 유사한 발전이 요구된다.
단지 하나의 예로서, 리소그래피에서의 진보가 소자 사이즈를 감소시키기 위해 중요했었다. 일반적으로, 리소그래피는 표적 상에 패턴을 형성시키는 것이다. 포토리소그래피(photolithography)라고 지칭되는 한 가지 유형의 리소그래피에서, 자외선 광과 같은 복사가 표적 상의 포토레지스트 코팅에 충돌하기 이전에 마스크를 통과하거나 마스크로부터 반사된다. 포토레지스트는 복사에 노출될 때 화학적 변이를 받는 하나 이상의 성분을 포함한다. 특성에서의 결과적인 변화는, 포토레지스트의 노광 부분 또는 비노광 부분이 선택적으로 제거되는 것을 허용한다. 이러한 방식으로, 포토리소그래피는, 포토레지스트 상으로 마스크로부터의 패턴을 전사시키며, 이어서 포토레지스트는 패턴을 드러내기 위해 선택적으로 제거된다. 이어서, 표적은 이어서, 표적 상에 특징부를 형성하기 위해 잔류 포토레지스트의 형상을 이점을 취하는 처리 단계들을 받는다. 직접 묘사 리소그래피(direct-write lithography)라고 지칭되는 또 다른 유형의 리소그래피는, 레지스트 코팅을 노광시키기 위해 또는 재료 층을 직접적으로 패턴화하기 위해, 레이저, 전자 빔(e-빔), 이온 빔, 또는 다른 좁게-집속된 방출을 이용한다. e-빔 리소그래피는, 직접 묘사 리소그래피의 가장 통상적인 유형들 중 하나이며, 그리고 노광될 영역으로 전자의 조준된 스트림(collimated stream)을 유도함으로써, 상당한 정확도로 레지스트 또는 다른 재료를 제거, 부가 또는 그렇지 않으면 변경하기 위해 이용될 수 있다.
레지스트 패턴이 형성될 특징부들을 한정하는 방식 때문에, 특징부 품질은, 양자 모두 정밀성 및 안정성을 갖는 노광 영역과 비노광 영역 사이의 경계에 에지들을 형성하기 위한 레지스트의 능력에 매우 의존한다. 대조적으로, 불규칙한 레지스트 에지는, 좁아짐(narrowing), 단면수축(necking), 단락(bridging) 및 다른 특징부 결함으로 이어질 수 있다. 이러한 방식 및 다른 방식에서, 레지스트 성능은, 특징부 크기의 임계 치수(CD) 및 다른 측정치 그리고 품질에 직접적으로 영향을 미친다. 기존의 레지스트가 대체로 적합했었지만, 부가적인 개선들이, 더 작은 회로를 생산하기 위한 그리고 제조 수율을 개선하기 위한 잠재력을 유지한다.
본 개시는 첨부되는 도면과 함께 이해할 때 후술하는 상세한 설명으로부터 가장 잘 이해된다. 본 산업의 표준 관행에 따라, 다양한 특징부들이 축적대로 도시되지 않으며 그리고 단지 예시 목적으로만 이용된다는 점이 강조된다. 사실, 다양한 특징부들의 치수들은 논의의 명료함을 위해 임의로 증가하게 되거나 감소하게 될 수 있다.
도 1은 본 개시의 다양한 양태에 따른 부유 보호제를 갖는 레지스트를 사용하여 워크피스를 패턴화하는 방법의 흐름도이다.
도 2 및 도 3은 본 개시의 다양한 양태에 따른 패턴화 방법을 겪는 워크피스의 일부에 대한 단면도이다.
도 4a는 본 개시의 다양한 양태에 따른 리소그래픽 노광 후 부유 보호제를 갖는 워크피스의 일부에 대한 단면도이다.
도 4b는 본 개시의 다양한 양태에 따른 리소그래픽 노광 후 보호제를 갖지 않은 레지스트를 갖는 워크피스의 일부에 대한 단면도이다.
도 5 및 도 6은 본 개시의 다양한 양태에 따른 패턴화 방법을 겪는 부유 보호제를 갖는 워크피스의 일부에 대한 단면도이다.
본 개시은 일반적으로 IC 소자 제조에 관한 것이며, 보다 구체적으로 오염에 대한 보호제를 포함하는 레지스트에 관한 그리고 특징부들의 세트를 형성하기 위해 레지스트를 사용하여 워크피스를 리소그래픽적으로 패턴화하기 위한 기술에 관한 것이다.
뒤따르는 개시는 제공되는 대상의 상이한 특징들을 실시하기 위한, 많은 상이한 실시예들 또는 예들을 제공한다. 구성요소들 및 배열들에 대한 구체적인 예들이 본 개시를 단순화하기 위해 이하에 설명된다. 물론, 이들은 단지 예들이며 제한할 의도가 아니다. 예를 들어, 뒤따르는 설명에서 제2 특징부 위의 또는 상의 제1 특징부의 형성은, 제1 특징부 및 제2 특징부가 직접적인 접촉 상태로 형성되는 실시예들을 포함할 수 있으며, 그리고 또한 부가적인 특징부들이, 제1 특징부 및 제2 특징부가 직접적인 접촉 상태에 놓이지 않도록, 제1 특징부 및 제2 특징부 사이에 형성될 수도 있는 실시예들을 포함할 수 있다. 부가적으로, 본 개시는 다양한 예들에서 참조 번호들 및/또는 참조 문자들을 반복할 수 있을 것이다. 이러한 반복은 단순함 및 명료함의 목적을 위한 것이며 논의되는 다양한 실시예들 및/또는 구성들 사이의 관련성을 그 자체가 기술하는 것은 아니다.
또한, "밑에", "아래에", "아래쪽", "위에", "위쪽" 및 이와 유사한 것과 같은, 공간적으로 상대적인 용어들은, 본 명세서에서, 도면에 예시된 바와 같이 다른 요소(들) 또는 특징부(들)에 대한 하나의 요소 또는 특징부의 관련성을 설명하기 위한 설명의 용이함을 위해 사용될 수 있다. 공간적으로 상대적인 용어들은 도면에 도시된 방향성에 부가하여 사용 또는 작동 중인 디바이스의 상이한 방향성을 포괄하도록 의도된다. 예를 들면, 소자가 도면들에 반전된다면, 다른 요소들 또는 특징부들 "아래에" 또는 "밑에" 있는 것으로서 기술되는 요소들은, 그러한 다른 요소들 또는 특징부들 "위로" 지향하게 된다. 따라서, 예시적인 용어 "아래에"는 위로 및 아래로의 지향을 모두 포괄할 수 있다. 장치는 다르게 지향하게 될 수 있고(90 °회전하게 되거나 다른 방향으로) 본 명세서에서 사용되는 공간적으로 상대적인 기술어들은 마찬가지로 그에 따라 해석될 것이다.
본 개시는, 리소그래피를 이용하는, 반도체 기판과 같은 워크피스의 패턴화에 관한 것이다. 본 개시의 기술들은, 포토리소그래피 및 직접 표사 리소그래피를 포함하는, 광범위한 리소그래피 기술에 동등하게 적용된다. 각 유형의 리소그래픽 기술은, 특징적인 에너지(예를 들면, 자외선 복사, 전자 빔, 이온 빔 등)를 가질 수 있으며, 그리고 리소그래피 기술에 의존하여, 리소그래픽 레지스트가, 개별적인 에너지에 민감한 임의의 레지스트 재료를 포괄한다.
뒤따르는 실시예들에서, 리소그래픽적 패턴화를 위한 리소그래픽 레지스트 및 상응하는 기술이 도 1 내지 도 6을 참조하여 기술된다. 이하에서 보다 상세히 설명되는 바와 같이, 보호제를 레지스트 내로 통합하는 것이, 그렇지 않은 경우 반응을 방해할 수 있는 화합물에 의해 오염을 감소시킨다. 이러한 방식으로, 레지스트 및 관련 기술은, 개선된 패턴 충실도(fidelity) 및 해상도를 제공한다. 도 1은 본 개시의 다양한 양태에 따른 부유 보호제를 갖는 레지스트를 사용하여 워크피스(200)를 패턴화하는 방법(100)의 흐름도이다. 부가적인 단계들이 방법(100) 이전에, 도중에 및 이후에 제공될 수 있으며, 기술된 단계 중 일부는 방법(100)의 다른 실시예들을 위해 대체되거나 또는 제거될 수 있다는 것이 이해된다. 도 2 및 도 3은 본 개시의 다양한 양태에 따른 패턴화 방법을 겪는 워크피스(200)의 일부의 단면도이다. 도 4a는 본 개시의 다양한 양태에 따른 리소그래픽 노광 이후에 부유 보호제를 포함하는 레지스트를 갖는 워크피스(200)의 일부의 단면도이다. 비교를 위해, 도 4b는 본 개시의 다양한 양태에 따른 리소그래픽 노광 이후에 부유 보호제를 함유하지 않은 레지스트를 갖는 대안적인 워크피스(450)의 일부의 단면도이다. 도 5 및 도 6은 본 개시의 다양한 양태에 따른 패턴화 방법을 겪는 부유 보호제를 갖는 워크피스(200)의 일부의 단면도이다. 설명의 명확성 및 용이성을 위해, 도면의 일부 요소들이 단순화되어 있다.
도 1의 블록(102) 및 도 2를 참조하면, 워크피스(200)가 패턴화를 위해 수용된다. 예시적인 워크피스(200)는, 다른 재료들이 그 위에 형성되어 있을 수 있는 기판(202)을 포함한다. 다양한 예에서, 기판(202)은, 결정 구조의 게르마늄과 같은 원소(단일 원소) 반도체; 실리콘 게르마늄, 탄화규소, 갈륨 비소, 인화갈륨, 인화인듐, 비화인듐, 및/또는 안티몬화인듐과 같은 화합물 반도체; 소다 석회 유리, 용융 실리카, 용융 석영, 및/또는 불화칼슘(CaF2)과 같은 비반도체 재료; 및/또는 이들의 조합을 포함한다.
기판(202)은, 소스/드레인 영역들과 같은, 여러 불순물 첨가 영역(예를 들면, p형 웰들 또는 n형 웰들)을 포함할 수 있다. 불순물 첨가 영역들은, 설계 요건에 의존하여, 인 또는 비소와 같은 p형 불순물, 및/또는 붕소 또는 또는 BF2와 같은 n형 불순물로 불순물 첨가될 수 있다. 불순물 첨가 영역은, P 웰 구조, N 웰 구조, 이중 웰 구조 또는 융기 구조로, 기판 상에 직접적으로 형성될 수 있다. 불순물 첨가 영역은, 제자리에 불순물 첨가되는 에피택셜 성장에서 불순물 원자의 주입 및/또는 다른 적합한 기술에 의해 형성될 수 있다. 일부 실시예에서, 불순물 첨가 영역은, 짧은 채널 효과(예를 들면, 펀치 스루 효과(punch-through effect))을 감소시킬 수 있으며 그리고 경사각 이온 주입 또는 다른 적합한 기술에 의해 형성될 수 있는, 할로(halo)/포켓 영역을 포함한다.
기판(202)은 또한, 그 위에 형성되는 여러 재료 층을 포함할 수 있다. 예를 들면, 예시된 실시예에서, 워크피스(200)는, 패턴화될 재료 층(204)을 포함한다. 재료 층(204)은 집적 회로 제조의 과정에서 사용될 수 있는 임의의 층 또는 층들을 나타낸다. 예를 들면, 재료 층(204)은, 도전 층, 반도체 층, 유전체 층, 및/또는 다른 층을 포함할 수 있으며, 그리고 기판(202)으로부터 분리될 수 있거나 또는 기판으로 통합될 수 있다. 일부 그러한 예에서, 재료 층(204)은 하드 마스크 층이며, 그리고 반도체 산화물, 반도체 질화물, 반도체 산화질화물 및/또는 반도체 탄화물과 같은 유전체를 포함한다. 하드 마스크 재료 층(204)은, 워크피스(200)의 아래에 놓이는 영역을 처리하기 위해 사용될 수 있으며 그리고, 레지스트가 아래에 놓이는 층의 처리를 견딜 수 없는 용도에 특히 잘 적합하게 된다. 하드 마스크 재료 층(204)의 재료 또는 재료들은, 그들의 안정성 뿐만 아니라 둘러싸는 재료들에 대한 그들의 에칭제 민감성에 기초하여 선택될 수 있다.
도 1의 블록(104)을 참조하고 또한 도 2를 참조하면, 레지스트 층(206)이 워크피스(200)에 도포된다. 레지스트 층(206)은 임의의 리소그래피적으로 민감한 레지스트 재료를 나타내며 그리고, 많은 실시예에서, 레지스트 층(206)은, UV 광, ㄱ극자외선(DUV) 복사 및/또는 EUV 복사와 같은 복사에 민감한 포토레지스트 재료를 포함한다. 그러나, 본 개시의 원리는, e-빔 레지스트 및 다른 직접 묘사 레지스트 재료들에 동일하게 적용한다. 레지스트 층(206)은 임의의 적합한 기술에 의해 도포될 수 있으며, 예시적인 실시예에서, 레지스트 층(206)은 스핀-온(즉, 스핀 코팅) 기술을 이용하여 액체 형태로 도포된다. 스핀 코팅은, 액체 형태의 레지스트 층(206)을 균일한 두께로 워크피스(200)의 표면을 가로질러 분산시키기 위해 원심력을 이용한다. 도포를 용이하게 하기 위해서, 레지스트 층(206)은 용매를 포함할 수 있으며, 용매는, 제거될 때, 레지스트 층(206)을 고체 또는 반고체 형태로 잔류시키게 된다. 용매는, 침전 공정 도중에 및/또는 도포 후/노광 전 굽기(bake)(즉, 예비 굽기(prebake)) 공정 도중에, 스핀 코팅의 부분으로서 제거될 수 있다.
그의 조성에 관하여, 레지스트 층(206)은 하나 이상의 감광성 물질을 포함할 수 있다. 예를 들면, 레지스트 층(206)은, 명칭이 암시하는 바와 같이, 복사에 노출되는 레지스트 층(206)의 부분들 내부에 산을 생성하는, 광산 생성제(photo-acid generator: PAG)를 포함할 수 있다. 산-분해성 중합체 또는 산-가교결합성 중합체와 같은 레지스트 층(206) 내부의 중합체가 이러한 생성된 산에 민감하여, 노광된 영역 내의 중합체의 일부가 화학 반응을 겪도록 야기한다. 레지스트 층(206)은 또한, 레지스트 층(206)의 비노광 영역 또는 미미하게 노광된 영역에서의 산 농도를 감소시키기 위한 광-염기 생성제(photo-base generator: PBG) 및/또는 광-분해성 담금질제(photo-decomposable quencher: PDQ)를 포함할 수 있으며 그리고, 그로 인해 그러한 영역들에서 중합체의 화학 반응을 억제할 수 있다. 일부 실시예에서, 레지스트 층(206)은 또한, 하나 이상의 발색단(chromophore), 용매 및/또는 계면활성제를 포함한다.
일부 레지스트 층(206) 조성물이 산/염기 비율에 매우 민감하기 때문에, 산/염기 균형에 영향을 미치는 환경 오염물은, 결과적으로 생성되는 패턴의 품질에 현저하게 영향을 미칠 수 있다. 예를 들어, 일부 용도에서, 레지스트 층(206)은, 레지스트에서 산과 반응하여 그 산을 억제하는 아민에 노출된다. 결과적으로, 레지스트 층(206)의 일부 노광 영역, 특히 노광 영역과 비노광 영역 사이의 경계에서의 영역은, 중합체를 변환시키기 위한 충분한 산을 함유할 수 없다. 결국, 이는 현상된 레지스트 층(206)에서 에지 뒤틀림(edge distortion)을 야기할 수 있다. 오염물을 감소 또는 방지하기 위해서, 레지스트 층(206)은 보호제 재료를 포함할 수 있다. 보호제는, 오염물의 영향으로부터 레지스트를 보호하도록 구성될 수 있으며, 그리고 산/염기 비율에 영향을 미치는 일 없이 그렇게 구성될 수 있다. 예를 들어, 소수성 보호제와 친수성 오염물 사이(또는 그 반대)의 수 친화성에서의 차이가, 레지스트 층(206)의 기능을 변경하는 일 없이 그러한 오염물을 억제하기 위해 이용될 수 있다. 따라서, 보호제는, 부분적으로, 억제될 오염물의 성질에 기초하게 되는, 하나 이상의 소수성 또는 친수성 작용기를 가질 수 있다. 보호제는 임의의 농도로 첨가될 수 있으며, 그리고 다양한 예에서, 보호제는 조합된 레지스트 재료의 총 중량의 약 0.1% 내지 약 30%의 범위에 있다. 이러한 예들의 부분 집합에서, 보호제는 조합된 레지스트 재료의 총 중량의 약 3% 내지 약 15%의 범위에 있다. 보호제는, 레지스트 재료의 제조 도중에, 레지스트 재료를 워크피스(200)에 도포하기 직전에, 또는 그 사이의 임의의 시간에, 레지스트 재료에 첨가될 수 있다.
레지스트 층(206)의 최상부 표면이 오염물에 대한 가장 큰 노출을 가질 수 있기 때문에, 보호제는 최상부 영역들에 집중되는 것이 유익할 수 있다. 따라서, 일부 실시예에서, 보호제가 도포시에 균일한 농도로 혼합되지만, 보호제는 도포 이후에 액체 또는 반고체 레지스트 층(206)의 상부로 올라간다. 예를 들면, 일부 그러한 실시예에서, 보호제와 레지스트의 다른 성분들 사이의 분자량 차이가, 보호제가 레지스트 층(206)의 상부 영역으로 올라가도록 야기한다. 일부 실시예에서, 극성 차이가, 보호제가 레지스트 층(206)의 상부 영역으로 올라가도록 야기한다. 유사하게, 일부 실시예에서, 수 친화성의 상이한 정도가, 보호제가 상부 영역으로 올라가도록 야기한다. 일부 실시예에서, 용매 내에서의 보호제의 더 높은 용해도가, 보호제가 정상 영역으로 올라가도록 야기한다. 일부 실시예에서, 레지스트의 중합체 내에서의 보호제의 용해도(또는 그의 결핍)가, 보호제가 상부 영역으로 올라가도록 야기한다. 이러한 특성들 중 어느 것도 배타적이지 않으며, 그리고 레지스트 층(206)은 상부 영역에 보호제를 집중시키기 위해 이러한 특성들 중 임의 것 또는 모두에 영향을 미치거나 어느 것에도 영향을 미치지 않을 수 있다는 것이 이해된다. 레지스트 층(206)의 표면으로 올라가는 보호제의 경향으로 인해, 보호제는 레지스트 층(206)에서 "부유(floating)"하게 되는 것으로 간주될 수 있다.
레지스트 층(206)은 임의의 적합한 보호제를 포함할 수 있다. 일부 예시적인 실시예에서, 보호제는 하기 형태의 중합체 사슬을 포함한다:
Figure 112015114749613-pat00001
상기 식에서, 반복 분절은 임의의 적합한 횟수를 반복할 수 있으며, R1 및 R2는 독립적인 화학 구조이다. 각각의 R1 및 R2는, 약 1 내지 약 50개 사이의 전형적인 탄소 수를 갖는, 방향족 탄소 환, 알켄 및/또는 알킨을 포함할 수 있지만, 일부 실시예에서, 알켄 및/또는 알킨은 보다 높은 탄소 수를 포함한다. 추가적으로 또는 대안적으로, R1 및 R2는 선형 또는 환형 알킬, 알콕실, 플루오로알킬, 플루오로알콕실, 에폭실, 및/또는 아민 기를 포함할 수 있다. R1 및 R2에 적합한 다른 성분은, 할라이드, -H, -OH, -Cl, -Br, -I, -NO2, -SO3, -CN, -NCO, -OCN, -CO2, -COOH, 및/또는 -OH를 포함한다. 일부 실시예에서, R1 및 R2 중 하나 또는 둘 모두는 뒤따르는 형태의 기: -OR*, -OC(O)CR*, -SR*, -SO2N(R*)2, -SO2R*, -SOR*, -OC(O)R*, -C(O)OR*, -C(O)R*, -Si(OR*)3, 및/또는 -Si(R*)3 를 포함하며, 여기서 R*는 H 또는 분지형 또는 비분지형의, 환형 또는 비환형의, 포화 또는 불포화의, 알킬, 알케닐 또는 알키닐 기 중 하나이다. 다양한 예에서, 보호제 중합체의 분자량은 약 1000 내지 약 3000 사이일 수 있다.
보호제는 또한 하기 형태의 중합체 사슬을 포함할 수 있다:
Figure 112015114749613-pat00002
상기 식에서, 반복 분절은 임의의 적합한 횟수를 반복할 수 있으며, 여기서 R1, R2, RZ 및 Rf는 독립적인 화학 구조이고, R1 및 R2는 실질적으로 상기 기술된 바와 같다. RZ는 방향족 탄소 환, 알켄 및/또는 알킨을 포함할 수 있다. RZ는 선형 또는 환형 알킬, 알콕실, 플루오로알킬, 및/또는 플루오로알콕실 기를 포함할 수 있다. 유사하게, Rf는 선형, 분지형, 또는 환형의, 포화 또는 불포화의, 플루오로알킬 기를 포함할 수 있다. RZ 및 Rf에 적합한 다른 성분은, -Cl, -Br, -I, -NO2, -SO3, -CN, -NCO, -OCN, -CO2, -COOH, 및/또는 -OH를 포함한다. 일부 실시예에서, RZ 및 Rf 중 하나 또는 둘 모두는 뒤따르는 형태의 기: -OR*, -OC(O)CR*, -SR*, -SO2N(R*)2, -SO2R*, -SOR*, -OC(O)R*, -C(O)OR*, -C(O)R*, -Si(OR*)3, 및/또는 -Si(R*)3 를 포함하고, 여기서 R*는 H 또는 분지형 또는 비분지형의, 환형 또는 비환형의, 포화 또는 불포화의, 알킬, 알케닐 또는 알키닐 기 중 하나이다. 다양한 예에서, 보호제의 분자량은 약 1000 내지 약 3000 사이일 수 있다.
도 1의 블록(106) 및 도 3을 참조하면, 워크피스(200)는, 보호제가 레지스트 층(206) 상부 영역으로 올라가는 것을 허용하는 침전 공정(settling process)을 겪는다. 침전 공정은 또한 용매 중 일부를 제거할 수 있다. 도 3의 예시된 실시예에서, 침전 공정은, 레지스트 층의 상부 25%(참조 부호 '402'에 의해 지시됨)에 보호제를 집중시킨다. 그러나, 상부 영역(402)에 보호제를 추가로 집중시킬 수 있으며 그리고 용매를 추가로 제거할 수 있는, 후속의 예비 굽기와는 대조적으로, 침전 공정은, 보호제가 레지스트 층(206)의 상부 영역(402)으로 올라가기 이전에 레지스트 층(206)을 고화시키는 것을 피하기 위해, 더 낮은 온도에서 실행될 수 있을 것이다. 예시적인 실시예에서, 워크피스(200)는, 추가의 처리 이전에 침전 공정의 일부로서 적어도 1시간 동안 적합한 온도에서 유지된다. 침전 공정은, 주위 온도(즉, 실온)에서 또는 상승한 온도에서 실행될 수 있으나, 상승한 온도는 예비 굽기 온도보다 더 낮을 수 있다(예를 들면, 약 90℃보다 낮을 수 있다).
도 1의 블록(108)을 참조하고 도 3을 다시 참조하면, 도포후 굽기(post application bake) 또는 예비 굽기(prebake) 공정이, 워크피스(200) 상에 실행된다. 예비 굽기는, 레지스트 층(206)의 상부 영역(402)에 보호제를 더 집중시킬 수 있다. 예시된 실시예에서, 예비 굽기는, 보호제의 대부분이 예비 굽기 완료시에 상부 영역(402)(상부 25%)에 위치하게 되도록 보호제를 집중시킨다. 예비 굽기 공정은 또한, 잔류 용매의 일부 또는 전부가 레지스트 층(206)으로부터 탈출하도록 야기한다. 예비 굽기는 임의의 적합한 온도에서 실행될 수 있으며, 예시적인 실시예에서, 워크피스(200)를 90℃ 초과의 온도로 가열하는 것을 포함한다. 또 다른 예시적인 실시예에서, 예비 굽기는 열판을 사용하여 워크피스(200)를 약 90℃ 로 가열하는 것을 포함한다.
도 1의 블록(110) 및 도 4a을 참조하면, 레지스트 층(206)의 선택된 영역(즉, 영역 '402')을 복사에 노출시키는 리소그래픽 노광이, 워크피스(200) 상에 실행된다. 적합한 복사는, UV 광, 극자외선(DUV) 복사 및/또는 EUV 복사를 포함한다. 마스크 기반 포토리소그래픽 기술에서, 마스크(400)가 복사를 흡수하며, 마스크는, 노광될 영역(402)을 향해 복사를 유도하는 가운데, 그렇지 않은 경우 보호되어야 할 레지스트 층(206)의 영역을 노출시킬 수 있다. 예를 들어, 도 4a의 마스크(400)는, 방사선이 레지스트 층(206)에 도달하는 것을 차단하는 흡수 영역 및 방사선이 레지스트 층(206)에 도달하는 것을 허용하는 투과 영역의 패턴을 내포하는 투과형 마스크를 간략화된 예시이다. 마스크(400)는 또한, 복사를 차단하는 흡수 영역 및 워크피스(200) 상으로의 투사를 위해 복사를 반사하는 반사 영역의 패턴을 내포하는 반사형 마스크의 예시이다. 게다가, 본 개시의 원리는, e-빔 또는 이온 빔 레지스트 층(206)으로 확장될 수 있다. 그러한 실시예에서, e-빔 또는 이온 빔 노광과 같은 직접 묘사 공정이, 레지스트 층(206)의 선택된 영역들을 노광시키기 위해 블록(110)에서 실행된다.
블록(110)의 노광은, 화학 반응이 레지스트 층(206)의 노광될 영역(402)에서 일어나도록 야기한다. 예를 들면, 노광 복사는, 노광 영역(402)에서와 더불어 PAG가 레지스트 층(206) 내의 중합체와 반응하는 산을 생성하도록 야기할 수 있다. 도 4a의 예시된 예에서, 노광 영역(402)은 증가된 산 농도 및 결과로 생성되는 화학 반응을 지시하기 위해 음영 처리된다. 보호제 때문에, 노광 영역(402)에서 산 농도는 전체에 걸쳐 비교적 일관적일 수 있을 것이다.
레지스트 층(206)이 보호제를 포함하는 도 4a의 예와는 대조적으로, 도 4b는, 레지스트 층(452)이 보호제를 포함하지 않으며 그리고 환경 오염물(예를 들면, 아민 또는 다른 알칼리 오염물)이 중화에 의해 또는 산 생성을 억제함에 의해 산의 농도를 감소시키는 워크피스(450)를 예시한다. 도 4b에서, 증가된 산 농도를 갖는 레지스트(452)의 노광 영역의 제1 부분(454)이 음영 처리되는 한편, 생성된 산이 억제되는 노광 영역의 제2 부분(456)이 음영 없이 도시되어 있다. 확인될 수 있는 바와 같이, 노광된 레지스트 층(452)의 일부는, 노광과 연관되는 증가된 산을 나타내지 않는다. 이러한 결과는, 레지스트 층(452)의 상부에서 매우 현저할 수 있는데, 레지스트 층(452)의 그러한 부분이 환경 오염물에 최대의 노출을 갖기 때문이다. 도 4b의 레지스트 층(452)을 현상하는 것은, 아래에 놓이는 재료 층(204)의 패턴화에 부정적으로 영향을 미칠 수 있는, 불규칙한 패턴 에지를 생성할 수 있다. 예를 들면, 양의 레지스트를 현상하기 위해 사용되는 수성 염기 현상 공정에서, CD(임계 치수)에서의 바람직하지 못한 감소가 관찰된 바 있는 가운데, 음의 레지스트를 현상하기 위해 사용되는 유기 용매 현상 공정에서, CD에서의 바람직하지 못한 증가가 관찰된 바 있다. 그러나, 이상에 기술된 보호제의 레지스트 층(206) 내로의 도입은, 그러한 효과를 감소시키며 그리고 심지어 그러한 효과를 제거하는 것으로 측정되었다.
도 1의 블록(112) 및 도 5를 참조하면, 현상 공정이 도 4a의 워크피스(200) 상에 실행된다. 현상 공정은, 양의 레지스트 현상 공정의 경우에서의 노광 영역 또는 음의 레지스트 현상 공정의 경우에서의 비노광 영역을 용해시키거나 또는 그렇지 않으면 제거한다. 현상 공정은 노광후 굽기와 더불어 시작한다. 레지스트 층(206)에 포함되는 중합체에 의존하여, 노광후 굽기는 생성된 산과 중합체 사이의 반응을 촉진할 수 있다. 예를 들어, 노광후 굽기는 생성된 산에 의해 야기되는 중합체의 분해 또는 가교결합을 가속시킬 수 있다. 선택적인 노광후 굽기에 이어, 레지스트 층(206)의 특정 영역을 제거하는 현상액이 워크피스(200)에 도포된다. 적합한 양의 현상액들은, TMAH(수산화 테트라메틸암모늄), KOH, 및 NaOH를 포함하며, 그리고 적합한 음의 현상액들은, n-부틸 아세테이트, 에탄올, 헥산, 벤젠, 및 톨루엔과 같은 용매들을 포함한다. 워크피스(200)를 현상한 후, 현상된 레지스트 층(206)은 세정될 수 있다.
도 1의 블록(114)을 참조하면, 레지스트 층(206)의 패턴을 더욱더 안정화하기 위해서 강한 굽기(hard bake)가 워크피스(200) 상에서 수행된다. 도 1의 블록(116) 및 도 6을 참조하면, 패턴화된 레지스트 층(206)은 아래에 놓이는 재료 층(204)을 선택적으로 처리하기 위해 사용될 수 있다. 도 6의 예에서, 이러한 공정은 아래에 놓이는 재료 층(204)을 에칭하는 단계를 포함한다. 레지스트 층(206)에 형성된 패턴은, 재료 층(204)의 노광된 영역이 선택적으로 에칭되는 것을 허용한다. 그러나, 본 개시의 개념은 아래에 놓이는 재료 층(204) 상에 실행되는 임의의 제조 공정에 적용할 수 있는 것으로 이해된다. 예를 들면, 임의의 적합한 에칭 공정, 성막 공정, 주입 공정, 에피택시 공정 및/또는 임의의 다른 제조 공정이, 아래에 놓이는 재료 층(204) 상에 실행될 수 있다. 다양한 예에서, 패턴화된 재료 층(204)은, 핀을 노출시키도록 에칭함에 의해 또는 핀 재료를 에피택셜 성장시킴에 의해, 비평면형 소자를 형성하기 위해, 상호연결 구조물을 제조하기 위해, 게이트 스택을 제조하기 위해, 및/또는 다른 적합한 용도를 위해 사용된다. 잔류 레지스트 층(206)은, 아래에 놓이는 재료 층(204)이 처리된 이후에, 제거될 수 있다.
도 1의 블록(118)을 참조하면, 이어서 워크피스(200)는 후속 제조 공정들을 위해 제공될 수 있다. 예를 들면, 워크피스(200)는, 집적 회로 칩, 시스템온칩(system on a chip: SOC) 및/또는 이들의 부분을 제조하기 위해 사용될 수 있으며, 그리고 그에 따라 후속 제조 공정들은, 레지스터, 커패시터, 인덕터, 다이오드, 금속 산화물 반도체 전계 효과 트랜지스터(metal-oxide semiconductor field effect transistor: MOSFET), 상보형 금속-산화물 반도체(complementary metal-oxide semiconductor: CMOS) 트랜지스터, 양극성 접합 트랜지스터(bipolar junction transistor: BJT), 측방 확산 금속-산화물 반도체(laterally diffused metal-oxide semiconductor: LDMOS) 트랜지스터, 고 전력 MOS 트랜지스터, 다른 유형의 트랜지스터들 및/또는 다른 회로 요소들과 같은 다양한 피동형 및 능동형 마이크로전자 소자들을 형성할 수 있을 것이다.
따라서, 본 개시는 환경 오염물에 대한 개선된 내성을 제공하는, 워크피스 상에 특징부를 형성하기 위한 기술을 제공한다. 일부 실시예에서, 제공되는 방법은 워크피스를 수용하는 단계 및 워크피스에 전체 걸쳐 분산되는 보호제를 함유하는 레지스트 재료를 도포하는 단계를 포함한다. 보호제가 레지스트 재료의 상부 영역에서 집중되는 것을 야기하는 열적 공정이 워크피스 상에 실행된다. 레지스트 재료는 리소그래픽 공정에서 노광되며 그리고 노광된 레지스트 재료는 레지스트 재료 내에 패턴을 한정하기 위해 현상된다. 워크피스의 일부가, 레지스트 재료의 패턴에 기초하여 선택적으로 처리된다. 일부 그러한 실시예에서, 방법은 또한, 보호제가 레지스트 재료의 상부 영역에 집중되도록 하는 침전 공정을 워크피스 상에 실행하는 단계를 포함한다. 일부 그러한 실시예에서, 보호제는, 방향족 탄소 환, 알켄 작용기, 또는 알킨 작용기 중 하나 이상을 포함한다.
다른 실시예에서, 기판을 수용하는 단계 및 보호제를 함유하는 레지스트를 기판에 도포하는 단계를 포함하는 리소그래픽 방법이 제공된다. 레지스트를 도포하는 단계 이후에, 기판 반대편의 레지스트의 상부 영역이, 보호제의 제1 농도를 갖는다. 이후, 상부 영역에서의 보호제의 농도가 제1 농도에서 제2 농도로 증가하도록 야기하는 공정이 실행된다. 레지스트 내에 패턴을 한정하기 위해 레지스트의 리소그래픽 노광이 실행되며 그리고 기판의 노광 부분이 노광된 레지스트 내에 한정되는 패턴을 사용하여 처리된다. 일부 그러한 실시예에서, 보호제는 소수성 작용기를 포함한다. 일부 그러한 실시예에서, 보호제는, 분자량 차이, 극성 차이, 수 친화성 차이, 레지스트 재료의 용매 내에서의 용해도 차이, 또는 레지스트의 중합체 내에서의 용해도 차이 중 하나 이상이, 보호제가 레지스트의 상부 영역에 집중되는 것을 야기하도록, 선택된다.
또 다른 추가 실시예에서, 감광성 물질, 중합체, 및 도포 이후에 리소그래픽 레지스트 재료의 최상부 영역에서 농도를 증가시키도록 구성되는 보호제를 포함하는, 리소그래픽 레지스트 재료가 제공된다. 일부 그러한 실시예에서, 보호제는 하기 형태의 중합체 사슬을 포함한다:
Figure 112015114749613-pat00003
상기 식에서, 각각의 R1 및 R2는 방향족 탄소 환, 알켄 기, 알킨 기, 알킬 기, 알콕실 기, 플루오로알킬 기, 플루오로알콕실 기, 에폭실 기, 아민 기, 할라이드, -H, -OH, -Cl, -Br, -I, -NO2, -SO3, -CN, -NCO, -OCN, -CO2, -COOH, 또는 -OH 중 하나를 포함한다. 일부 그러한 실시예에서, 보호제는 하기 형태의 중합체 사슬을 포함한다:
Figure 112015114749613-pat00004
상기 식에서, 각각의 R1 및 R2는 뒤따르는 형태 중 하나 이상의 기: -OR*, -OC(O)CR*, -SR*, -SO2N(R*)2, -SO2R*, -SOR*, -OC(O)R*, -C(O)OR*, -C(O)R*, -Si(OR*)3, 또는 -Si(R*)3 를 포함하고, 여기서 R*는 H, 알킬기, 알케닐기, 또는 알키닐기 중 하나이다.
이상의 설명은 여러 실시예들에 대한 특징들을 개략적으로 개시하여 당업자가 본 개시의 양태들을 더욱 잘 이해하도록 한다. 당업자는, 그들이 본 명세서에서 소개되는 실시예들과 동일한 목적을 수행하고 및/또는 동일한 장점들을 달성하기 위해 다른 프로세스들 및 구조들을 설계하거나 수정하기 위한 기초로서 본 개시를 쉽게 사용할 수 있다는 것을 인식해야 할 것이다. 당업자는 또한, 그러한 균등한 구성들이 본 개시의 사상 및 범위로부터 벗어남이 없다는 것 및, 그들이 본 개시의 사상 및 범위로부터 벗어남 없이 본 명세서에 다양한 변화, 치환 및 변경을 이룰 수 있다는 것을 인식해야 할 것이다.

Claims (10)

  1. 제조 방법으로서,
    워크피스를 수용하는 단계;
    전체에 두루 분산된 보호제를 함유하는 레지스트 재료를 상기 워크피스에 도포하는 단계;
    상기 워크피스 상에 열적 공정을 수행하는 단계로서, 상기 열적 공정은, 상기 보호제가 상기 레지스트 재료의 상부 영역에 집중되는 것을 야기하도록 구성되고, 상기 열적 공정은
    상기 워크피스를 상기 레지스트 재료를 고화시키지 않는 제1 온도에 노출시키는 단계, 및
    상기 워크피스를 상기 제1 온도보다 높은 제2 온도에 노출시키는 단계를 포함하는 것인, 열적 공정을 수행하는 단계;
    상기 레지스트 재료를 리소그래픽 공정에서 노광시키는 단계로서, 상기 보호제는 리소그래픽 공정 중에 상기 레지스트 재료의 산 대 염기 비율에 영향을 미치는 환경 오염물을 억제하는 단계;
    상기 레지스트 재료 내에 패턴을 규정하도록 상기 노광된 레지스트 재료를 현상하는 단계; 및
    상기 레지스트 재료의 상기 패턴에 기초하여 상기 워크피스의 일부를 선택적으로 처리하는 단계
    를 포함하는 것인, 제조 방법.
  2. 삭제
  3. 삭제
  4. 제 1항에 있어서,
    상기 보호제는 소수성 작용기를 포함하는 것인, 제조 방법.
  5. 제 1항에 있어서,
    상기 보호제는, 방향족 탄소 환, 알켄 작용기, 또는 알킨 작용기 중 하나 이상을 포함하는 것인, 제조 방법.
  6. 제 1항에 있어서,
    상기 보호제는 하기 형태의 중합체 사슬을 포함하는 것인, 제조 방법:
    Figure 112015114749613-pat00005

    상기 중합체 사슬에서, 각각의 R1 및 R2는, 방향족 탄소 환, 알켄기, 알킨기, 알킬기, 알콕실기, 플루오로알킬기, 플루오로알콕실기, 에폭실기, 아민기, 할라이드, -H, -OH, -Cl, -Br, -I, -NO2, -SO3, -CN, -NCO, -OCN, -CO2, -COOH, 또는 -OH, 중 하나를 포함한다.
  7. 제 1항에 있어서,
    상기 보호제는 하기 형태의 중합체 사슬을 포함하는 것인, 제조 방법:
    Figure 112015114749613-pat00006

    상기 중합체 사슬에서, 각각의 R1 및 R2는, 형태: -OR*, -OC(O)CR*, -SR*, -SO2N(R*)2, -SO2R*, -SOR*, -OC(O)R*, -C(O)OR*, -C(O)R*, -Si(OR*)3, 또는 -Si(R*)3 중 하나 이상의 기를 포함하고, 여기서 R*는, H, 알킬기, 알케닐기 또는 알키닐 기 중 하나이다.
  8. 제 1항에 있어서,
    상기 보호제는, 분자량 차이, 극성 차이, 수 친화성 차이, 상기 레지스트 재료의 용매 내에서의 용해도 차이, 또는 상기 레지스트 재료의 중합체 내에서의 용해도 차이 중 하나 이상이, 상기 레지스트 재료의 상부 영역에 상기 보호제가 집중되는 것을 야기하도록, 선택되는 것인, 제조 방법.
  9. 리소그래픽 방법으로서,
    기판을 수용하는 단계;
    보호제를 함유하는 레지스트를 상기 기판에 도포하는 단계로서, 상기 기판 반대편의 상기 레지스트의 상부 영역이 상기 레지스트를 도포한 이후에 상기 보호제의 제1 농도를 갖는 것인, 레지스트를 상기 기판에 도포하는 단계;
    이후, 상기 상부 영역에서의 상기 보호제의 농도를 상기 제1 농도에서 제2 농도로 증가시키도록 작용할 수 있는 공정을 수행하는 단계로서, 상기 공정은
    상기 레지스트를 상기 레지스트를 고화시키지 않는 제1 온도에 노출시키는 단계, 및
    상기 레지스트를 상기 제1 온도보다 높은 제2 온도에 노출시키는 단계를 포함하는 것인, 공정을 수행하는 단계;
    상기 레지스트에 패턴을 규정하도록 상기 레지스트의 리소그래픽적 노광을 수행하는 단계로서, 상기 보호제는 리소그래픽 공정 중에 상기 레지스트의 산 대 염기 비율에 영향을 미치는 환경 오염물을 억제하는 단계; 및
    상기 노광된 레지스트에 규정된 상기 패턴을 사용하여 상기 기판의 일부를 처리하는 단계
    를 포함하는 것인, 리소그래픽 방법.
  10. 리소그래픽 레지스트 재료로서,
    감광성 재료,
    중합체, 및
    도포 이후에 상기 리소그래픽 레지스트 재료의 최상부 영역에서 농도를 증가시키도록 구성되는 보호제
    를 포함하고,
    상기 보호제는 리소그래픽 공정 중에 상기 리소그래픽 레지스트 재료의 산 대 염기 비율에 영향을 미치는 환경 오염물을 억제하고,
    상기 보호제는 하기 형태의 중합체 사슬을 포함하는 것인, 리소그래픽 레지스트 재료:
    Figure 112017061984112-pat00014

    상기 식에서, Rf는 플루오로알킬기이고, RZ는 알킬기, 알콕실기, 플루오로알킬기, 및 플루오로알콕실기로 이루어진 군으로부터 선택되고, 각각의 R1 및 R2는 방향족 탄소 환, 알켄기, 알킨기, 알킬기, 알콕실기, 플루오로알킬기, 플루오로알콕실기, 에폭실기, 아민기, 할라이드, -H, -OH, -Cl, -Br, -I, -NO2, -SO3, -CN, -NCO, -OCN, -CO2, -COOH 및 -OH로 이루어진 군으로부터 선택된다.
KR1020150164999A 2015-02-26 2015-11-24 부유 보호제를 갖는 리소그래픽 레지스트 KR101786223B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/632,793 US9864275B2 (en) 2015-02-26 2015-02-26 Lithographic resist with floating protectant
US14/632,793 2015-02-26

Publications (2)

Publication Number Publication Date
KR20160104539A KR20160104539A (ko) 2016-09-05
KR101786223B1 true KR101786223B1 (ko) 2017-10-17

Family

ID=56799116

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150164999A KR101786223B1 (ko) 2015-02-26 2015-11-24 부유 보호제를 갖는 리소그래픽 레지스트

Country Status (4)

Country Link
US (1) US9864275B2 (ko)
KR (1) KR101786223B1 (ko)
CN (1) CN105929634B (ko)
TW (1) TWI600982B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6477270B2 (ja) * 2015-06-09 2019-03-06 信越化学工業株式会社 パターン形成方法
US11327398B2 (en) * 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
US20230161257A1 (en) * 2021-09-30 2023-05-25 Rohm And Haas Electronic Materials Llc Photoresist compositions and pattern formation methods
CN117383840A (zh) * 2023-10-18 2024-01-12 东莞市友辉光电科技有限公司 一种用于车载显示防眩盖板的制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009251328A (ja) * 2008-04-08 2009-10-29 Tokyo Ohka Kogyo Co Ltd 樹脂パターンの製造方法
JP2013257582A (ja) * 2013-07-29 2013-12-26 Fujifilm Corp 感活性光線または感放射線性樹脂組成物、および該組成物を用いたパターン形成方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19613158A1 (de) 1996-04-02 1997-10-09 Daetwyler Ag Hochdynamischer piezoelektrischer Antrieb
KR100243738B1 (ko) 1997-06-28 2000-03-02 김영환 반도체 소자의 제조 방법(Method for manufacturing semiconductor device)
JP2001023893A (ja) * 1999-07-12 2001-01-26 Nec Corp フォトレジストパターンの形成方法
US7193023B2 (en) * 2003-12-04 2007-03-20 International Business Machines Corporation Low activation energy photoresists
EP1720072B1 (en) * 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
JP5186255B2 (ja) * 2007-03-20 2013-04-17 富士フイルム株式会社 レジスト表面疎水化用樹脂、その製造方法及び該樹脂を含有するポジ型レジスト組成物
US8084193B2 (en) * 2008-07-12 2011-12-27 International Business Machines Corporation Self-segregating multilayer imaging stack with built-in antireflective properties
US8017303B2 (en) * 2009-02-23 2011-09-13 International Business Machines Corporation Ultra low post exposure bake photoresist materials
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8841058B2 (en) * 2010-08-03 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography material for immersion lithography processes
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
JP2012113302A (ja) * 2010-11-15 2012-06-14 Rohm & Haas Electronic Materials Llc 塩基反応性成分を含む組成物およびフォトリソグラフィーのための方法
JP6022788B2 (ja) * 2011-04-07 2016-11-09 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法
JP5970926B2 (ja) * 2011-04-13 2016-08-17 住友化学株式会社 塩、レジスト組成物及びレジストパターンの製造方法
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
JP2015038534A (ja) * 2011-12-16 2015-02-26 日産化学工業株式会社 レジスト下層膜形成組成物及びそれを用いたレジストパターンの形成方法
US8592137B2 (en) 2011-12-16 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for small trench patterning using chemical amplified photoresist compositions
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US9159559B2 (en) 2013-03-11 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography layer with quenchers to prevent pattern collapse
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009251328A (ja) * 2008-04-08 2009-10-29 Tokyo Ohka Kogyo Co Ltd 樹脂パターンの製造方法
JP2013257582A (ja) * 2013-07-29 2013-12-26 Fujifilm Corp 感活性光線または感放射線性樹脂組成物、および該組成物を用いたパターン形成方法

Also Published As

Publication number Publication date
US9864275B2 (en) 2018-01-09
TW201631411A (zh) 2016-09-01
KR20160104539A (ko) 2016-09-05
TWI600982B (zh) 2017-10-01
US20160254142A1 (en) 2016-09-01
CN105929634A (zh) 2016-09-07
CN105929634B (zh) 2020-01-14

Similar Documents

Publication Publication Date Title
US9857684B2 (en) Silicon-containing photoresist for lithography
US8822347B2 (en) Wet soluble lithography
US10381481B1 (en) Multi-layer photoresist
US11387104B2 (en) Grafting design for pattern post-treatment in semiconductor manufacturing
KR101786223B1 (ko) 부유 보호제를 갖는 리소그래픽 레지스트
US10747114B2 (en) Blocking layer material composition and methods thereof in semiconductor manufacturing
US11460776B2 (en) Method and apparatus of patterning a semiconductor device
US8753797B2 (en) Surface-modified middle layers
TW201937542A (zh) 半導體裝置結構的形成方法
US10539878B2 (en) Lithography patterning technique
CN105990104B (zh) 制造一半导体装置的方法
CN110875175B (zh) 半导体装置的制造方法
KR102481142B1 (ko) Euv 리소그래피를 위한 네가티브 톤 포토레지스트

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant