TW201937542A - 半導體裝置結構的形成方法 - Google Patents
半導體裝置結構的形成方法 Download PDFInfo
- Publication number
- TW201937542A TW201937542A TW107125331A TW107125331A TW201937542A TW 201937542 A TW201937542 A TW 201937542A TW 107125331 A TW107125331 A TW 107125331A TW 107125331 A TW107125331 A TW 107125331A TW 201937542 A TW201937542 A TW 201937542A
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- hard mask
- photoresist layer
- material layer
- substrate
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 140
- 239000004065 semiconductor Substances 0.000 title claims description 23
- 239000000463 material Substances 0.000 claims abstract description 114
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 111
- 239000000758 substrate Substances 0.000 claims abstract description 50
- 238000005530 etching Methods 0.000 claims abstract description 37
- 230000005855 radiation Effects 0.000 claims abstract description 35
- 230000002378 acidificating effect Effects 0.000 claims abstract description 22
- 230000008569 process Effects 0.000 claims description 100
- 230000000873 masking effect Effects 0.000 claims 2
- 239000003431 cross linking reagent Substances 0.000 abstract description 2
- 239000010410 layer Substances 0.000 description 306
- 229920000642 polymer Polymers 0.000 description 42
- 125000000524 functional group Chemical group 0.000 description 32
- 239000002253 acid Substances 0.000 description 27
- -1 sulfonium amines Chemical class 0.000 description 19
- 239000007789 gas Substances 0.000 description 16
- 238000004519 manufacturing process Methods 0.000 description 14
- 238000011161 development Methods 0.000 description 13
- 230000018109 developmental process Effects 0.000 description 13
- 239000002904 solvent Substances 0.000 description 13
- 239000000126 substance Substances 0.000 description 13
- 238000000576 coating method Methods 0.000 description 11
- 239000011248 coating agent Substances 0.000 description 10
- 238000004528 spin coating Methods 0.000 description 8
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 8
- 239000000203 mixture Substances 0.000 description 7
- 238000000059 patterning Methods 0.000 description 7
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 6
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 6
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N Phenol Chemical compound OC1=CC=CC=C1 ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 description 6
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 description 6
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 description 6
- 239000002585 base Substances 0.000 description 6
- 230000008901 benefit Effects 0.000 description 6
- WPYMKLBDIGXBTP-UHFFFAOYSA-N benzoic acid Chemical compound OC(=O)C1=CC=CC=C1 WPYMKLBDIGXBTP-UHFFFAOYSA-N 0.000 description 6
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 5
- 239000006117 anti-reflective coating Substances 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 230000005669 field effect Effects 0.000 description 5
- 229910052731 fluorine Inorganic materials 0.000 description 5
- 239000011737 fluorine Substances 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- 239000010703 silicon Substances 0.000 description 5
- UUGLSEIATNSHRI-UHFFFAOYSA-N 1,3,4,6-tetrakis(hydroxymethyl)-3a,6a-dihydroimidazo[4,5-d]imidazole-2,5-dione Chemical compound OCN1C(=O)N(CO)C2C1N(CO)C(=O)N2CO UUGLSEIATNSHRI-UHFFFAOYSA-N 0.000 description 4
- YEJRWHAVMIAJKC-UHFFFAOYSA-N 4-Butyrolactone Chemical compound O=C1CCCO1 YEJRWHAVMIAJKC-UHFFFAOYSA-N 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- DKPFZGUDAPQIHT-UHFFFAOYSA-N butyl acetate Chemical compound CCCCOC(C)=O DKPFZGUDAPQIHT-UHFFFAOYSA-N 0.000 description 4
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 4
- 239000000460 chlorine Substances 0.000 description 4
- 230000007547 defect Effects 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 239000005711 Benzoic acid Substances 0.000 description 3
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 3
- ZMXDDKWLCZADIW-UHFFFAOYSA-N N,N-Dimethylformamide Chemical compound CN(C)C=O ZMXDDKWLCZADIW-UHFFFAOYSA-N 0.000 description 3
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 3
- 230000003321 amplification Effects 0.000 description 3
- 235000010233 benzoic acid Nutrition 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 125000005647 linker group Chemical group 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 description 3
- 238000003199 nucleic acid amplification method Methods 0.000 description 3
- 239000002861 polymer material Substances 0.000 description 3
- 239000002243 precursor Substances 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 230000035945 sensitivity Effects 0.000 description 3
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 2
- 239000004593 Epoxy Chemical group 0.000 description 2
- 206010073306 Exposure to radiation Diseases 0.000 description 2
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 2
- KAESVJOAVNADME-UHFFFAOYSA-N Pyrrole Chemical compound C=1C=CNC=1 KAESVJOAVNADME-UHFFFAOYSA-N 0.000 description 2
- 108091081062 Repeated sequence (DNA) Proteins 0.000 description 2
- 229910003902 SiCl 4 Inorganic materials 0.000 description 2
- YTPLMLYBLZKORZ-UHFFFAOYSA-N Thiophene Chemical compound C=1C=CSC=1 YTPLMLYBLZKORZ-UHFFFAOYSA-N 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 125000003545 alkoxy group Chemical group 0.000 description 2
- 125000000217 alkyl group Chemical group 0.000 description 2
- 230000003667 anti-reflective effect Effects 0.000 description 2
- 125000003118 aryl group Chemical group 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 125000004432 carbon atom Chemical group C* 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 125000004122 cyclic group Chemical group 0.000 description 2
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 2
- 239000005350 fused silica glass Substances 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 2
- 238000002513 implantation Methods 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 229910052740 iodine Inorganic materials 0.000 description 2
- 239000011630 iodine Substances 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 150000002596 lactones Chemical group 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 230000003472 neutralizing effect Effects 0.000 description 2
- FDPIMTJIUBPUKL-UHFFFAOYSA-N pentan-3-one Chemical compound CCC(=O)CC FDPIMTJIUBPUKL-UHFFFAOYSA-N 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229920003229 poly(methyl methacrylate) Polymers 0.000 description 2
- 239000004926 polymethyl methacrylate Substances 0.000 description 2
- KWYUFKZDYYNOTN-UHFFFAOYSA-M potassium hydroxide Substances [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 239000004094 surface-active agent Substances 0.000 description 2
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 description 1
- XLLXMBCBJGATSP-UHFFFAOYSA-N 2-phenylethenol Chemical compound OC=CC1=CC=CC=C1 XLLXMBCBJGATSP-UHFFFAOYSA-N 0.000 description 1
- NIXOWILDQLNWCW-UHFFFAOYSA-M Acrylate Chemical compound [O-]C(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-M 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- LSNNMFCWUKXFEE-UHFFFAOYSA-M Bisulfite Chemical compound OS([O-])=O LSNNMFCWUKXFEE-UHFFFAOYSA-M 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- QWOJMRHUQHTCJG-UHFFFAOYSA-N CC([CH2-])=O Chemical compound CC([CH2-])=O QWOJMRHUQHTCJG-UHFFFAOYSA-N 0.000 description 1
- 206010010071 Coma Diseases 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 206010034960 Photophobia Diseases 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 125000004036 acetal group Chemical group 0.000 description 1
- DHKHKXVYLBGOIT-UHFFFAOYSA-N acetaldehyde Diethyl Acetal Natural products CCOC(C)OCC DHKHKXVYLBGOIT-UHFFFAOYSA-N 0.000 description 1
- CSCPPACGZOOCGX-UHFFFAOYSA-N acetone Substances CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 1
- 125000002777 acetyl group Chemical class [H]C([H])([H])C(*)=O 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 239000003513 alkali Substances 0.000 description 1
- 150000001336 alkenes Chemical class 0.000 description 1
- 125000004183 alkoxy alkyl group Chemical group 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 150000001408 amides Chemical class 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000011575 calcium Substances 0.000 description 1
- WUKWITHWXAAZEY-UHFFFAOYSA-L calcium difluoride Chemical compound [F-].[F-].[Ca+2] WUKWITHWXAAZEY-UHFFFAOYSA-L 0.000 description 1
- 239000013043 chemical agent Substances 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 238000003776 cleavage reaction Methods 0.000 description 1
- 239000011247 coating layer Substances 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 238000004132 cross linking Methods 0.000 description 1
- 125000000753 cycloalkyl group Chemical group 0.000 description 1
- 125000000582 cycloheptyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- 125000004210 cyclohexylmethyl group Chemical group [H]C([H])(*)C1([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C1([H])[H] 0.000 description 1
- 125000001511 cyclopentyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 239000002305 electric material Substances 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000000609 electron-beam lithography Methods 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- IGJUMYVLIWBDFK-UHFFFAOYSA-N ethyl 2-hydroxypropanoate;2-hydroxypropanoic acid Chemical compound CC(O)C(O)=O.CCOC(=O)C(C)O IGJUMYVLIWBDFK-UHFFFAOYSA-N 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 125000001072 heteroaryl group Chemical group 0.000 description 1
- 125000005842 heteroatom Chemical group 0.000 description 1
- 150000002430 hydrocarbons Chemical group 0.000 description 1
- 125000004356 hydroxy functional group Chemical group O* 0.000 description 1
- 125000002768 hydroxyalkyl group Chemical group 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- 238000000671 immersion lithography Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 230000005764 inhibitory process Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 125000000686 lactone group Chemical group 0.000 description 1
- 208000013469 light sensitivity Diseases 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- FPYJFEHAWHCUMM-UHFFFAOYSA-N maleic anhydride Chemical compound O=C1OC(=O)C=C1 FPYJFEHAWHCUMM-UHFFFAOYSA-N 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 150000002736 metal compounds Chemical class 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- JESXATFQYMPTNL-UHFFFAOYSA-N mono-hydroxyphenyl-ethylene Natural products OC1=CC=CC=C1C=C JESXATFQYMPTNL-UHFFFAOYSA-N 0.000 description 1
- 238000006386 neutralization reaction Methods 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 125000002868 norbornyl group Chemical group C12(CCC(CC1)C2)* 0.000 description 1
- JRZJOMJEPLMPRA-UHFFFAOYSA-N olefin Natural products CCCCCCCC=C JRZJOMJEPLMPRA-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 239000011941 photocatalyst Substances 0.000 description 1
- 239000003504 photosensitizing agent Substances 0.000 description 1
- 229920000636 poly(norbornene) polymer Polymers 0.000 description 1
- 229920000058 polyacrylate Polymers 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 1
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 238000002310 reflectometry Methods 0.000 description 1
- 230000006335 response to radiation Effects 0.000 description 1
- 230000007017 scission Effects 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000005361 soda-lime glass Substances 0.000 description 1
- 239000011877 solvent mixture Substances 0.000 description 1
- 125000000547 substituted alkyl group Chemical group 0.000 description 1
- 125000005750 substituted cyclic group Chemical group 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 125000000542 sulfonic acid group Chemical group 0.000 description 1
- 150000003568 thioethers Chemical class 0.000 description 1
- 229930192474 thiophene Natural products 0.000 description 1
- 229910052718 tin Inorganic materials 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 125000002023 trifluoromethyl group Chemical group FC(F)(F)* 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/091—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
- G03F7/162—Coating on a rotating support, e.g. using a whirler or a spinner
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2022—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2022—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
- G03F7/203—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/075—Silicon-containing compounds
- G03F7/0752—Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/094—Multilayer resist systems, e.g. planarising layers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/095—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/11—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2041—Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0335—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Architecture (AREA)
- Structural Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Electromagnetism (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Materials For Photolithography (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
Abstract
一種半導體裝置結構的形成方法,此方法包含提供層狀結構於基底上,層狀結構包含在基底上形成的底層,在底層上形成的硬遮罩層,在硬遮罩層上形成的材料層及在材料層上形成的光阻層;使光阻層曝光於輻射源;將光阻層顯影,其中顯影步驟於單一步驟中,移除部分的光阻層和材料層,而大抵上不移除部分的硬遮罩層;以及使用光阻層作為蝕刻遮罩,蝕刻硬遮罩層。材料層可包含酸性基團及/或產酸分子。材料層亦可包含感光基團和交聯劑。
Description
本發明實施例係關於積體電路裝置製造,且特別有關於使用多層(例如三層)結構的裝置圖案化製程。
半導體積體電路(integrated circuit,IC)產業已經歷快速成長。在積體電路發展的過程中,當幾何尺寸(亦即,使用製造製程可以產生的最小元件(或線路))減小的同時,功能密度(亦即,每晶片面積的互連裝置之數量)通常也增加。這個尺寸縮減製程通常藉由增加生產效率和降低相關成本而提供許多好處。然而,這樣的縮減製程亦伴隨著設計及製造含有這些半導體積體電路的裝置的複雜度增加,且為了實現這些好處,在裝置製造中需要類似的發展。
在一示例性方面中,光微影為在半導體微製造中所使用的製程,以選擇性移除部分薄膜或基底。此製程使用光,將圖案(例如幾何圖案)從光罩轉移至基底上的感光層(例如光阻層)。光導致感光層的曝光區的化學變化(例如增加或減少溶解度)。可在將基底曝光之前和/或之後,例如在曝光前(pre-exposure)和/或曝光後(post-exposure)烘烤製程中,執行烘烤製程。然後,顯影製程用顯影劑選擇性地移除曝光或未
曝光區,在基底中形成曝光圖案。最後,執行一製程,以從下方的材料層移除(或去除)剩餘的光阻,其可接受額外的電路製造步驟。對複雜的IC裝置而言,基底可進行複數個光微影圖案化製程。
為了適應用於具有縮小尺寸的裝置的複雜圖案化製程,已對阻材料的結構和組成進行修飾。雖然這樣的修飾通常是有益的,但尚未完全地令人滿意。因為這些及其他原因,額外的改善是令人期望的。
根據一些實施例,提供一種半導體裝置結構的形成方法。此方法包含提供基底;形成硬遮罩層於基底上,其中硬遮罩層的形成步驟產生鹼性基團;形成第一材料層於硬遮罩層上,其中第一材料層的形成步驟產生酸性基團,且酸性基團中和由硬遮罩層的形成步驟所產生的鹼性基團;形成光阻層於第一材料層上;根據一圖案,將光阻層曝光於輻射源;將光阻層顯影;以及執行第一蝕刻製程,以在第一材料層和硬遮罩層中形成圖案,但不在基底中形成圖案。
根據一些實施例,提供一種半導體裝置結構的形成方法。此方法包含提供層狀結構於基底上,層狀結構包含在基底上形成的底層,在底層上形成的硬遮罩層和在硬遮罩層上形成的塗層(coating);形成光阻層於塗層上;使光阻層曝光於輻射源,其中光阻層的曝光產生酸性基團於光阻層中;將光阻層顯影,以形成圖案,其中顯影步驟移除部分的光阻層和塗層,而大抵上不移除部分的硬遮罩層;以及使用光
阻層作為蝕刻遮罩,蝕刻硬遮罩層。
根據一些實施例,提供一種半導體裝置結構的形成方法。此方法包含形成底部抗反射層(bottom anti-reflective coating,BARC)於基底上;形成硬遮罩層於底部抗反射層上;形成材料層於硬遮罩層上,其中材料層包含對具有第一波長的輻射敏感的第一產酸分子;形成光阻層於材料層上,其中光阻層包含對具有第二波長的輻射敏感的第二產酸分子;執行第一曝光製程;執行第二曝光製程,其中使用具有第二波長的輻射,以實施第二曝光製程的執行步驟;將光阻層顯影,以形成圖案;使用光阻層作為蝕刻遮罩,以執行材料層和硬遮罩層的第一蝕刻製程;以及使用硬遮罩層作為蝕刻遮罩,以執行底部抗反射層的第二蝕刻製程。
100‧‧‧方法
102、104、106、108、110、111、112、114、116、118、120、122‧‧‧方塊
200‧‧‧工件
202‧‧‧基底
204‧‧‧底層
206‧‧‧硬遮罩層
210‧‧‧材料層
212、310、316‧‧‧官能基
214‧‧‧光阻層
216‧‧‧第二波長
218‧‧‧圖案
220‧‧‧光罩
222‧‧‧曝光區
226‧‧‧顯影劑
228‧‧‧產酸分子
230‧‧‧未曝光區
232‧‧‧輻射源
302、306、312‧‧‧聚合物
304、308、314‧‧‧主鏈
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。
第1A-1B圖根據本發明實施例的各個方面,繪示示例性方法的流程圖。
第2-3、8A-8B、9-10、11A-11B、12A-12B、13-14圖為根據本發明實施例的各個方面的示例性方法的中間步驟的示例性工件之片段剖面圖。
第4-7圖為根據本發明實施例的各個方面的示例性化學結構的示意圖。
以下內容提供了很多不同的實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件之上,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。
另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。再者,於本發明實施例中,部件形成於另一部件之上、連接至另一部件和/或偶接至(coupled to)另一部件可包含直接接觸地形成部件的實施例,且亦可包含在部件之間形成額外的部件的實施例,如此一來,部件可能不會直接接觸。此外,使用空間上的相對用語,例如「低於……」、「高於……」、「水平的」、「垂直的」、「在……之上」、「在……上方」、「在……之下」、「在……下方」、「上」、「下」、「頂」、「底」等和其他前述的類似用語(例如「水平地」、「向下地」、「向上地」等),以便輕鬆描述本發明實施例之一部件與另一部件之間的關係。此處空間上的相對用語用於涵蓋包含這些部件的裝置的不同
方位。再者,當以「約」、「大約」或其他類似用語描述一數字或一數字範圍時,此用語意圖涵蓋在所述數字的+/- 10%內,除非另有規定。舉例而言,用語「約5nm」涵蓋從4.5nm至5.5nm的尺寸範圍。
本發明實施例基本上有關於IC裝置製造,且特別有關於使用多層(例如三層)結構的裝置圖案化製程。可包含光阻層、中間層(例如硬遮罩層)和底層(例如底部抗反射層(bottom anti-reflective coating,BARC))形成於基底上的三層結構已經展現出最小化光(例如輻射)源的基底反射率及增加底層和硬遮罩層之間的蝕刻選擇性的好處。然而,仍然需要改善三層結構,以有更先進的圖案化製程。舉例而言,已觀察到,硬遮罩層的形成(例如藉由化學氣相沉積(chemical vapor deposition,CVD))可能導入化學基團(chemical moiety),此化學基團可能改變光阻層在顯影製程期間的溶解度的,使缺陷(defect)和渣滓(scum)在產生的圖案中形成。如以下實施例所展現的,將額外的薄膜併入光阻層和硬遮罩層之間,以補救這些和其他不利的影響,藉此改善光阻層在微影圖案化製程期間的品質。再者,本發明實施例所加入的薄膜亦提供其它效益,例如改善光阻層和硬遮罩層之間的附著。
第1A至1B圖根據本發明實施例的一些面向,繪示將工件200圖案化的方法100的流程圖。方法100只是範例,且不用以限定本發明於除了申請專利範圍已詳細記載的元件。可在方法100之前、期間和之後,提供額外的操作,且可取代、剔除或移動所述的一些操作,以用於製程的額外實施例。
如第2至3和8至14圖所示,參照工件200的剖面圖,描述方法100的中間步驟,而於第4至7圖中顯示示例性化學結構的示意圖。為了清楚和方便說明,已簡化圖式的一些元件。
請參閱第1A圖的方塊102和第2圖,提供(或接收)用於圖案化之包含基底202的工件200。基底202可包含元素(單一元素)半導體,例如矽及/或鍺;可包含化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;可包含合金半導體,例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;可包含非半導體材料,例如鈉鈣玻璃(soda-lime glass)、熔融矽石(fused silica)、熔融石英(fused quartz)及/或氟化鈣(CaF2);和/或可包含前述之組合。
基底202可為具有一致組成的單層材料;或者,基底202可包含具有適用於IC裝置製造之類似或不同組成的多個材料層。在一些範例中,基底202可為具有形成於氧化矽層上的半導體矽層之絕緣體上矽(silicon-on-insulator,SOI)基底。在另一些範例中,基底202可包含導電層、半導體層、介電層、其他膜層和/或前述之組合。
基底202可包含在其之上形成的各種電路部件,包含例如:場效電晶體(field effect transistor,FET)、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistor,MOSFET)、互補式金屬氧化物半導體電晶體(CMOS transistor)、高壓電晶體(high voltage transistor)、高頻電晶體(high frequency transistor)、雙極性接面電晶體(bipolar junction transistor)、二極體(diode)、電阻器(resistor)
、電容(capacitor)、電感(inductor)、變容器(varactor)、其他合適的裝置和/或前述之組合。
在基底202包含場效電晶體的一些實施例中,在基底202上形成各種摻雜區,例如源極/汲極區。取決於設計的要求,可用P型摻質,例如磷或砷;及/或N型摻質,例如硼或BF2,對摻雜區摻雜。摻雜區可為平面或非平面(例如在類鰭式場效電晶體裝置)且可直接地形成於基底202上、於P型井結構中、於N型井結構中、於雙井結構中或使用突起結構(raised structure)。可藉由摻質原子的佈植、原位摻雜的磊晶成長及/或其他合適的技術,以形成摻雜區。
請參閱第1A圖的方塊104和第2圖,底層204形成於基底202上。在許多實施例中,底層204為底部抗反射層(bottom anti-reflective coating,BARC),選擇其組成,以最小化在後續形成於底層204上之光阻層(例如第8A圖的光阻層214)的曝光期間所實施的光源的反射率。可藉由旋轉塗佈(spin-coating)多晶碳至基底202的頂面(或多層基底202的最上層材料層的頂面)上,接著烘烤以硬化,來形成底層204。
請參閱第1A圖的方塊106,以及仍參閱第2圖,硬遮罩層206在底層204上形成。硬遮罩層206可為單層結構或可包含許多層,且各層可包含介電質、金屬、金屬化合物及/或其他合適的材料。在許多實施例中,硬遮罩層206包含介電材料,例如半導體氧化物、半導體氮化物、半導體氮氧化物及/或半導體碳化物材料。在一些示例性實施例中,硬遮罩層206包含碳化矽、氮化矽、碳氧化矽、氧氮化矽或其他合適的介
電材料。硬遮罩層206的組成選擇為可選擇性地蝕刻硬遮罩層206而大致上不會蝕刻底層204。換句話說,硬遮罩層206和底層204包含對特定的蝕刻劑具有不同蝕刻敏感度的材料。可藉由任何合適的製程,包含化學氣相沉積(chemical vapor deposition,CVD)、低壓化學氣相沉積(low-pressure CVD,LPCVD)、電漿增強化學氣相沉積(plasma-enhanced CVD,PECVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、旋轉塗佈(spin-on coating)及/或其他合適的技術,來形成硬遮罩層206,且可形成任何合適的厚度。
在描繪的實施例中,如上所述,藉由化學氣相沉積製程來沉積介電材料,以形成硬遮罩層206。具體地說,沉積製程使用包含以下元素之組合的前驅材料:矽、氮、碳、氫、氧和其他合適的元素。在一示例性實施例中,前驅材料包含SiH2N2(CxHy)。在沉積製程的期間,前驅材料可產生作為反應副產物的鹼性基團(basic moiety)208,其後續留在硬遮罩層206中。鹼性基團的非限定範例包含胺(amine)(例如:-NH2、-NHR或前述之組合)、鋶胺(sulfonium amine)(例如:-SO2NH2、-SO2NHR或前述之組合)、鹼(alkaline)(例如:NaOH、KOH、Ca(OH)2、Mg(OH)2或前述之組合)、-CONH2、-CONHR、-CSNH2、-C=CNH2、-C=CNHR、吡啶-NH2(pyridine-NH2)、苯基-NH2(phenyl-NH2)、吡咯-NH2(pyrrole-NH2)、噻吩-NH2(thiophene-NH2)或前述合適的鹼性基團,其中R代表烷基、芳香基、經取代的烷基、經取代的
芳香基、異芳香環(heteroaromatic ring)、異原子(heteroatom)、環狀基或經取代的環狀基。在許多實施例中,在硬遮罩層206沉積的期間,鹼性基團208排氣且累積於硬遮罩層206的頂面。
請參閱第1A圖的方塊108和第3圖,材料層(例如塗佈層或膜)210形成於硬遮罩層206上。在許多實施例中,材料層210包含一或更多聚合物材料,且其厚度為硬遮罩層206的厚度的約30%至約70%。在許多實施例中,材料層210的厚度為底層204的厚度的約10%至約35%。在一些示例性實施例中,材料層210的厚度為約40埃(angstrom)至約250埃。可將材料層210的溶液(例如溶於一溶劑或溶劑混合物中)旋轉塗佈於硬遮罩層206上。在一些示例性實施例中,用於方塊108的旋轉塗佈製程的材料層210的溶液具有比用於方塊104的旋轉塗佈製程的底層204的溶液還低的黏性(viscosity)。
請參閱第4圖,材料層210包含具有主鏈304的聚合物302。主鏈304可包含以丙烯酸酯(acrylate)為主的聚合物、聚(原冰片烯)-共馬來酸酐(poly(norbornene)-co-maleic anhydride,poly(norbornene)-COMA)聚合物、聚(羥基苯乙烯)(poly(hydroxystyrene),PHS)聚合物、其他合適的聚合物或前述之組合中的一個。在一些示例性實施例中,主鏈304包含聚(甲基丙烯酸甲酯)(poly(methyl methacrylate),PMMA)。在一些實施例中,至少一個官能基212附著至主鏈304。官能基212可為酸性基團且可包含羧酸(carboxylic acid)(式I)或苯甲酸(benzoic acid)(式II)中至少一個,其化學結構描繪如下。在
另一些範例中,官能基212可包含磺酸(sulfonic acid)基(未繪示)。在一些示例性實施例中,在形成光阻層214之前(第8A圖),官能基212中和硬遮罩層206的鹼性基團208。替代地或額外地,聚合物302包含鍵結至主鏈304的其他官能基(例如光敏感劑),包含例如:苯(benzene)、酚(phenol)、其他合適的官能基及/或前述之組合。
聚合物302可包含如以下所繪示的下述結構中的一個或更多重複單元,其中R1包含形成烷基、環烷基(cycloalkyl group)、羥基烷基(hydroxyalkyl group)、烷氧基(alkoxy group)、烷氧基烷基(alkoxyl alkyl group)或其他合適的官能基的3至15個碳原子。在許多實施例中,一旦經過後續的曝光及/或烘烤製程,聚合物302的重複單元為可斷開。有利的是,本文描繪的R1基團所包含的基團,透過增加光阻材料在材料層210上的接觸角度,可幫助改善材料層210和後續形成的光阻層214之間的附著。
除了聚合物302以外,參閱第5圖,材料層210還可包含聚合物306,其具有主鏈308和與主鏈308鍵結的官能基310。主鏈308可類似或不同於如上所討論的聚合物302的主鏈304。官能基310可為任何合適的基團且可包含內酯(lactone)官能基,例如gamma-丁內酯(gamma-butyrolactone)。內酯官能基的兩個範例如以下所繪示。在一示例性實施例中,官能基212(第4圖)和官能基310可鍵結至相同的聚合物主鏈(例如主鏈304)。
此外,材料層210可包含光鹼產生器(photo-base generator,PBG),其顧名思義,產生鹼性基團回應於輻射源。在許多實施例中,光鹼產生器所產生的鹼性基團與聚合物306的官能基310反應,產生類似於上述的官能基212的酸性基團。本文所提供的光鹼產生器的非限定範例包含以下結構:
或者,請參閱第6圖,除了聚合物302之外,材料層210還可包含不同於聚合物306的聚合物312。聚合物312包含主鏈314和官能基316。主鏈314可類似或不同於前文所討論的主鏈304。官能基316可為任何合適的基團且可包含縮醛(acetal)、丙酮化合物(acetonide)及/或其他合適的官能基,如下所示的範例:
在一些實施例中,如第7圖所描繪,材料層210額外地或替代地包含一或更多產酸分子228,例如光酸產生器(photo-acid generator,PAG)或熱酸產生器(thermal-acid generator,TAG)。產酸分子228不同於聚合物302,因為在進行曝光製程(例如以下將討論的方塊112的曝光製程)之前,其
結構中缺乏官能基212。相反地,一曝光於外部能量源,例如輻射(例如在以下將討論的第8B圖中所描繪的輻射源232),如同光酸產生器的情況;或熱能,如熱酸產生器的情況,產酸分子228即產生官能基212。因此,方法100可包含對材料層210施加輻射及/或熱源,以產生官能基212,用於中和鹼性基團208的額外步驟。
光酸產生器在曝光於輻射之前的示例性結構由下式III所繪示,且熱酸產生器在曝光於熱能之前的示例性結構由下式IV所繪示。在其各自接觸外部能量源之後,光酸產生器可產生如式V的結構,且熱酸產生器可產生如式VI的結構。在描繪的範例中,Ra包含1至20個碳原子且為經取代或未取代的單價碳氫基團,其具有選自環戊基(cyclopentyl)、環己基(cyclohexyl)、環庚基(cycloheptyl)、4-甲基環己基(4-methylcyclohexyl)、環己基甲基(cyclohexylmethyl)、冰片(norbornyl)、金鋼烷基(adamantly)、2-氧基環戊基(2-oxocyclopentyl)、2-氧基環己基(2-oxocyclohexyl)、2-環戊基-2-氧基乙基(2-cyclopentyl-2-oxoethyl)、2-環己基-2-氧基乙基(2-cyclohexyl-2-oxoethyl)、2-(4-甲基環己基)-2-氧基乙基(2-(4-methylcyclohexyl)-2-oxoethyl)、4-氧雜三環[4.2.1.03,7]-5-壬酮-9-基(4-oxa-tricyclo[4.2.1.03,7]nonan-5-on-9-yl)、2-(金鋼烷基-1-羰氧基)-4-氧雜三環[4.2.1.03,7]-5-壬酮-9-基(2-(adamantyl-1-carbonyloxy)-4-oxa-tricyclo[4.2.1.03,7]nonan-5-on-9-yl)和4-氧基金鋼烷基(4-oxoadamantyl)的非環狀碳氫結構,Rb為氫或三氟甲基(trifluoromethyl),X為羰氧基
(carbonyloxy)(-COO-)、醚(ether)、硫醚(thioether)、醯胺(amide)或碳酸酯(carbonate)鍵,且m為選自1至3的整數。如式V和VI所描繪的,光酸產生器和熱酸產生器的結構包含官能基212,例如磺酸(sulfonic acid)(式V)或羧酸(carboxylic acid)(式VI)。
材料層210可更包含敏化劑(sensitizer),目的在於增加後續形成的光阻層(例如光阻層214)對曝光期間所實施的輻射源(例如紫外光、深紫外光、極紫外光等)的敏感度。敏化劑可鍵結至包含於材料層210中的聚合物(例如聚合物302、聚合物306或聚合物312)的主鏈(例如主鏈304、主鏈308和/或主鏈314)。替代地或額外地,敏化劑可與包含於材料層210中的其他成分混合。在許多實施例中,敏化劑包含酚、苯乙烯(styrene)、氟、鋯(zirconium)、鉿(hafnium)、錫(tin)和/或其他合適的基團。在一些示例性實施例中,附接至材料層210的聚合物的官能基中,至少30%為酚官能基,以放大在後續形成的光阻層中的光酸產生器對輻射源的敏感度。在一些實施例中,附接至聚合物(例如聚合物302和/或聚合物306)的官能基
中,約30%至約70%為酚官能基。
在一些實施例中,材料層210包含改善與光阻層(例如以下所討論的光阻層214)附著的表面活性劑。在一些示例性實施例中,材料層210的接觸角度在約40度至約70度的範圍。
請參閱第1A圖的方塊110和第8A圖,光阻層214形成於材料層210上。光阻層214可包含任何對微影敏感(lithographically sensitive)的阻劑材料,且在許多實施例中,光阻層214包含對輻射源(例如如第9圖所描繪的紫外光、深紫外光(deep ultraviolet,DUV)輻射及/或極紫外光輻射)敏感的光阻材料。然而,本發明實施例的原理同樣地應用於電子束阻劑(e-beam resist)和直寫(direct-write)阻劑材料。光阻層214可為正型(positive-tone)或負型(negative-tone)阻劑材料,且可具有多層結構。再者,可用化學放大(chemical amplification,CA)阻劑材料實施光阻層214。在一實施例中,正型化學放大阻劑材料包含在聚合物接觸酸性基團之後,於顯影劑中變為可溶的聚合物材料(未繪示)。或者,負型化學放大阻劑材料包含在聚合物接觸酸性基團之後,於顯影劑中變為不可溶的聚合物材料(未繪示)。
在許多實施例中,光阻層214包含具有主鏈(未繪示)的聚合物,聚合物具有多個與其主鏈附接的官能基(未繪示)。聚合物主鏈可為以丙烯酸酯為主的聚合物或環烯烴馬來酸酐聚合物,而官能基可包含協助任何後續曝光和顯影製程的基團。在一範例中,官能基可包含對微影敏感的基團(例如敏
化劑),例如酚、苯乙烯、氟及/或其他合適的基團。在示例性實施例中,附接至主鏈的官能基中,約30%至約40%為酚基團。
在許多實施例中,光阻層214包含產生酸性基團回應於輻射曝光的一或更多光酸產生器(photo-acid generator,PAG)。在許多實施例中,相較於材料層210的產酸分子228,在光阻層214中發現的光酸產生器對不同波長的輻射敏感。在另一些實施例中,光阻層214的光酸產生器可類似於材料層210的產酸分子228。光阻層214也可包含可光分解鹼(photo-decomposable base,PDB),其顧名思義,分解鹼性基團回應於輻射源。在一些實施例中,相較於光酸產生器,可光分解鹼具有不同的光敏感度。
光阻層214可更包含可光分解淬滅體(photo-decomposable quencher,PDQ),以減少在不期望有化學變化(例如溶解度的改變)的區域中的酸性基團濃度。舉例而言,對正型阻劑材料而言,這些區域可包含光阻層214的未曝光區或與光阻層214的曝光區交界的邊緣曝光(marginally-exposed)區。以下說明可光分解淬滅體的非限定範例。光阻層214也可包含許多添加劑,例如交聯劑(crosslinking agent)(例如四羥甲基甘脲(tetramethylol glycoluril,TMGU)、四羥甲基甘脲連結劑(TMGU linker)或環氧連結劑(epoxy linker))、表面活性劑、發色團(chromophore)及/或溶劑。
可藉由任何合適的技術,塗抹光阻層214,且在一示例性實施例中,使用旋塗(亦即旋轉塗佈)技術,以液體形式塗抹光阻層214。旋轉塗佈製程可使用離心力,使液體形式的光阻層214均一厚度地分散遍布於底下的基底(例如材料層210)的表面。為了促進應用,光阻層214可包含一溶劑,當移除溶劑時,溶劑使光阻層214呈固體或半固體形式(例如膜)。溶劑可為以下所列中的一個或更多:丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate)、丙二醇單甲基醚(propylene glycol monomethyl ether)、gamma-丁內酯(gamma-butyrolactone)、乳酸乙酯(ethyl lactate)、環己酮(cyclohexanone)、正乙酸丁酯(n-butyl acetate)、乙基酮(ethyl ketone)、二甲基甲醯胺(dimethyl formamide)、醇(alcohol)(例如異丙醇(isopropyl alcohol)或乙醇(ethanol))或其他合適的溶劑。在沉降製程(settling process)的期間及/或在塗抹後(post-application)/曝光前(pre-exposure)前烘烤製程的期間,溶劑可被分離(drive off)作為旋轉塗佈的一部分。可藉由任何合適
的設備,例如烤盤,以適合光阻層214的特定組成和所採用的溶劑的任何溫度,來實施曝光前烘烤製程。
請參閱第1A圖的方塊111和第8B圖,材料層210可曝光於具有第一波長232的輻射源。在一些示例性實施例中,以全面曝光(flood exposure)(亦即,不使用光罩)的方式,實施方塊111的曝光製程。方塊111的曝光製程可提供能量至材料層210的產酸分子228,藉此產生官能基212,其後續可中和殘留在硬遮罩層206中的鹼性基團208。
請參閱第1A圖的方塊112和第9圖,光阻層214可曝光於具有第二波長216的輻射源。在許多實施例中,具有第二波長216的輻射源可為I線(I-line)(波長約365nm)、深紫外光輻射,例如KrF準分子雷射(excimer laser)(波長約248nm)或ArF準分子雷射(波長約193nm)、極紫外光輻射(波長約1nm至約100nm)、X光、電子束、離子束及/或其他合適的輻射。可在空氣中、液體中(浸潤式微影)(immersion lithography)或真空中(例如用於極紫外光微影和電子束微影),執行方塊112的曝光製程。在描繪的實施例中,第二波長216與在方塊111的曝光製程的期間所實施的第一波長232不同。在一示例性實施例中,方塊112的曝光製程使用包含圖案218的光罩220,實施光微影技術。光罩220可為透射式遮罩或反射式遮罩,反射式遮罩可進一步實施解析度增強技術,例如相移(phase-shifting)、偏軸照明(off-axis illumination,OAI)及/或光學鄰近校正(optical proximity correction,OPC)。在另一些實施例中,以預定義(predefined)的圖案,例如IC佈局,而不使用光罩220(
例如使用數位圖案產生器或直接寫入(direct-write)模式),直接調節具有第二波長216的輻射源。在一示例性實施例中,具有第二波長216的輻射源為極紫外光輻射,且在極紫外光微影系統中執行方塊112的曝光製程。相對應地,可使用反射式光罩,以將光阻層214圖案化。
如第9圖所繪示,光阻層214的曝光區222進行化學變化,而未曝光區230仍維持大抵上化學性質不變。在光阻層214包含光酸產生器的一範例中,在曝光區222中產生可類似或不同於官能基212(例如式V)的酸性基團224,其接著可在後續塗抹的顯影劑226(例如第10圖)的存在下,改變光阻材料的溶解度。
對於沒有材料層210的三層結構,且硬遮罩層(例如硬遮罩層206)設置於底部抗反射層(例如底層204)和光阻層(例如光阻層214)之間而言,留在硬遮罩層206中的鹼性基團208可擴散進入光阻層214,且藉由中和酸性基團224,來抑制光阻層214的曝光區222的化學轉變(chemical transformation)。因此,這樣的抑制可能導致渣滓的形成,渣滓通常不可溶(亦即,可能無法輕易移除)於顯影劑(例如顯影劑226)中,且可接著在光阻層214中形成的圖案中產生缺陷,特別是在曝光區222和未曝光區230之間的邊界。為了補救此不利的影響,本發明實施例提供額外的材料層210作為硬遮罩層206和光阻層214之間的阻障,以在方塊112的曝光製程之前或期間,消耗鹼性基團208,藉此防止光阻層214中的渣滓形成。在一範例中,包含在材料層210中的產酸分子228,例如光酸產生器及/
或熱酸產生器,生成官能基212,其可為酸性基團,回應於外加的輻射或熱源(在方塊111或在方塊112的曝光製程期間),其可接著中和硬遮罩層206的鹼性基團208。因此,防止因鹼性基團208擴散進入光阻層214,而在產生的圖案中形成引起缺陷的渣滓。在另一範例中,在方塊111和112的曝光製程之前,完成中和製程,因為材料層210包含官能基212(例如具有式I或式II結構的酸性基團)作為聚合物302的成分。
請參閱第1A圖方塊114和第10圖,在工件200上執行顯影製程。在正型阻劑顯影製程的情況中,方塊114的顯影製程溶解或移除曝光區222,或者在負型阻劑顯影製程的情況中,方塊114的顯影製程溶解或移除未曝光區230。方塊114的顯影製程可從曝光後烘烤製程開始。取決於光阻層214所包含的聚合物,曝光後烘烤製程可催化所產生的酸性基團224和光阻層214中的聚合物之間的反應。舉例而言,曝光後烘烤製程可加速由產生的酸所引起的聚合物的斷開(就正型阻劑而言)或交聯(就負型阻劑而言)。在選擇性的曝光後烘烤製程之後,將顯影劑226塗抹於工件200,藉此移除光阻層214的特定區域(曝光區222或未曝光區230)。合適的正型顯影劑包含四甲基銨氫氧化物(tetramethyl ammonium hydroxide,TMAH)、KOH、NaOH及/或其他合適的溶劑,而合適的負型顯影劑包含溶劑,例如正乙酸丁酯、乙醇、己烷、苯、甲苯及/或其他合適的溶劑。在描繪的實施例中,顯影劑226為正型、鹼性溶劑,例如四甲基銨氫氧化物。在許多實施例中,在方塊114的顯影製程之後,於工件200上執行曝光後烘烤,以進一步穩定光阻層
214的圖案。
在一些實施例中,如第11A圖所描繪,在方塊114的顯影製程之後,材料層210維持大抵上完整。在另一些實施例中,如第11B圖所描繪,部分的材料層210與光阻層214的曝光區222大抵上一起溶解於顯影劑226中,特別是當顯影劑226為鹼性溶劑時,例如四甲基銨氫氧化物。額外地或替代地,可透過使用去離子(de-ionized,DI)水作為清洗試劑的清洗製程,以移除部分的材料層210。在又一些實施例中,在方塊112的曝光製程及/或方塊114的顯影製程之後,部分的材料層210亦溶解於光酸產生器及/或熱酸產生器、光鹼產生器、可光分解淬滅器或包含於光阻層214中的其他成分。
請參閱第1B圖的方塊116和第12A圖,在蝕刻製程中,光阻層214可用作為蝕刻遮罩,以選擇性地移除部分的材料層210和硬遮罩層206。在描繪的實施例中,方塊116的蝕刻製程包含在單一製程中,蝕刻材料層210和硬遮罩層206。在光阻層214中形成的圖案218允許材料層210和硬遮罩層206的曝光部分被選擇性地蝕刻。接著藉由任何合適的方法,從工件200移除光阻層214。替代地或額外地,如第1B圖的方塊116和第12B圖,在方塊116的蝕刻製程中,光阻層214和材料層210一起用作為蝕刻遮罩,以選擇性地移除部分的硬遮罩層206。因此,方塊116的蝕刻製程大抵上移除硬遮罩層206,藉此展示對硬遮罩層206的蝕刻選擇性而不是底層204。接著使用任何合適的方法移除光阻層214和材料層210。
由於組成的不同,如果材料層210和硬遮罩層206
有相當的厚度,材料層210和硬遮罩層206可呈現不同的蝕刻選擇性。然而,在描繪的實施例中,在使用相同蝕刻劑和相同蝕刻條件下的單一蝕刻製程中,蝕刻材料層210和硬遮罩層206,因為材料層210的厚度僅為下方的硬遮罩層206的厚度的約30%至約70%。在許多實施例中,在單一蝕刻製程中,蝕刻材料層210和硬遮罩層206,而下方的底層204大抵上未被蝕刻。
在一些實施例中,使用任何合適的方法,包含乾式蝕刻製程、溼式蝕刻製程、其他合適的蝕刻製程、反應性離子蝕刻(reactive ion etching,RIE)製程或前述之組合,來蝕刻材料層210和硬遮罩層206。在一些示例性實施例中,實施乾式蝕刻製程,並採用蝕刻氣體,包含含氟(例如NF3、CF4、SF6、CH2F2、CHF3及/或C2F6)的蝕刻氣體、含氧(例如O2)的氣體、含氯(例如:Cl2、CHCl3、CCl4、SiCl4及/或BCl3)的氣體、含溴的氣體(例如HBr及/或CHBr3)、含碘的氣體、其他合適的氣體及/或電漿或前述之組合。在一些示例性實施例中,使用以氟為主的蝕刻氣體實施方塊116的蝕刻製程,持續時間約4秒至約30秒。
請參閱第1B圖的方塊118和第13圖,在方塊118的蝕刻製程中,一起使用硬遮罩層206和材料層210(第12A圖)或單獨使用硬遮罩層206(第12B圖)作為蝕刻遮罩,以選擇性地移除部分的底層204(亦即,底部抗反射層)。方塊118的蝕刻製程展現出對底層204而不是下方的基底202的蝕刻選擇性。接著,藉由任何合適的方法,從工件200移除材料層210和硬
遮罩層206。
在一些實施例中,使用任何合適的方法,包含乾式蝕刻製程、溼式蝕刻製程、其他合適的蝕刻製程、反應性離子蝕刻製程或前述之組合,來蝕刻底層204。在一示例性實施例中,實施乾式蝕刻製程,並採用蝕刻氣體,包含含氟(例如NF3、CF4、SF6、CH2F2、CHF3及/或C2F6)的蝕刻氣體、含氧(例如O2)的氣體、含氯(例如:Cl2、CHCl3、CCl4、SiCl4及/或BCl3)的氣體、含溴的氣體(例如HBr及/或CHBr3)、含碘的氣體、其他合適的氣體及/或電漿或前述之組合。在一示例性實施例中,使用以氧為主的蝕刻氣體,實施方塊118的蝕刻製程,持續時間約4秒至約30秒。
請參閱第1B圖的方塊120和第14圖,使用圖案化的底層204作為遮罩,以處理基底202。可執行任何合適的方法,以處理基底202,此方法包含沉積製程、佈植製程(implantation process)、磊晶成長製程及/或任何其他製造製程。在一示例性實施例中,使用圖案化的底層204作為遮罩,以蝕刻基底202。在一些實施例中,使用任何合適的方法,包含乾式蝕刻製程、溼式蝕刻製程、其他合適的蝕刻製程、反應性離子蝕刻製程或前述之組合,來蝕刻基底202。然而,能理解的是,本發明實施例的概念可應用於在基底202上執行的任何製造製程。在各種範例中,經處理的基底202用來製造閘極堆疊、製造互連(interconnect)結構、藉由蝕刻以露出鰭片或藉由磊晶成長鰭片材料,形成非平面的裝置及/或其他合適的應用。接著,在處理基底202之後,使用任何合適的方法,
移除底層204。
請參閱第1B圖的方塊122,接著可提供工件物件200給額外的製造製程。舉例而言,工件200可用於製造積體電路晶片、單晶片系統(system-on-a-chip,SOC)及/或前述的一部分,因此後續的製造製程可形成各種被動和主動微電子裝置,例如電阻器、電容、電感、二極體、金屬氧化物半導體場效電晶體(MOSFET)、互補式金屬氧化物半導體電晶體、雙極性接面電晶體(BJT)、橫向擴散金屬氧化物半導體(laterally diffused MOS,LDMOS)電晶體、高功率金屬氧化物半導體電晶體(high power MOS transistor)、其他型的電晶體及/或其他電路元件。
本發明的一或更多實施例提供許多益處給半導體裝置和其形成製程,雖然這並非用來限定本發明。舉例而言,在硬遮罩層和光阻層之間形成的材料層作為化學阻障,以消耗和/或移除在成膜(film-forming)製程的期間所產生的化學雜質。可在光阻層的後續曝光和顯影之前或期間,中和雜質,例如在硬遮罩層沉積的期間所釋放的鹼性基團,藉此預防在所產生的圖案中形成缺陷。再者,藉由改善一些性質,例如光阻層的附著性,本文所提供的材料層也可改善光阻層對於下方的層的穩定性。
在一面向中,本發明實施例提供一種方法。此方法包含提供基底;形成硬遮罩層於基底上;形成第一材料層於硬遮罩層上;形成光阻層於第一材料層上;根據圖案,使光阻層曝光於輻射源;將光阻層顯影以及執行第一蝕刻製程
,以形成圖案於第一材料層和硬遮罩層中,但不在基底中。在一些實施例中,形成硬遮罩層的步驟生成鹼性基團。在一些實施例中,形成第一材料層的步驟產生酸性基團,中和由形成硬遮罩層的步驟所生成的鹼性基團。在一些實施例中,第一材料層的厚度為硬遮罩層的厚度的約30%至約70%。
在一些實施例中,提供的方法更包含在形成硬遮罩層之前,形成第二材料層於基底上以及使用在第一材料層和硬遮罩中形成的圖案作為蝕刻遮罩,執行第二蝕刻製程,以形成圖案於第二材料層中。在一些實施例中,第二材料層為底部抗反射層(bottom anti-reflective coating,BARC)。
在一些實施例中,第一材料層的酸性基團包含羧酸或苯甲酸,且其中第一材料層包含聚合物,聚合物具有鍵結至其主鏈的酸性基團。在另一些實施例中,第一材料層的聚合物更包含鍵結至其主鏈的內酯基。在又一些實施例中,將光阻層顯影,以移除部分的光阻層和第一材料層。
在一些實施例中,提供的方法更包含在光阻層曝光之前,將第一材料曝光於能量源,其中第一材料層包含回應於能量源的產酸分子。
在另一面向中,本發明實施例提供一種方法。此方法包含提供層狀結構於基底上;層狀結構包含在基底上形成的底層,在底層上形成的硬遮罩層和在硬遮罩層上形成的塗層(coating);形成光阻層於塗層上,將光阻層曝光於輻射源,將光阻層顯影,以形成圖案,以及使用光阻層作為蝕刻遮罩,蝕刻硬遮罩層。在一些實施例中,光阻層的曝光產生
酸性基團於光阻層中。在一些實施例中,上述的顯影步驟亦移除部分的光阻層和塗層,而大抵上未移除部分的硬遮罩層。
在一些實施例中,塗層包含聚合物,聚合物具有附接至其主鏈的羧酸或苯甲酸。在另一些實施例中,聚合物包含鍵結至其主鏈的縮醛基或丙酮化合物基。
在一些實施例中,提供的方法更包含在光阻層曝光之前,將塗層曝光於能量源,其中塗層包含光酸產生器(photo-acid generator,PAG)、熱酸產生器(thermal-acid generator,TAG)或光鹼產生器(photo-base generator,PBG)中的一個。在另一些實施例中,使用具有與光阻層的曝光波長不同的波長的能量源,實施塗層的曝光。
在又一面向中,本發明實施例提供一種方法,此方法包含形成底部抗反射層(bottom anti-reflective coating,BARC)於基底上;形成硬遮罩層於底部抗反射層上;形成材料層於硬遮罩層上;形成光阻層於材料層上;執行第一曝光製程;執行第二曝光製程;將光阻層顯影,以形成圖案,使用光阻層作為蝕刻遮罩,以執行材料層和硬遮罩層的第一蝕刻製程以及使用硬遮罩層作為蝕刻遮罩,以執行底部抗反射層的第二蝕刻製程。
在一些實施例中,材料層包含對具有第一波長的輻射敏感的第一產酸分子,且光阻層包含對具有第二波長的輻射敏感的第二產酸分子。在又一些實施例中,使用第一波長的輻射,實施第一曝光製程,且使用第二波長的輻射,實
施第二曝光製程。在一些實施例中,光阻層的顯影步驟移除部分的材料層。
在一些實施例中,硬遮罩層的形成步驟產生鹼性基團。在一些實施例中,第一曝光製程的執行步驟包含執行全面曝光。在另一些實施例中,第一曝光製程的執行產生第一酸性基團於材料層中,但不在光阻層中。
在一些實施例中,藉由旋轉塗佈層製程,以執行材料層的形成步驟。在一些實施例中,材料層的厚度為硬遮罩層的厚度的約30%至約70%。在另一些實施例中,材料層的厚度為底部抗反射層的厚度的約10%至約35%。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。
Claims (1)
- 一種半導體裝置結構的形成方法,包括:提供一基底;形成一硬遮罩層於該基底上,其中該硬遮罩層的形成步驟產生一鹼性基團;形成一第一材料層於該硬遮罩層上,其中該第一材料層的形成步驟產生一酸性基團,且該酸性基團中和由該硬遮罩層的形成步驟所產生的該鹼性基團;形成一光阻層於該第一材料層上;根據一圖案,將該光阻層曝光於一輻射源;將該光阻層顯影;以及執行一第一蝕刻製程,以在該第一材料層和該硬遮罩層中形成該圖案,但不在該基底中形成該圖案。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/903,796 US10698317B2 (en) | 2018-02-23 | 2018-02-23 | Underlayer material for photoresist |
US15/903,796 | 2018-02-23 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201937542A true TW201937542A (zh) | 2019-09-16 |
Family
ID=67684466
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107125331A TW201937542A (zh) | 2018-02-23 | 2018-07-23 | 半導體裝置結構的形成方法 |
Country Status (3)
Country | Link |
---|---|
US (3) | US10698317B2 (zh) |
CN (1) | CN110189986A (zh) |
TW (1) | TW201937542A (zh) |
Families Citing this family (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10515847B2 (en) * | 2017-09-29 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming vias and method for forming contacts in vias |
US10698317B2 (en) | 2018-02-23 | 2020-06-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Underlayer material for photoresist |
US10665545B2 (en) * | 2018-09-19 | 2020-05-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices, semiconductor packages and methods of forming the same |
WO2021097852A1 (zh) * | 2019-11-22 | 2021-05-27 | 南昌欧菲显示科技有限公司 | 纳米银导电薄膜及其制备方法和电子装置 |
US12074027B2 (en) * | 2021-05-28 | 2024-08-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Underlayer of multilayer structure and methods of use thereof |
CN116449655B (zh) * | 2023-04-19 | 2024-05-31 | 深圳品微光学科技有限公司 | 一种纳米级硬质掩模的制备方法 |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0534273B1 (de) * | 1991-09-27 | 1996-05-15 | Siemens Aktiengesellschaft | Verfahren zur Erzeugung eines Bottom-Resists |
US5372914A (en) * | 1992-03-24 | 1994-12-13 | Kabushiki Kaisha Toshiba | Pattern forming method |
US6610609B2 (en) * | 2000-05-02 | 2003-08-26 | Shipley Company, L.L.C. | Compatibilization treatment |
US6309955B1 (en) * | 2001-02-16 | 2001-10-30 | Advanced Micro Devices, Inc. | Method for using a CVD organic barc as a hard mask during via etch |
US6670425B2 (en) * | 2001-06-05 | 2003-12-30 | Brewer Science, Inc. | Anti-reflective coating of polymer with epoxide rings reacted with light attenuating compound and unreacted epoxide rings |
US7109119B2 (en) * | 2002-10-31 | 2006-09-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Scum solution for chemically amplified resist patterning in cu/low k dual damascene |
US7175944B2 (en) * | 2004-08-31 | 2007-02-13 | Micron Technology, Inc. | Prevention of photoresist scumming |
US20060089000A1 (en) * | 2004-10-26 | 2006-04-27 | International Business Machines Corporation | Material and process for etched structure filling and planarizing |
JP2006133315A (ja) * | 2004-11-02 | 2006-05-25 | Matsushita Electric Ind Co Ltd | 平坦化材料、反射防止膜形成材料、及びこれらを用いた半導体装置の製造方法 |
US7262129B2 (en) * | 2004-11-19 | 2007-08-28 | Texas Instruments Incorporated | Minimizing resist poisoning in the manufacture of semiconductor devices |
US20090093114A1 (en) * | 2007-10-09 | 2009-04-09 | Sean David Burns | Method of forming a dual-damascene structure using an underlayer |
JP5287552B2 (ja) | 2009-07-02 | 2013-09-11 | 信越化学工業株式会社 | 光酸発生剤並びにレジスト材料及びパターン形成方法 |
US8685615B2 (en) * | 2010-06-17 | 2014-04-01 | Nissan Chemical Industries, Ltd. | Photosensitive resist underlayer film forming composition |
US20120122029A1 (en) * | 2010-11-11 | 2012-05-17 | Takanori Kudo | Underlayer Developable Coating Compositions and Processes Thereof |
US9213234B2 (en) | 2012-06-01 | 2015-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photosensitive material and method of lithography |
US9256133B2 (en) | 2012-07-13 | 2016-02-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for developing process |
US9028915B2 (en) | 2012-09-04 | 2015-05-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a photoresist layer |
US20140120469A1 (en) | 2012-10-31 | 2014-05-01 | Rohm And Haas Electronic Materials Llc | Thermal acid generators for use in photoresist |
US9093530B2 (en) | 2012-12-28 | 2015-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin structure of FinFET |
US9012132B2 (en) | 2013-01-02 | 2015-04-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Coating material and method for photolithography |
US9175173B2 (en) * | 2013-03-12 | 2015-11-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Unlocking layer and method |
US9223220B2 (en) | 2013-03-12 | 2015-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photo resist baking in lithography process |
US9146469B2 (en) | 2013-03-14 | 2015-09-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Middle layer composition for trilayer patterning stack |
US8796666B1 (en) | 2013-04-26 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | MOS devices with strain buffer layer and methods of forming the same |
US9548303B2 (en) | 2014-03-13 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices with unique fin shape and the fabrication thereof |
US9536759B2 (en) | 2015-05-29 | 2017-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd | Baking apparatus and method |
KR102472617B1 (ko) * | 2015-06-22 | 2022-11-30 | 브레우어 사이언스, 인코포레이션 | 초평탄화 스핀-온 카본 재료 |
US9818690B2 (en) * | 2015-10-30 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned interconnection structure and method |
US10777452B2 (en) * | 2017-09-14 | 2020-09-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnection structure having top and bottom vias with a barrier layer therebetween and a dielectric spacer at the bottom via |
US10698317B2 (en) | 2018-02-23 | 2020-06-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Underlayer material for photoresist |
-
2018
- 2018-02-23 US US15/903,796 patent/US10698317B2/en active Active
- 2018-06-11 CN CN201810596821.4A patent/CN110189986A/zh active Pending
- 2018-07-23 TW TW107125331A patent/TW201937542A/zh unknown
-
2020
- 2020-06-29 US US16/915,421 patent/US11269256B2/en active Active
-
2022
- 2022-03-08 US US17/689,103 patent/US11796918B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
US11269256B2 (en) | 2022-03-08 |
US10698317B2 (en) | 2020-06-30 |
US20200333710A1 (en) | 2020-10-22 |
US20190265590A1 (en) | 2019-08-29 |
US11796918B2 (en) | 2023-10-24 |
CN110189986A (zh) | 2019-08-30 |
US20220187711A1 (en) | 2022-06-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11822238B2 (en) | Extreme ultraviolet photolithography method with developer composition | |
US10281819B2 (en) | Silicon-containing photoresist for lithography | |
US11796918B2 (en) | Underlayer material for photoresist | |
US10381481B1 (en) | Multi-layer photoresist | |
TWI830691B (zh) | 微影圖案化的方法 | |
US20100173247A1 (en) | Substrate planarization with imprint materials and processes | |
US11774855B2 (en) | Lithography patterning technique | |
US20180149976A1 (en) | Lithography Process With Enhanced Etch Selectivity | |
US20230359124A1 (en) | Materials and methods for forming resist bottom layer | |
TWI736961B (zh) | 半導體裝置的製造方法 | |
CN105990104B (zh) | 制造一半导体装置的方法 | |
TWI754801B (zh) | 半導體裝置的製造方法 | |
TWI734194B (zh) | 半導體裝置的製造方法 | |
KR102481142B1 (ko) | Euv 리소그래피를 위한 네가티브 톤 포토레지스트 | |
TWI743720B (zh) | 半導體結構的形成方法 |