TWI598959B - 於抗反射塗佈層蝕刻期間使用碳以改良粗糙度及提升選擇性的方法 - Google Patents

於抗反射塗佈層蝕刻期間使用碳以改良粗糙度及提升選擇性的方法 Download PDF

Info

Publication number
TWI598959B
TWI598959B TW105104226A TW105104226A TWI598959B TW I598959 B TWI598959 B TW I598959B TW 105104226 A TW105104226 A TW 105104226A TW 105104226 A TW105104226 A TW 105104226A TW I598959 B TWI598959 B TW I598959B
Authority
TW
Taiwan
Prior art keywords
substrate
gaseous molecular
molecular component
multilayer mask
layer
Prior art date
Application number
TW105104226A
Other languages
English (en)
Other versions
TW201719753A (zh
Inventor
維納亞克 哈斯托基
艾洛克 蘭傑
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201719753A publication Critical patent/TW201719753A/zh
Application granted granted Critical
Publication of TWI598959B publication Critical patent/TWI598959B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

於抗反射塗佈層蝕刻期間使用碳以改良粗糙度及提升選擇性的方法
相關申請案的交互參照:依據美國專利法37 C.F.R. § 1.78(a)(4),本專利申請案主張於西元2015年2月13日申請之美國暫時專利申請案第62/115,974號的優先權,該申請案明確併入本說明書中以供參照。
發明係關於將膜薄圖案化之方法,更具體而言,係關於將含矽之抗反射塗層(ARC)圖案化之方法。
在半導體元件生產中於成本及性能上保持競爭力之需要提升了持續提高積體電路之元件密度的需求。而且,為了與半導體積體電路上之微型化達到更高程度的整合,需要良好的方法來降低形成於半導體基板上之電路圖案的大小。這些趨勢及需求對將電路圖案從一層轉移至另一層的能力造成了不斷增加的挑戰。
光微影係藉由將遮罩上的幾何形狀及圖案轉移至半導體晶圓之表面而製造半導體積體電路的支柱技術。原則上,光敏材料暴露於圖案化的光以改變其在顯影溶液中的溶解度。一旦進行成像及顯影,光敏材料可溶解於顯影化學品中的部分被移除,並留下電路圖案。
此外,為了提升光學微影以及適應其缺陷,業界正做出持續進展 以建立替代性的圖案化策略來使半導體製造工業能夠勝任次30 nm的技術節點。配合多重圖案化的光學微影(193i)、EUV(極紫外線)微影、及DSA(定向自組裝)圖案化被認為係一些有希望的候選者並正在進行評估以滿足對於積極型圖案化(aggressive patterning)的上升需求。然而,上述方法亦引起了其自己的一套挑戰,而這在不斷減小的特徵尺寸下展現為「低光阻空間(low resist budget)」、「進料缺陷度(incoming defectivity)」、「遮罩形狀」、「臨界尺寸控制」、「線邊緣粗糙度(LER) 」、及「線寬粗糙度(LWR) 」  。
本發明之實施例係關於將薄膜圖案化的方法,更具體而言,係關於將含矽之抗反射塗佈層(ARC)圖案化的方法。
根據一實施例,描述將界定了一開口特徵圖案之圖案層下方的含矽ARC(抗反射塗佈)層圖案化之方法,該方法包含建立流向電漿處理系統的處理氣體之流量、選擇可增加含矽ARC層相對於圖案層之蝕刻選擇性的處理條件、根據該處理條件使用電漿源而從處理氣體點燃電漿、及將基板暴露於電漿以使圖案層的開口特徵圖案延伸至含矽ARC層中。
在以下的描述中,係為了解釋而非限制的目的來闡述具體的細節,例如一處理系統的特定幾何形狀以及其中所用的各種組件及處理的描述。然而,吾人應理解,本發明在脫離這些特定細節的其它實施例中亦可實施。
同樣地,為了解釋的目的,此處亦闡述特定的數字、材料、及配置以提供對本發明的透徹理解。然而,可實施本發明而無須此等特定細節。此外,吾人應理解,圖式中所顯示的各種實施例係示意性的表示且不必然按比例繪製。
各種操作將以最有助於理解本發明的方式,依序描述為多個獨立的操作。然而,描述的順序不應該被理解為暗示這些操作係必須按順序的。具體而言,這些操作不需要以呈現的順序來執行。所描述的操作可以不同於所描述實施例的順序執行。在額外的實施例中可以執行各種額外的操作及/或省略所描述的操作。
如本文中所使用,術語「輻射敏感材料」係意指且包含例如光阻這樣的光敏材料。
本文中所使用的「基板」泛指根據本發明而對其進行處理之物件。基板可包含元件(尤其是半導體或其他電子元件)的任何材料部分或結構,且舉例而言可為一基底基板結構(例如,一半導體晶圓)、或在基底基板結構上或覆蓋在基底基板上方的一層(例如,一薄膜)。基板可為習知的矽基板或包含半導電性材料層的其它主體基板(bulk substrate)。如本文中所使用,術語「主體基板」不僅意指且包含矽晶圓,而是亦意指且包含覆矽絕緣體(silicon-over-insulator, SOI)基板(例如,矽藍寶石(SOS)基板、及覆矽玻璃(SOG)基板)、基底半導體基部上的矽磊晶層、及其他半導體或光電材料(例如,矽-鍺、鍺、鎵砷化物、鎵氮化物、及銦磷化物)。基板可經摻雜或無摻雜。因此,基板係非意圖限於任何特定的基底結構、底層或覆蓋層、圖案化或未圖案化,而是設想包含任何這樣的層或基底結構、及層及/或基底結構的任何組合。下面描述可能參照特定的基板類型,但此係僅用於說明而非限制之目的。
如上面所提到,吾人需要先進的方法來應付這些挑戰、並滿足對於次30 nm技術節點的積極型圖案化的需求。而且,如上面亦提到的,這些方法引起了其自己的一套挑戰,而這在不斷減小的特徵尺寸下展現為「低光阻空間」、「進料缺陷度」、「遮罩形狀」、「臨界尺寸控制」、「線邊緣粗糙度 (LER) 」、及「線寬粗糙度(LWR) 」。成功地將開頭的圖案化方案(換言之,微影、DSA、等)與蝕刻技術整合之能力對於良好的圖案化轉移係極為重要的。
如一範例,一旦電路圖案初步形成,圖案化的材料(無論是使用光學微影而圖案化的感光材料、機械壓印的圖案層、定向自組裝層、或其他材料)皆係做為遮蔽半導體基板的某些區域之保護層,而其它區域則為暴露的以容許吾人利用乾式蝕刻處理(例如電漿蝕刻處理)將電路圖案轉移至底層。為了在最初的圖案層中產生較薄的特徵,可實行多層方案,其中包含了雙層遮罩或三層遮罩。在包含第二或第三層的情況下,最上面的圖案層可較習慣上選擇用以承受隨後的乾式蝕刻處理之厚度更薄。因此,對於隨後的乾式蝕刻技術的要求提高了。
在電漿蝕刻處理中,將半導體基板暴露於一蝕刻化學品,該蝕刻化學品選擇性地移除了底層,同時最低程度地移除保護層。此蝕刻化學品係源自可離子化與解離的氣體混合物,該可離子化與解離的氣體混合物具有原子/分子成分,能夠與下層進行偏好之反應,並同時使與保護層進行之較不偏好的反應最小化。在電漿的存在下,形成蝕刻化學品係透過其原子/分子成分與高能電子之相互作用 (例如碰撞,舉例而言其中一些碰撞為解離碰撞而其他為離子化碰撞)。透過複雜的機制,對電漿進行操縱以使幾個相互關聯的蝕刻指標達成可接受的值,該等蝕刻指標包含了蝕刻選擇性、蝕刻率、輪廓、等。而且,如上面所提到,在不斷減小的圖案大小下,能夠選擇性地移除一種材料(相對於另一材料)並同時令人滿意地滿足其他圖案指標(例如,粗糙度、缺陷度、等)之能力,只會變得對良好的圖案轉移更為關鍵。須注意,隨後的乾式蝕刻處理之蝕刻選擇性必須要保留微影層以使圖案得以完整地轉移至底層。此外,雖然蝕刻選擇性為關鍵的,但必須維持圖案的完整性(例如,粗糙度,缺陷度、等),且較佳係能夠進行改善。
現在參照圖式,其中類似的元件符號代表了遍及若干視圖的相同或對應部件,圖式1A、2、及3顯示了根據一實施例而將基板圖案化的方法。該方法係繪示於圖1A及2中,並藉由流程圖300的方式呈現在圖3中。如圖3中所呈現,流程圖300開始於步驟312,將包含多層遮罩的基板110放置於電漿處理系統中,其中該多層遮罩具有圖案層140,該圖案層界定了在含矽ARC(抗反射塗佈)層130上方的第一開口特徵圖案142。基板110更包含元件層120及125。元件層120及125可包含欲將圖案轉移至其中的基板110上之任何薄膜或結構。
基板110可包含主體矽基板、單晶矽(摻雜或無摻雜)基板、半導體覆絕緣體(SOI)基板、或任何其他半導體基板,其包含例如Si、SiC、SiGe、SiGeC、Ge、GaAs、InAs、InP、以及其它III/V族或II/VI族化合物半導體、或它們的任何組合(II、III、V、VI族是指在元素週期表中古典或舊的國際純化學與應用化學協會表示法;根據經修訂或新國際純化學與應用化學協會表示法,這些族將分別指2、13、15、16族)。基板可為任何尺寸,例如200 mm(公釐)基板、300 mm的基板、450 mm基板、或甚至更大的基板。元件層120及125可包含圖案可轉移至其中的任何膜或元件結構。
在一實施例中,可藉由將基板110旋塗上感光材料之薄膜、及使用微影處理將薄膜圖案化而製備圖案層140。舉例而言,圖案層140可包含光阻,例如248 nm(奈米)阻劑、193 nm阻劑、157 nm阻劑、EUV(極紫外線)阻劑、電子束敏感阻劑、或任何類型的熱凍結光阻(thermal freeze photo-resist)、電磁(EM)輻射凍結光阻、或化學凍結光阻。
在微影處理期間,將基板110旋塗上光敏材料之薄層可在軌道系統中執行。例如,軌道系統可包含商業上可自Tokyo Electron Limited (TEL)取得的Clean Track ACT®8、ACT®12、LITHIUS®、LITHIUSTM ProTM 、或LITHIUSTM ProVTM 光阻塗佈及顯影系統。在基板上形成光阻膜的其他系統及方法對於熟悉旋塗光阻技術領域者已為熟知。旋塗處理之後可為一或更多第一塗佈後烘烤(post-application bake, PAB)以加熱基板110、及在該一或更多第一PAB之後的一或更多冷卻循環以冷卻基板110。
之後,感光材料可在輻射曝光系統進行成像、並在顯影系統顯影以產生第一開口特徵圖案142。輻射曝光系統可包含乾式或濕式微影系統,其中包含了任何合適的步進/掃描微影系統。例如,光微影系統可為商業上可自Nikon Corporation、ASML Netherlands B.V.、或Canon Inc.取得的 。或者,可使用電子束微影系統來形成第一影像圖案。顯影系統可位於上述軌道系統上。顯影處理之前可為一或更多第一曝光後烘烤(post-exposure bake, PAB)以加熱基板110、及在該一或更多第一PEB之後的一或更多冷卻循環以冷卻基板110。
在另一實施例中,可使用定向自組裝(DSA)技術來製備圖案層140。其中,將一嵌段共聚物塗佈至基板110,並形成一自組裝嵌段共聚物層,該自組裝嵌段共聚物層係由以想要且可預測之方式自我組織的材料(例如,不互溶且會在適當條件下分離以形成主要包含單一嵌段物種之區域的聚合物嵌段)所構成以實現第一及第二區域。一旦相分離完成,選擇性地移除一區域以產生圖案層140。
嵌段共聚物可藉由各種方法加以沉積,其中包含了例如旋轉塗佈、旋轉澆鑄(spin casting)、刷塗、或氣相沉積。例如,嵌段共聚物可作為例如有機溶劑(舉例而言,甲苯)這樣的載體溶劑中之溶液而提供。可將嵌段共聚物的溶液塗佈於層狀結構,並隨後將載體溶劑移除以提供該嵌段共聚物。雖然本發明係非侷限於理論,但吾人應理解由於在類似於材料之區域分離之製程中的熱力學原因,不同的嵌段物種應被理解成會自我聚集。自我組織係受到底層之物理界面、以及在下方之材料層的化學物種與該嵌段共聚物鏈中之聚合物嵌段的其中至少一者之間的化學親和力加以引導。因此,嵌段共聚物的構成嵌段可由於這些界面交互作用及化學親和力而在基板110上自我定向。
在其他實施例中,圖案層140 係使用上述技術中任何者與多重圖案化方案之組合而形成。例如,可藉由使用LLE(微影-微影-蝕刻)、LFLE(微影-凍結-微影-蝕刻)、或 LELE(微影-蝕刻-微影-蝕刻)之雙重及四重圖案化方案而形成圖案層140。另外,舉例而言,圖案層140可為側壁影像轉移(SIT)之雙重或四重圖案化方案的結果。
亦可藉由在塗佈產生圖案層140的材料之前將基板110旋塗上材料之薄膜而製備含矽ARC層130。在含矽ARC層130中的矽含量可變化。例如,在一些實施例中,矽含量可小於40%、或30%、或甚至20%。而且,在其他實施例中,矽含量可大於40%。
圖案層140(其界定了第一開口特徵圖案142)之特徵在於第一厚度145及第一粗糙度147(例如,線邊緣粗糙度LER、或線寬粗糙度LWR)。如圖1B中所示,當使用習知蝕刻處理以將圖案層140的開口特徵圖案142轉移至含矽ARC層130中而形成第二開口特徵圖案152時,會觀察到不良遮罩狀態100。顯著的現象包含了圖案層140剩餘的第二厚度155其厚度不足以完全地讓開口特徵圖案142轉移至含矽ARC層130及下方之元件層120與125中。其他顯著的現象包含了超過第一粗糙度147的第二粗糙度157(換言之,開口特徵圖案142及152之完整性惡化)。
因此,根據若干實施例描述一電漿蝕刻處理,該電漿蝕刻處理補救了一些或全部的上述缺點。該電漿蝕刻於下方的含矽ARC層之蝕刻期間進行鈍化調製,從而提高了相對於圖案層140的蝕刻選擇性(例如,相對於光阻或其它有機材料之蝕刻選擇性)、並改善了以LER/LWR之形式展現的圖案化粗糙度。鈍化物種可於圖案層140上形成薄的保護,從而在含矽ARC層130之蝕刻期間提供增加的蝕刻抗性。線邊緣粗糙度及線寬粗糙度亦可由於鈍化層之形成使得暴露的表面平滑化而得到改善。
根據一實施例,如圖2中所示,藉由使用電漿蝕刻處理而將圖案層140的開口特徵圖案142轉移至含矽ARC層130中以形成第二開口特徵圖案162。電漿蝕刻處理開始於步驟314,建立朝電漿處理系統的處理氣體流動。
接著,在步驟316中,選擇可提高含矽ARC層130與圖案層140之蝕刻選擇性的電漿蝕刻處理之處理條件。
之後,在步驟318中,根據處理條件使用電漿源而從處理氣體點燃電漿,然後在步驟320中,將基板110暴露於電漿以使圖案層140的特徵圖案142延伸至含矽ARC層130中。
根據一實施例,處理氣體含有第一氣態分子成分及第二氣態分子成分,該第一氣態分子成分係由C、F、及選用性的H所構成且具有1比4之碳氟比,該第二氣態分子成分係由C、F、及選用性的H所構成且具有1比3之碳氟比。並且,對電漿蝕刻處理的處理條件進行選擇(藉由將第一氣態分子成分之流率對第二氣態分子成分之流率的比率選擇為大於或等於0.5且小於2.5、或大於或等於0.5且小於1.5)以調整C對F之分子比率而將蝕刻選擇性(以含矽ARC層130之蝕刻率對圖案層140之蝕刻率的比率來測量)提高至超過5比1的值。 表1
在一範例中,第一氣態分子成分包含例如CF4 這樣的含Cx Fy 之氣體(其中x及y為大於零的實數,且x/y = 1/4),且第二氣態分子成分包含例如CHF3 這樣的含Cx Hy Fz 之氣體(其中,其中x、y、及z為大於零的實數,且x/z = 1/3)。CF4 流率對CHF3 流率的比例可介於0.5至2.0,且較佳介於0.8至1.2。或者,處理氣體之混合流動的碳對氟分子比率可介於0.274至0.300。
表1提供了兩個範例性處理條件,即A及B,其中CF4 對CHF3 的流量比率從1.89變化至1.00。線路的CD稍微下降,而LER及LWR減少了10%,且蝕刻選擇性 (E.S.)增加了66%。表1中的數據係取得自剖面SEM(掃描電子顯微鏡)及由上而下SEM測量,這清楚地顯示了鈍化物種調製於下方的含矽ARC層蝕刻期間對於提高選擇性及減少圖案粗糙度之效果。此外,圖案層140可具有開口特徵圖案142,該開口特徵圖案之特徵在其第一臨界尺寸(CD),其中在形成於含矽ARC層130中之開口特徵圖案162之底部所測量的第二CD與該第一CD之間的差係小於第一CD的20%。表2提供了滿足表1中之流量比率的範例性流率的對應分子比率。 表2
表1的結果係藉由在例如參考圖7所描述之電容耦合電漿(CCP)反應器中進行電漿蝕刻處理而獲得。此外,藉由使用光學放射光譜儀(OES),可於電漿蝕刻處理期間獲得光學放射光譜以觀察電漿中之CFx 、F、及CHx 物種之比率的趨勢。如圖4A中所示,第一分子成分對第二分子成分之流量比率的調整(見表1)導致了在CF/F、CF2 /F、CH/F、CH/CF2 、及H/ F含量上的增加。
處理氣體可更包含第三氣態分子成分,該第三氣態分子成分係由原子氫、雙原子氫、或C、與選自於由H及F所組成之群組的元素所構成,其中第三氣態分子成分的碳氟比大於第一及第二氣態分子成分的最大碳氟比。例如,第三氣態分子成分可包含Cx Fy 之氣體,其中x及y代表大於0的實數,且其中比率x/y超過第一及第二氣態分子成分的C對F比率。第三氣態分子成分可包含C4 F8 表3
表3提供了四個範例性處理條件,即a至d,其中CF4 對CHF3 的流量比率係設置為1.89,而C4 F8 的莫耳比率為變化的。線路的CD在一些實例中稍微下降,而LER及LWR在中等的莫耳比率下降,且蝕刻選擇性(E.S.)上升至無限大(在圖案層140上為沉積狀態)。電漿蝕刻處理的結果可與微影後/起始狀態(換言之,CD及粗糙度)進行比較。然而,發明人已經觀察到中等的莫耳比率(例如,0.040)產生了高蝕刻選擇性及低粗糙度。表3中的數據係從剖面SEM(掃描電子顯微鏡)並由上而下SEM測量而獲得,這清楚地顯示了鈍化物種調製於下方的含矽ARC層蝕刻期間對於提高選擇性及減少圖案粗糙度之效果。C4 F8 的莫耳比率可高達0.100,較佳最高為0.050。此外,圖案層140可具有開口特徵圖案142,該開口特徵圖案之特徵在於第一臨界尺寸(CD),其中在形成於含矽ARC層130中之開口特徵圖案162之底部所測量的第二CD與該第一CD之間的差係小於第一CD的20%。
表4提供了添加或不添加C4 F8 之下處理A的範例性流率的分子比率。處理氣體之混合流動的碳對氟分子比率介於0.270至0.300,且較佳在0.274與0.300之間。 表4
或者,舉例而言,第三氣態分子成分可包含Cx Hy 之氣體,其中x及y代表大於0的實數,且其中比率y/x超過第一及第二氣態分子成分的H對C比率。含Cx Hy 之氣體可包含CH4 、C2 H4 、C2 H2 、C2 H6 、C3 H4 、C3 H6 、C3 H8 、C4 H6 、C4 H8 、C4 H10 、C5 H8 、C5 H10 、C6 H6 、C6 H10 、或C6 H12 、或其二或更多者的任何組合。
可對第二氣態分子成分(或第一分子成分、或第三分子成分)的導入進行調製(例如,脈衝調製)。或者,可對第一氣態分子成分、第二氣態分子成分、與第三氣態分子成分之流率的比率其中任何者進行調製。  可選擇用以進行流率調製及對形成於含矽ARC層130中之第二開口特徵圖案162的輪廓進行控制的處理條件包含:設定脈衝振幅、脈衝頻率、脈衝負載比、脈衝波形、或其任何組合。如一範例,脈衝調製可包含使第二氣態分子成分的流率在關閉狀態與開啟狀態之間產生脈衝。
根據另一實施例,處理氣體含有第一氣態分子成分、第二氣態分子成分、及第三氣態分子成分,該第一氣態分子成分係由C、F、及選用性的H所構成,該第二氣態分子成分係由C、F、及選用性的H所構成且具有與該第一氣態分子成分不同的碳氟比,該第三氣態分子成分係由C、與選自於由H及F所組成之群組的元素所構成,其中第三氣態分子成分的碳氟比大於第一及第二氣態分子成分的最大碳氟比。而且,為電漿蝕刻處理選擇處理條件包含為每一氣態分子成分指定使蝕刻選擇性增加(相對於使用該處理條件但僅導入第一及第二氣態分子成分可達到的標稱蝕刻選擇性而言)之流率,該蝕刻選擇性係以含矽ARC層130之蝕刻率對圖案化微影層之蝕刻率的比率來測量。
在一範例中,第一氣態分子成分包含例如CF4 這樣的含Cx Fy 之氣體(其中x及y為大於零的實數),第二氣態分子成分包含例如CHF3 這樣的含Cx Hy Fz 之氣體(其中x、y、及z為大於零的實數),且第三氣態分子成分包含例如CH4 這樣的含Cx Hy 之氣體(其中x及y代表大於0的實數,且其中比率y/x超過第一及第二氣態分子成分的H對C比率)。或者,含Cx Hy 之氣體可包含C2 H4 、C2 H2 、C2 H6 、C3 H4 、C3 H6 、C3 H8 、C4 H6 、C4 H8 、C4 H10 、C5 H8 、C5 H10 、C6 H6 、C6 H10 、或C6 H12 、或其二或更多者的任何組合。CHF3 流率對CF4 流率的比例可介於0至2.0。或者,CF4 流率對CHF3 流率的比率可介於0.5至1.5,且較佳介於0.8至1.2。或者,處理氣體之混合流動的碳對氟分子比率可介於0.274至0.300。 表5
表5提供了五個範例性處理條件,即A至E。處理A與表1中所提供之處理條件相同,換言之,CF4 對CHF3 的流量比率係1.89。對處理條件C至F而言,莫耳比率係增加的。如表5所證實,線路的CD稍微下降,而LER及LWR減少了10%,且蝕刻選擇性(E.S.)上升了一個數量級。然而,過量的CH4 添加導致了大量的沉積及蝕刻處理失敗。CH4 的莫耳比率可高達0.10、或高達0.030。CH4 流率對CF4 流率之比率可高達0.10、或高達0.06,且CH4 流率對CHF3 流率之比例可高達0.20、或高達0.15。
表5中的數據係從剖面SEM(掃描電子顯微鏡)獲得並由上而下SEM測量,這清楚地顯示了鈍化物種調製於下方的含矽ARC層蝕刻期間對於提高選擇性及減少圖案粗糙度之效果。此外,圖案層140可具有開口特徵圖案142,該開口特徵圖案之特徵在於第一臨界尺寸(CD),其中在形成於含矽ARC層130中之開口特徵圖案162之底部所測量的第二CD與該第一CD之間的差係小於第一CD的20%。表6提供了在有添加及沒有添加5 sccm(每分鐘標準立方公分)的CH4 之情況下處理A中之範例性流率的對應分子比率。處理氣體之混合流動的碳對氟分子比率介於0.270至0.300,且較佳在0.274與0.300之間。 表6
表5的結果係在例如參考圖7所描述之電容耦合電漿(CCP)反應器中執行。此外,藉由使用光學放射光譜儀(OES),可於電漿蝕刻處理期間獲得光學放射光譜以觀察在電漿中之CFx 、F、及CHx 物種的比率上的趨勢。如圖4B中所示,第一分子成分對第二分子成分之流量比率的調整(見表5)導致了CF/F、CF2 /F、CH/F、及H/F含量的增加、及CH/CF2 含量的減少。
處理氣體可更包含第四氣態分子成分,該第四氣態分子成分係由原子氫、雙原子氫、或C、與選自於由H及F所組成之群組的元素所構成,其中第四氣態分子成分的碳氟比大於第一及第二氣態分子成分的最大碳氟比。例如,第四氣態分子成分可包含Cx Fy 之氣體,其中x及y代表大於0的實數,且其中比率x/y超過第一及第二氣態分子成分的C對F比率。第四氣態分子成分可包含C4 F8
可對第三氣態分子成分(或第一分子成分、或第二分子成分、或第四分子成分)的導入進行調製(例如,脈衝調製)。或者,可對第一氣態分子成分、第二氣態分子成分、與第三氣態分子成分之流率的比率其中任何者進行調製。可選擇用以進行流率調製及對形成於含矽ARC層130中之第二開口特徵圖案162的輪廓進行控制的處理條件包含:設定脈衝振幅、脈衝頻率、脈衝負載比、脈衝波形、或其任何組合。如一範例,脈衝調製可包含使第二氣態分子成分的流率在關閉狀態與開啟狀態之間進行脈衝。
根據又另一實施例,處理氣體含有第一氣態分子成分、選用性的第二氣態分子成分、及第三氣態分子成分,該第一氣態分子成分係由C、F、及選用性的H所構成,該選用性的第二氣態分子成分係由C、F、及選用性的H所構成且具有與該第一氣態分子成分不同的碳氟比,該第三氣態分子含有原子氫、雙原子氫、或含Cx Hy 之氣體,其中x及y為大於0的實數。而且,為電漿蝕刻處理選擇處理條件包含為每一分子成分指定使蝕刻選擇性增加(相對於使用該處理條件但排除第三氣態分子成分之導入可達到的標稱蝕刻選擇性而言)之流率,該蝕刻選擇性係以含矽ARC層之蝕刻率對圖案化微影層之蝕刻率的比率來測量。 表7
在一範例中,第一氣態分子成分包含例如CF4 這樣的含Cx Fy 之氣體(其中x及y為大於零的實數),選用性的第二氣態分子成分包含例如CHF3 這樣的含Cx Hy Fz 之氣體(其中x、y、及z為大於零的實數),且第三氣態分子成分包含原子氫、雙原子氫、或含Cx Hy 之氣體(其中x及y代表大於0的實數,且其中比率y/x超過例如CH4這樣的第一及第二氣態分子成分之H對C比率)。第三氣態分子成分可包含H2 。或者,第三氣態分子成分包含CH4 、C2 H4 、C2 H2 、C2 H6 、C3 H4 、C3 H6 、C3 H8 、C4 H6 、C4 H8 、C4 H10 、C5 H8 、C5 H10 、C6 H6 、C6 H10 、或C6 H12 、或其二或更多者的任何組合。CF4 流率對CHF3 流率之比例可介於0.5至1.5,且較佳介於0.8至1.2。或者,處理氣體之混合流動的碳對氟分子比率可介於0.274至0.300。
表7提供了三個示範性的處理條件,即G至I。對於處理條件G至I而言,添加至CF4 之H2 莫耳比率係增加的。如表7所證實,在一些實例中線路的CD稍微下降,而LER及LWR少量地上升(然而,較處理A降低)且蝕刻選擇性(E.S.)增加。然而,過量的H2 添加導致了蝕刻選擇性大幅衰減。H2 的莫耳比率可高達0.100。H2 流率對CF4 流率之比率可高達0.20。 表8
表7中的數據係從剖面SEM(掃描電子顯微鏡)獲得並由上而下SEM測量,這清楚地顯示了鈍化物種調製於下方的含矽ARC層蝕刻期間對於提高選擇性及減少圖案粗糙度之效果。此外,圖案層140可具有開口特徵圖案142,該開口特徵圖案之特徵在於第一臨界尺寸(CD),其中在形成於含矽ARC層130中之開口特徵圖案162之底部所測量的第二CD與該第一CD之間的差係小於第一CD的20%。表8通過I提供了在有添加及沒有添加H2 之處理G至I中的範例性流率之對應的分子比率。處理氣體之混合流動的碳對氟分子比率可介於0.270至0.300,且較佳在0.274與0.300之間。第一及第二氣態分子成分的混合流動之氫對氟分子比率可高達0.10。
表7的結果係在例如參考圖7所描述之電容耦合電漿(CCP)反應器中執行。此外,藉由使用光學放射光譜儀(OES),可於電漿蝕刻處理期間獲得光學放射光譜以觀察在電漿中之CFx 、F、及CHx 物種的比率上的趨勢。如圖4C中所示,第一分子成分對第二分子成分之流量比率的調整(見表7)導致了CF/F、CF2 /F、CH/F、及H/ F含量的增加、及CH/CF2 含量的減少。
可對第三氣態分子成分(或第一分子成分、或第二分子成分)的導入進行調製(例如,脈衝調製)。或者,可對第一氣態分子成分、第二氣態分子成分、與第三氣態分子成分之流率的比率其中任何者進行調製。可選擇用以進行流率調製及對形成於含矽ARC層130中之第二開口特徵圖案162的輪廓進行控制的處理條件包含:設定脈衝振幅、脈衝頻率、脈衝負載比、脈衝波形、或其任何組合。如一範例,脈衝調製可包含使第二氣態分子成分的流率在關閉狀態與開啟狀態之間進行脈衝。
電漿蝕刻處理可包含以射頻(RF)功率將支撐基板110的基板固定器電偏壓,及選用性地使電偏壓用的RF功率產生脈衝。 如一範例,電漿蝕刻處理可包含一處理參數空間,該處理參數空間包含:高達約1000 mtorr(毫托)的腔室壓力(例如,高達約200 mtorr、或高達約50-150 mtorr);高達約2000 sccm(每分鐘標準立方厘米)之第一氣態分子成分的流率(例如,高達約1000 sccm、或約1 sccm至約200 sccm);高達約2000 sccm之第二氣態分子成分的流率(例如,高達約1000 sccm、或約1 sccm至約100 sccm);高達約2000 sccm之第三氣態分子成分的流率(例如,高達約1000 sccm、或約1 sccm至約100 sccm);高達約2000 sccm之貴重氣體(例如,He或Ar)的流率(例如,高達約1000 sccm);高達約2000 W(瓦)的上電極(例如,圖7中的元件770)的RF功率(例如,高達約1000 W,或高達約600 W);高達約1000 W的下電極(例如,圖7中的元件522)的RF偏壓(例如,高達約600 W,或高達約100 W,或高達50 W);高達約1000 Hz之選用性的下電極脈衝頻率(例如,高達約100 Hz,或高達約10 Hz,或高達1 Hz);及介於約1:4(20%開啟/80%關閉)至約4:1(80%開啟/20%關閉)之選用性的下電極脈衝負載比(例如,從約1:2至約2:1,或約1:1(50%開啟/50%關閉))。此外,上電極偏壓頻率的範圍可介於約0.1 MHz至約200 MHz,例如約60 MHz。此外,下電極偏壓頻率可介於約0.1 MHz至約100 MHz,例如約2 MHz。
流量調製可實質上與RF功率之脈衝同相(換言之,氣體係於RF功率的開啟狀態期間引入)。或者,於RF功率之脈衝期間內氣體流量可係連續的。或者,流量調製可實質上與RF功率之脈衝不同相(換言之,氣體係於RF功率的關閉狀態期間引入)。流量調製可實質上與RF功率之脈衝不同相,但是可能有一些重疊。此外,從一個脈衝至下一脈衝,RF功率位準(或RF振幅)可加以變化。例如,RF功率位準於基板的電偏壓期間可漸減或降低。
在一實施例中,使得用於電偏壓的RF功率產生脈衝之操作可包含:(i) 以第一RF功率位準使RF功率產生脈衝達第一持續時間;(ⅱ) 使第一氣體(第一氣態分子成分)連續地流動,該第一氣體係主要的蝕刻劑;及(iii) 使第二氣體(第二氣態分子成分)連續地流動。在替代性實施例中,可使第一氣體、或第二氣體、或兩者皆加以脈衝輸送。
在另一實施例中,使得用於電偏壓的RF功率產生脈衝可包含:(i)  以第一RF功率位準使RF功率產生脈衝達第一持續時間;及(ii) 以第二RF功率位準使RF功率產生脈衝達第二持續時間,其中該第二RF功率位準小於該第一RF功率位準,且該第二持續時間係在該在第一持續時間之後。在另一實施例中,使得用於電偏壓的RF功率產生脈衝可更包含:(ⅲ) 以第三RF功率位準使RF功率產生脈衝達第三持續時間,其中該第三RF功率位準小於該第二RF功率位準,且該第三持續時間係在該第二持續時間之後。此外,在其他實施例中,使得用於電偏壓的RF功率產生脈衝可更包含:(ⅳ) 使第一氣體(第一氣態分子成分)連續地流動,該第一氣體係主要的蝕刻劑;及(v) 使第二氣體(第二氣態分子成分)連續地流動,該第二氣體為聚合化或鈍化氣體 。在替代性實施例中,可使第一氣體、或第二氣體、或兩者皆加以脈衝輸送。
在又另一實施例中,使得用於電偏壓的RF功率產生脈衝可包含:(i) 以第一RF功率位準使RF功率產生脈衝達第一持續時間; (ⅱ) 以第二RF功率位準使RF功率產生脈衝達第二持續時間,其中該第二RF功率位準小於該第一RF功率位準,且該第二持續時間係在該在第一持續時間之後;及(iii) 停止使功率產生脈衝達第三持續時間,其中該第三持續時間在該第二持續時間之後。
在其他實施例中,RF功率可供應至下電極而非上電極。在其它替代性實施例中,RF功率及/或DC功率可以圖5至11中所述的任何方式來連接。
執行特定蝕刻處理的持續時間可使用實驗設計(DOE)技術或先前經驗加以判定;然而,其亦可使用光學偵測加以判定。一種可能的光學偵測方法係監控來自電漿區域的放射光光譜之一部分,該光譜之部分可於蝕刻處理中的改變導致了電漿化學品發生改變時進行顯示。在與監控的波長對應之放射位準越過特定閾值(例如,降低至特定位準以下、或增加至特定位準以上)之後,可視為達到蝕刻處理中的轉變點。可使用針對正被使用的蝕刻化學品及正被蝕刻的材料層之各種波長。此外,蝕刻時間可延長以包含一過蝕刻時期,其中該過蝕刻時期構成了在該蝕刻處理開始與偵測到轉變之時間之間的時間的一分率(換言之,1到100%)。
上述用以將含矽ARC層圖案化之方法其中一或更多者可利用電漿處理系統(例如圖7中所述的電漿處理系統)加以執行。然而,所討論的方法在範圍上並不限於此範例性呈現內容。根據上述各種不同的實施例,將基板上之閘堆疊圖案化的方法可在圖5至11中所繪示並於以下說明之電漿處理系統其中任何一者中加以執行。
根據一實施例,用以執行上述所辨識之處理條件的電漿處理系統500係描繪在圖5中,該電漿處理系統500包含電漿處理腔室510、待處理之基板525固定於其上的基板固定器520、及真空泵浦系統550。基板525可為半導體基板、晶圓、平板顯示器、或液晶顯示器。電漿處理腔室510可用以促進在基板525表面附近的電漿處理區域545中之電漿的產生。可離子化氣體或處理氣體混合物係經由氣體分配系統540加以導入。針對處理氣體的一給定流量,處理壓力係使用真空泵浦系統550加以調整。可利用電漿以產生針對預定材料處理的材料,及/或協助自基板525的曝露表面移除材料。電漿處理系統500可用以處理任何期望尺寸的基板,例如200 mm的基板、300 mm的基板、或更大者。
基板525可藉由例如機械夾持系統或電夾持系統(例如,靜電夾持系統)這樣的夾持系統528而固定於基板固定器520。此外,基板固定器520可包括加熱系統(未顯示)或冷卻系統(未顯示),其用以調整及/或控制基板固定器520及基板525的溫度。該加熱系統或冷卻系統可包含熱傳送流體的再循環流動,其在冷卻時自基板固定器520接收熱並將熱傳送至熱交換器系統(未顯示)、或在加熱時自熱交換器系統傳送熱至基板固定器520。在其他實施例中,可將加熱/冷卻元件(例如,電阻加熱元件或熱電加熱器/冷卻器)包含於基板固定器520、以及電漿處理腔室510的腔室壁、及在電漿處理系統500之內的任何其他構件中。
此外,熱傳送氣體可經由背側氣體供應系統526傳送至基板525的背側,以改善在基板525與基板固定器520之間的氣體間隙熱傳導。可於需要在升高或降低的溫度下控制基板的溫度時利用這樣的系統。例如,背側氣體供應系統可包含二區氣體分配系統(two-zone gas distribution system),其中氦氣體間隙壓力可獨立地在基板525的中心及邊緣之間變化。
在顯示於圖5的實施例中,基板固定器520可包含一電極522,RF功率係經由該電極522耦接至電漿處理區域545中的處理電漿。例如,可藉由將來自RF產生器530的RF功率經由一選用性阻抗匹配網路532傳送至基板固定器520,而以一RF電壓將基板固定器520電偏壓。該RF偏壓可用以加熱電子以形成及維持電漿。在此配置中,系統可運作為反應性離子蝕刻(RIE)反應器,其中腔室及上部氣體注射電極作為接地表面。典型的RF偏壓頻率可介於約0.1 MHz至約100 MHz。用於電漿處理的RF系統係為熟習此技術者所熟知。
此外,可使用脈衝偏壓訊號控制器531而使電極522在一RF電壓的電偏壓產生脈衝。例如,來自RF產生器530的RF功率輸出可在關閉狀態及開啟狀態之間產生脈衝。
或者,RF功率係以在多個頻率下施加於基板固定器電極。此外,阻抗匹配網路532可藉由減少反射的功率而改善RF功率至電漿處理腔室510中之電漿的傳送。匹配網路拓樸(例如,L型、π型、T型等)及自動控制方法係為熟習此技術者所熟知。
氣體分配系統540可包含用於導入處理氣體混合物的噴淋頭設計。或者,氣體分配系統540可包含多區噴淋頭設計,該多區噴淋頭設計係用於導入處理氣體混合物及調整在基板525上方之處理氣體混合物的分布。例如,多區噴淋頭設計可用以相對於流向基板525上方之實質上中心區域之處理氣體流動的量或組成成分,而調整流向基板525上方之實質上周圍區域之處理氣體流動的量或組成成分。
真空泵浦系統550可包含能夠高達約每秒5000公升(或更大)泵浦速度之渦輪分子真空泵浦(TMP)、及用於調節腔室壓力的閘閥。在用於乾式電漿蝕刻的習知電漿處理裝置中,可使用每秒1000至3000公升的TMP。對於低壓處理(一般小於約50 mTorr)而言,TMP係有用的。對於高壓處理(換言之,大於約100 mTorr)而言,可使用機械升壓泵浦及乾式粗抽泵浦。此外,用於監控腔室壓力的裝置(未顯示)可連接至電漿處理腔室510。
控制器555包含微處理器、記憶體、及數位I/O埠,其能夠產生控制電壓,此控制電壓足以傳輸及啟動至電漿處理系統500的輸入、以及監控來自電漿處理系統500的輸出。此外,控制器555可連接至RF產生器530、脈衝偏壓訊號控制器531、阻抗匹配網路532、氣體分配系統540、真空泵浦系統550、以及基板加熱/冷卻系統(未顯示)、背側氣體供應系統526、及/或靜電夾持系統528,並與以上元件交換資訊。例如,儲存於記憶體中的程式可根據一處理配方而用以啟動電漿處理系統500之前述元件的輸入,以在基板525上執行一電漿輔助處理,例如電漿蝕刻處理。
控制器555可相對於電漿處理系統500就近地加以設置、或其可相對於電漿處理系統500遠端地加以設置。例如,控制器555可使用直接連接、網內網路、及或網際網路與電漿處理系統500交換資訊。控制器555可連接至例如位於客戶位置(換言之,元件製造商等)的網內網路,或其可連接至例如位於供應商位置(換言之,設備製造者)的網內網路。替代性或額外地,控制器555可連接至網際網路。此外,另一電腦(換言之,控制器、伺服器等)可經由直接連接、網內網路、及/或網際網路存取控制器555以交換資料。
在顯示於圖6的實施例中,電漿處理系統600可類似於圖5的實施例,且在那些參照圖5所描述的元件之外更包含固定的、或機械或電旋轉磁場系統660,以潛在地增加電漿密度及/或改善電漿處理均勻性。此外,控制器555可連接至磁場系統660以調節旋轉的速度及場強度。旋轉磁場的設計及實施係為熟習此技術者所熟知。
在顯示於圖7的實施例中,電漿處理系統700可類似於圖5或圖6的實施例,且可更包含一上電極770,RF功率可從RF產生器772經由選用性阻抗匹配網路774耦接至該上電極770。施加於上電極的RF功率頻率之範圍可介於約0.1 MHz至約200 MHz。此外,施加於下電極的功率頻率之範圍可介於約0.1 MHz至約100 MHz。此外,控制器555係連接至RF產生器772及阻抗匹配網路774,以控制對上電極770的RF功率施加。上電極的設計及實施係為熟習此技術者所熟知。上電極770及氣體分配系統540可如圖所示設計成在相同的腔室組件之內。或者,上電極770可包含多區電極設計,該多區電極設計係用於調整耦接至基板525之上的電漿之RF功率分佈。例如,上電極770可分隔為中心電極及邊緣電極。
在顯示於圖8的實施例中,電漿處理系統800可類似於圖7的實施例,且可更包含一直流(DC)電源890,其連接至與基板525對向的上電極770。該上電極770可包含一電極板。該電極板可包含一含矽電極板。此外,該電極板可包含經摻雜的矽電極板。DC電源890可包含可變DC電源。此外,DC電源890可包含雙極DC電源。DC電源890可更包含一系統,該系統係用以執行監控、調整、或控制DC電源890之極性、電流、電壓、或開啟/關閉狀態的其中至少一者。一旦形成電漿,DC電源890促進彈道電子束的形成。可利用電濾波器(未顯示)以將RF功率與DC電源890去耦合。
例如,由DC電源890施加於上電極770的DC電壓範圍可介於約-2000伏特(V)至約1000V。較佳是,DC電壓的絕對值具有等於或大於約100V的值,且更佳是,DC電壓的絕對值具有等於或大於約500V的值。此外,吾人期望DC電壓具有負極性。此外,吾人期望DC電壓係負電壓,該負電壓具有大於在上電極770的表面上產生之自偏壓電壓的絕對值。面向基板固定器520的上電極770之表面可由含矽材料所構成。
在顯示於圖9的實施例中,電漿處理系統900可類似於圖5及6的實施例,且可更包含一感應線圈980,RF功率係藉由RF產生器982經過選用性阻抗匹配網路984而耦接至該感應線圈980。RF功率係從感應線圈980經由介電窗(未顯示)感應耦合至電漿處理區域545。施加於感應線圈980的RF功率頻率之範圍可介於約10 MHz至約100 MHz。相似地,施加於卡盤電極的功率之頻率可介於約0.1 MHz至約100 MHz。此外,槽口式法拉第擋板(slotted Faraday shield,未顯示)可用以減少在感應線圈980與電漿處理區域545中的電漿之間的電容耦合。此外,控制器555可連接至RF產生器982及阻抗匹配網路984,以控制對感應線圈980的功率施加。
在如圖10所示之一替代實施例中,電漿處理系統1000可類似於圖9的實施例,且可更包含一感應線圈1080,該感應線圈係如同在變壓耦合電漿(TCP)反應器中般從上方與電漿處理區域545連通的「螺旋型」線圈或「盤餅形」線圈。感應耦合電漿(ICP)源或變壓耦合電漿(TCP)源的設計及實施係為熟習此技術者所熟知。
或者,電漿可使用電子迴旋共振(ECR)加以形成。在又另一實施例中,電漿係由螺旋波(Helicon wave)的放射加以形成。在又另一實施例中,電漿係由傳遞的表面波加以形成。上述每一電漿源係為熟習此技術者所熟知。
在顯示於圖11的實施例中,電漿處理系統1100可類似於圖5的實施例,且可更包含一表面波電漿(SWP)源1180。SWP源1180可包含一槽式天線(例如輻射線槽式天線),微波功率係透過微波產生器1182經由選用性阻抗匹配網路1184耦接至該槽式天線。
雖然以上僅詳述本發明的某些實施例,但熟悉本領域者會輕易理解許多修改係可能的而不會在本質上脫離本發明的新穎教示及優點。因此,所有此等修改係意圖被包含在本發明的範圍之內。
100‧‧‧不良遮罩狀態
110‧‧‧基板
120‧‧‧元件層
125‧‧‧元件層
130‧‧‧含矽ARC層
140‧‧‧圖案層
142‧‧‧第一開口特徵圖案
145‧‧‧第一厚度
147‧‧‧第一粗糙度
152‧‧‧第二開口特徵圖案
155‧‧‧第二厚度
157‧‧‧第二粗糙度
162‧‧‧第二開口特徵圖案
300‧‧‧流程圖
312‧‧‧步驟
314‧‧‧步驟
316‧‧‧步驟
318‧‧‧步驟
320‧‧‧步驟
500‧‧‧電漿處理系統
510‧‧‧電漿處理腔室
520‧‧‧基板固定器
522‧‧‧電極
525‧‧‧基板
526‧‧‧背側氣體供應系統
528‧‧‧夾持系統
530‧‧‧RF產生器
531‧‧‧脈衝偏壓訊號控制器
532‧‧‧阻抗匹配網路
540‧‧‧氣體分配系統
545‧‧‧電漿處理區域
550‧‧‧真空泵浦系統
555‧‧‧控制器
600‧‧‧電漿處理系統
660‧‧‧磁場系統
700‧‧‧電漿處理系統
770‧‧‧上電極
772‧‧‧RF產生器
774‧‧‧阻抗匹配網路
800‧‧‧電漿處理系統
890‧‧‧DC電源
900‧‧‧電漿處理系統
980‧‧‧感應線圈
982‧‧‧RF產生器
984‧‧‧阻抗匹配網路
1000‧‧‧電漿處理系統
1080‧‧‧感應線圈
1100‧‧‧電漿處理系統
1180‧‧‧表面波電漿源
1182‧‧‧微波產生器
1184‧‧‧阻抗匹配網路
在隨附圖式中:
圖1A及1B 顯示了將基板上的一層圖案化之方法;
根據一實施例,圖2顯示了將基板上的一層圖案化之方法;
根據一實施例,圖3提供了一流程圖,該流程圖顯示了將基板上的一層圖案化之方法;
根據各樣的實施例,圖4A至4C提供了與用以將基板上的一層圖案化的蝕刻化學品之調整有關的範例性數據;
根據一實施例,圖5顯示了電漿處理系統之示意圖;
根據另一實施例,圖6顯示了電漿處理系統之示意圖;
根據另一實施例,圖7顯示了電漿處理系統之示意圖;
根據另一實施例,圖8顯示了電漿處理系統之示意圖;
根據另一實施例,圖9顯示了電漿處理系統之示意圖;
根據另一實施例,圖10顯示了電漿處理系統之示意圖;及
根據另一實施例,圖11顯示了電漿處理系統之示意圖。
110‧‧‧基板
120‧‧‧元件層
125‧‧‧元件層
130‧‧‧含矽ARC層
140‧‧‧圖案層
162‧‧‧第二開口特徵圖案

Claims (20)

  1. 一種對基板上的多層遮罩進行乾式顯影的方法,該方法包含: 將包含一多層遮罩的一基板放置在一電漿處理系統中,其中該多層遮罩具有一圖案層,該圖案層界定了在一含矽ARC(抗反射塗佈)層上方的一開口特徵圖案; 建立流向該電漿處理系統的一處理氣體之流量,該處理氣體含有: 一第一氣態分子成分,由C、F、及選用性的H所構成; 一第二氣態分子成分,由C、F、及選用性的H所構成,該第二氣態分子成分具有與該第一氣態分子成分不同的碳氟比;及 一第三氣態分子成分,由C、與選自於由H及F所組成之群組的一元素所構成,其中該第三氣態分子成分之碳氟比大於該第一及該第二氣態分子成分之最大碳氟比; 選擇一處理條件,該處理條件為每一氣態分子成分指定一流率使得一蝕刻選擇性相對於使用該處理條件但僅導入該第一及該第二氣態分子成分可達到的一標稱蝕刻選擇性而言係增加,該蝕刻選擇性係以該含矽ARC層之蝕刻率對該圖案層之蝕刻率的比率來測量; 根據該處理條件使用一電漿源而從該處理氣體點燃一電漿;及 將該基板暴露於該電漿以使該圖案層的開口特徵圖案延伸進該含矽ARC層中。
  2. 如申請專利範圍第1項之對基板上的多層遮罩進行乾式顯影的方法,其中該第一氣態分子成分包含CF4
  3. 如申請專利範圍第1項之對基板上的多層遮罩進行乾式顯影的方法,其中該第二氣態分子成分包含CHF3
  4. 如申請專利範圍第1項之對基板上的多層遮罩進行乾式顯影的方法,其中該第一氣態分子成分含有CF4 ,該第二氣態分子成分含有CHF3 ,且CHF3 流率對CF4 流率之比率係介於0〜2.0。
  5. 如申請專利範圍第1項之對基板上的多層遮罩進行乾式顯影的方法,其中該第三氣態分子成包含一含Cx Fy 之氣體,且其中x及y代表大於零的實數。
  6. 如申請專利範圍第5項之對基板上的多層遮罩進行乾式顯影的方法,其中比率x/y超過了該第一及該第二氣態分子成分之C對F比率。
  7. 如申請專利範圍第5項之對基板上的多層遮罩進行乾式顯影的方法,其中該第三氣態分子成分包含C4 F8
  8. 如申請專利範圍第1項之對基板上的多層遮罩進行乾式顯影的方法,其中該第三氣態分子成分包含一含Cx Hy 之氣體,且其中x及y代表大於零的實數。
  9. 如申請專利範圍第8項之對基板上的多層遮罩進行乾式顯影的方法,其中比率y/x超過了該第一及該第二氣態分子成分之H對C比率。
  10. 如申請專利範圍第8項之對基板上的多層遮罩進行乾式顯影的方法,其中該含Cx Hy 之氣體包含CH4 、C2 H4 、C2 H2 、C2 H6 、C3 H4 、C3 H6 、C3 H8 、C4 H6 、C4 H8 、C4 H10 、C5 H8 、C5 H10 、C6 H6 、C6 H10 、或C6 H12 、或其二或更多者的任何組合。
  11. 如申請專利範圍第1項之對基板上的多層遮罩進行乾式顯影的方法,更包含: 導入一第四氣態分子成分,該第四氣態分子成分係由H或一貴重元素所構成。
  12. 如申請專利範圍第11項之對基板上的多層遮罩進行乾式顯影的方法,其中該第四氣態分子成分包含原子或雙原子氫。
  13. 如申請專利範圍第1項之對基板上的多層遮罩進行乾式顯影的方法,更包含: 對該第三氣態分子成分之導入進行脈衝調製。
  14. 如申請專利範圍第13項之對基板上的多層遮罩進行乾式顯影的方法,更包含: 選擇用以進行脈衝調製及對形成於該含矽ARC層中的開口特徵圖案之輪廓進行控制的一第二處理條件,該第二處理條件包含設定一脈衝振幅、一脈衝頻率、一脈衝負載比、或一脈衝波形、或其任何組合。
  15. 如申請專利範圍第13項之對基板上的多層遮罩進行乾式顯影的方法,其中該進行脈衝調製之步驟包含使該第三氣態分子成分之流率在關閉狀態與開啟狀態之間產生脈衝。
  16. 如申請專利範圍第1項之對基板上的多層遮罩進行乾式顯影的方法,更包含: 選擇一第二處理條件,該第二處理條件包含設定該第三氣態分子成分之流率,以使得形成於該圖案層及該含矽ARC層中之開口特徵圖案的一粗糙度相對於使用該處理條件但僅導入該第一及該第二氣態分子成分可達到的一標稱粗糙度而言係 降低。
  17. 如申請專利範圍第16項之對基板上的多層遮罩進行乾式顯影的方法,其中該粗糙度之降低超過該標稱粗糙度的10%。
  18. 如申請專利範圍第1項之對基板上的多層遮罩進行乾式顯影的方法,更包含: 以射頻(RF)功率將支撐著該基板的一基板固定器電偏壓;及 使用於電偏壓的RF功率產生脈衝。
  19. 如申請專利範圍第1項之對基板上的多層遮罩進行乾式顯影的方法,其中該蝕刻選擇性的值超過5。
  20. 如申請專利範圍第1項之對基板上的多層遮罩進行乾式顯影的方法,其中該圖案層的開口特徵圖案之特徵在於一第一臨界尺寸(CD),且其中在形成於該含矽ARC層中的開口特徵圖案之底部所測量的一第二CD與該第一CD之間的差係小於該第一CD的20%。
TW105104226A 2015-02-13 2016-02-15 於抗反射塗佈層蝕刻期間使用碳以改良粗糙度及提升選擇性的方法 TWI598959B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201562115974P 2015-02-13 2015-02-13

Publications (2)

Publication Number Publication Date
TW201719753A TW201719753A (zh) 2017-06-01
TWI598959B true TWI598959B (zh) 2017-09-11

Family

ID=56621471

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105104226A TWI598959B (zh) 2015-02-13 2016-02-15 於抗反射塗佈層蝕刻期間使用碳以改良粗糙度及提升選擇性的方法

Country Status (2)

Country Link
US (1) US9530667B2 (zh)
TW (1) TWI598959B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291356B1 (en) 1997-12-08 2001-09-18 Applied Materials, Inc. Method for etching silicon oxynitride and dielectric antireflection coatings
DE60041341D1 (de) * 1999-08-17 2009-02-26 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
KR100375218B1 (ko) 2000-12-07 2003-03-07 삼성전자주식회사 반사 방지막 및 자기정렬 콘택 기술을 사용하는 반도체 소자의 제조 방법 및 그에 의해 제조된 반도체 소자
US7297628B2 (en) * 2003-11-19 2007-11-20 Promos Technologies, Inc. Dynamically controllable reduction of vertical contact diameter through adjustment of etch mask stack for dielectric etch
JP2007537602A (ja) * 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7858270B2 (en) 2007-05-21 2010-12-28 Tokyo Electron Limited Method for etching using a multi-layer mask
US20090057266A1 (en) * 2007-08-27 2009-03-05 Eda Tuncel Line edge roughness control
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
KR101266291B1 (ko) 2008-12-30 2013-05-22 제일모직주식회사 레지스트 하층막용 조성물 및 이를 이용한 반도체 집적회로디바이스의 제조방법
US8236700B2 (en) 2009-08-17 2012-08-07 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
JP4815519B2 (ja) * 2009-09-14 2011-11-16 東京エレクトロン株式会社 マスクパターンの形成方法及び半導体装置の製造方法
JP5655296B2 (ja) 2009-12-01 2015-01-21 セントラル硝子株式会社 エッチングガス
KR101432605B1 (ko) 2010-12-16 2014-08-21 제일모직주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
US8778207B2 (en) * 2011-10-27 2014-07-15 Applied Materials, Inc. Plasma etch processes for boron-doped carbonaceous mask layers

Also Published As

Publication number Publication date
TW201719753A (zh) 2017-06-01
US9530667B2 (en) 2016-12-27
US20160240388A1 (en) 2016-08-18

Similar Documents

Publication Publication Date Title
TWI598960B (zh) 經由碳-氟含量之調整而在抗反射塗佈層蝕刻期間用以改良粗糙度及提升選擇性的方法
TWI598959B (zh) 於抗反射塗佈層蝕刻期間使用碳以改良粗糙度及提升選擇性的方法
TWI620246B (zh) 於抗反射塗佈層蝕刻期間使用氫以改良粗糙度及提升選擇性的方法
KR102436638B1 (ko) Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
CN107431011B (zh) 用于原子层蚀刻的方法
TWI458014B (zh) 用以控制多層遮罩之圖案臨界尺寸與完整性的蝕刻製程
JP5577530B2 (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
TWI545646B (zh) 臨界尺寸偏差降低之含矽抗反射塗布層之蝕刻方法
US20100178770A1 (en) Method of etching a thin film using pressure modulation
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
US7858270B2 (en) Method for etching using a multi-layer mask
JP5608920B2 (ja) 二酸化炭素/一酸化炭素に基づく処理を利用した基板アッシング方法
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
TW201630049A (zh) 乾電漿蝕刻時之選擇性的提升方法
TW201937545A (zh) 用以增強微影用表面黏著性的電漿處理方法
JP2006253245A (ja) 微細パターン形成方法
WO2017151622A1 (en) Trim method for patterning during various stages of an integration scheme