TWI592984B - 半導體積體電路的製造方法 - Google Patents

半導體積體電路的製造方法 Download PDF

Info

Publication number
TWI592984B
TWI592984B TW104129136A TW104129136A TWI592984B TW I592984 B TWI592984 B TW I592984B TW 104129136 A TW104129136 A TW 104129136A TW 104129136 A TW104129136 A TW 104129136A TW I592984 B TWI592984 B TW I592984B
Authority
TW
Taiwan
Prior art keywords
layer
photoresist
forming
over
width
Prior art date
Application number
TW104129136A
Other languages
English (en)
Other versions
TW201621980A (zh
Inventor
嚴永松
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201621980A publication Critical patent/TW201621980A/zh
Application granted granted Critical
Publication of TWI592984B publication Critical patent/TWI592984B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Drying Of Semiconductors (AREA)

Description

半導體積體電路的製造方法
本發明係關於半導體技術領域,更具體地,關於半導體積體電路的製造方法。
半導體積體電路(IC)工業已經歷了快速發展。IC設計與材料的技術進步已產生了幾代IC,其中每代都具有比前一代更小且更複雜的電路。在IC發展的過程中,功能密度(即,每個晶片面積的互連裝置的數量)通常會提高,而幾何尺寸(即,使用製程能夠製造的最小元件(或線))減小。
這種規模縮小製程通常藉由提高生產效率與降低相關成本來提供益處。這種規模縮小製程也增加了IC處理與製造的複雜程度。為了實現此等進步,需要在IC處理與製造中有類似的發展。儘管製造IC裝置的既有方法通常能滿足其預期目的,但此等方法尚未符合所有方面的要求。例如,需要一種形成具有小型臨界尺寸構件(例如鰭)的可行方法。
為了解決現有技術中所存在的缺陷,根據本發明的一方面,提供了一種半導體裝置的製造方法,該方法包含:於基板上方形成材料層;於該材料層上方形成第一硬罩(hard mask,HM)構件,該HM構件包括:上部,具有第一寬度;以及下部,具有第二寬度,該第二寬度大於該第一寬度;沿著該第一HM構件的側壁形成間隔件;藉由使用該間隔件作為第一蝕刻遮罩,而於 該材料層上方形成第二HM構件;以及藉由使用該第二HM構件作為第二蝕刻遮罩,而於該材料層中形成圖案化的構件。
在該方法中,形成該第一HM構件包括:於該材料層上方沉積HM層;於該HM層上方形成光阻構件,其中該光阻構件包括具有該第一寬度的上部與具有該第二寬度的下部;以及將該光阻構件轉移至該HM層。
在該方法中,形成該光阻構件包括:於該HM層上方塗覆第一光阻層;於該第一光阻層上方塗覆第二光阻層;以及圖案化該第一光阻層與該第二光阻層,以於該第二光阻層中形成該光阻構件的上部並於該第一光阻層中形成該光阻構件的下部。
在該方法中,圖案化該第一光阻層與該第二光阻層包括:對該第一光阻層與該第二光阻層執行單次曝光製程,以於該第一光阻層與該第二光阻層中分別形成第一潛在構件與第二潛在構件;以及執行顯影製程,以藉由分別去除該第二潛在構件與該第一潛在構件而形成該光阻構件的上部以及下部。
在該方法中,將該光阻構件轉移至該HM層包括:通過該光阻構件蝕刻該HM層,其中,該蝕刻製程未實質上蝕刻該材料層;以及去除剩餘的光阻層。
在該方法中,形成該第一HM構件包括:於該材料層上方沉積HM層;對該HM層應用第一圖案化與第一蝕刻,以形成該第一HM構件的下部;以及對該HM層應用第二圖案化與第二蝕刻,以形成該HM構件的上部。
在該方法中,形成該第一HM構件包括:於該材料層上方沉積HM層;於該HM層上方沉積第一中間層;於該第一中間層上方沉積第二中間層;於該第二中間層上方形成光阻構件,其中該光阻構件包括具有該第一寬度的上部與具有該第二寬度的下部;轉移該光阻構件的上部以圖案化該第二中間層,且轉移該光阻構件的下部以圖案化該第一中間層;以及將該圖案化的第一中間層轉移至該HM層,作為該第一HM構件的下部,且將該圖案化的第二中間層轉移至該HM層,作為該第一HM構件的上部。
在該方法中,形成第二HM構件包括:在沿著該第一HM構件的側壁形成間隔件之期間,暴露出該第一HM構件的部分;以及藉由使用間隔件作為蝕刻遮罩,蝕刻暴露出的第一HM構件,其中該蝕刻製程未實質上蝕刻該間隔件與該材料層。
在該方法中,該第一HM構件的下部與上部均形成有垂直的輪廓。
在該方法中,該第二HM構件形成有垂直的輪廓且具有與該間隔件實質上相同的寬度。
在該方法中,該圖案化的構件之寬度與該間隔件的寬度實質上相同。
根據本發明的另一方面,提供了一種半導體裝置的製造方法,該方法包含:於基板上方形成材料層;於該材料層上方形成第一硬罩(HM)構件,該第一HM構件包括:上部,具有第一寬度;以及下部,具有第二寬度,該第二寬度實質上大於該第一寬度;沿著該第一HM構件的側壁形成間隔件,其中,該步驟暴露出該HM層的未被該間隔件覆蓋的部分頂面;使用該間隔件作為蝕刻遮罩,去除暴露出的HM層,以於該材料層上方形成第二HM構件;以及使用該第二HM構件作為蝕刻遮罩,蝕刻該材料層,以於該材料層中形成圖案化的構件。
在該方法中,形成該第一HM構件包括:於該材料層上方沉積HM層;於該HM層上方形成光阻構件,其中,該光阻構件包括具有該第一寬度的上部與具有該第二寬度的下部;以及將該光阻構件轉移至該HM層。
在該方法中,形成該第一HM構件包括:於該材料層上方沉積HM層;於該HM層上方沉積第一中間層;於該第一中間層上方沉積第二中間層;於該第二中間層上方形成光阻構件,其中,該光阻構件包括具有該第一寬度的上部與具有該第二寬度的下部;轉移該光阻構件的上部以圖案化該第二中間層,且轉移該光阻構件的下部以圖案化該第一中間層;以及將圖案化的第一中間層轉移至該HM層,作為該第一HM構件的下部,且將圖案化的第二中間層轉移至該HM層,作為該第一HM構件的上部。
在該方法中,藉由選擇性蝕刻去除該HM層之暴露出的部分,該選擇性蝕刻未實質上蝕刻該間隔件與該材料層。
在該方法中,藉由選擇性蝕刻蝕刻該材料層,該選擇性蝕刻未實質上蝕刻該第二HM構件與該基板。
在該方法中,該第二HM構件形成有垂直的輪廓且具有與該間隔件實質上相同的寬度;且該圖案化的構件之寬度與該間隔件之寬度實質上相同。
根據本發明的又一方面,提供了一種半導體裝置的製造方法,該方法包含:於基板上方形成材料層;於該材料層上方形成硬罩(HM)層;於該HM層上方形成第一光阻(photoresist,PR)層;於該第一PR層上方形成第二PR層;藉由執行單次曝光製程而於該第二PR層中形成第一PR構件且於該第一PR層中形成第二PR構件,其中,該第一PR構件以中心對中心的方式與該第二PR構件對準,其中,該第一PR構件的寬度實質上小於該第二PR構件的寬度;通過該第一PR構件與該第二PR構件蝕刻該HM層,以形成第一HM構件,其中,將該第一PR構件轉移至該第一HM構件的上部,且將該第二PR構件轉移至該第一HM構件的下部;沿著該第一HM構件形成間隔件,其中,該步驟暴露出該HM層之未被該間隔件覆蓋的部分頂面;去除暴露出的HM層,以於該材料層上方形成第二HM構件;以及使用該第二HM構件作為蝕刻遮罩,蝕刻該材料層,以於該基板上方形成圖案化的構件。
在該方法中,藉由選擇性蝕刻去除該HM層之該暴露出的部分,該選擇性蝕刻未實質上蝕刻該間隔件與該材料層。
在該方法中,藉由選擇性蝕刻蝕刻該材料層,該選擇性蝕刻未實質上蝕刻該第二HM構件與該基板。
30‧‧‧鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)
200‧‧‧半導體裝置
210‧‧‧基板
220‧‧‧材料層
310‧‧‧硬罩層(HM層)
315‧‧‧第一硬罩構件(第一HM構件)
315A‧‧‧頂面
315B‧‧‧頂面
315L‧‧‧下部
315U‧‧‧上部
320‧‧‧第一中間層
320A‧‧‧第一中間層構件
330‧‧‧第二中間層
330A‧‧‧第二中間層構件
410‧‧‧第一光阻層
410A‧‧‧第一光阻構件
412‧‧‧第二光阻層
412A‧‧‧第二光阻構件
420‧‧‧金屬閘極電極
510‧‧‧間隔件
610、612‧‧‧第二硬罩構件(第二HM構件)
710‧‧‧圖案化的構件
W1‧‧‧第一寬度
W2‧‧‧第二寬度
W3‧‧‧第三寬度
W4‧‧‧第四寬度
自後述詳述說明與附屬圖式,可最佳理解本揭露之各方面。須注意,依據產業之標準實施方式,各種構件並非依比例繪製。實際上,為了清楚討論,可任意增大或減小各種構件之尺寸。
圖1係根據一些實施例構造的用於製造半導體裝置之例示性方法的流程圖。
圖2A至圖2F、圖3、圖4、圖5與圖6係根據圖1的方法在各個製造階段所構造之例示性半導體裝置的剖面圖。
以下揭露之內容提供許多不同的實施例或範例,用於實施本案所提供之主題的不同特徵。元件與配置的特定範例之描述如下,以簡化本揭露。當可想見,此等敘述僅為例示,其本意並非用於限制本揭露。舉例來說,在下文的描述中,在第二構件上或上方形成第一構件的敘述,可包含形成直接接觸之第一與第二構件的實施例,亦可包含在該第一與第二構件之間形成其他構件,因而該第一與第二構件並未直接接觸的實施例。此外,本揭示內容可能會在多個實施例中重複使用元件符號及/或標號。此種重複使用乃是基於簡化與清晰化之目的,且其本身不代表所討論的不同實施例及/或配置之間的關係。
再者,為了易於描述,可使用空間對應語詞,例如「之下」、「下方」、「低於」、「之上」、「上方」等類似語詞之簡單說明,以描述圖式中一元件或構件與另一元件或構件的關係。空間對應詞語係用以包括除了圖式中描述的位向之外,裝置於使用或操作中之不同位向。裝置可被定位(旋轉90度或是其他位向),並可相應解釋本申請案使用的空間對應描述。
圖1係根據一些實施例的用於製造一個或多個半導體裝置之方法100的流程圖。以下參考如圖2A至圖2F、圖3、圖4、圖5與圖6所示的半導體裝置200,詳細地討論方法100。
參考圖1與圖2A,方法100藉由提供具有材料層220的基板210,而開始步驟102。基板210包括矽。可選地或附加地,基板210可包括例如鍺的其他元素半導體。基板210可亦包括化合物半導體,如碳化矽、砷化鎵、砷化銦與磷化銦。基板210可包括合金半導體,如矽鍺、碳化矽鍺、磷化鎵砷 與磷化鎵銦的。在一實施例中,基板210包括磊晶層。例如,基板210可具有覆蓋塊狀半導體的磊晶層。而且,基板210可包括絕緣體上覆半導體(SOI)結構。例如,基板210可包括埋入氧化物(BOX)層,藉由例如注氧隔離(SIMOX)的製程或其他適合的技術(例如晶圓接合與研磨)形成該埋入氧化物層。
基板210可亦包括藉由例如離子植入及/或擴散製程實施的各種p型摻雜區及/或n型摻雜區。此等摻雜區包括n井、p井、輕摻雜區(LDD)、重摻雜的源極與汲極(S/D)、及配置為形成各種積體電路(IC)裝置(例如互補式金氧半場效電晶體(CMOSFET)、成像感測器及/或發光二極體(LED))的各種通道摻雜輪廓。基板210可更包括形成於基板中與上的其他功能構件,例如電阻器或電容器。
基板210可亦包括各種隔離構件。該隔離構件分離基板210中的各個裝置區域。隔離構件包括藉由使用不同處理技術所形成的不同結構。例如,隔離構件可包括淺溝槽隔離(shallow trench isolation,STI)構件。STI的形成可包括:在基板210中蝕刻溝槽,且以例如氧化矽、氮化矽或氮氧化矽的絕緣材料填充溝槽。填充後的溝槽可具有多層結構,例如以氮化矽填充溝槽的熱氧化物襯層。可執行化學機械拋光(CMP),以回拋(polish back)多餘的絕緣材料且平坦化隔離構件的頂面。
基板210可亦包括藉由介電層與電極層形成的閘極堆疊件。介電層可包括藉由合適技術(例如化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、熱氧化、其等之組合或其他合適的技術)而沉積之界面層(IL)與高介電常數(HK)介電層。IL可包括氧化物、HfSiO與氮氧化物,且HK介電層可包括LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化矽(SiON)及/或其他合適材料。
電極層可包括單層或可選的多層結構,例如以下各層的各種組合:具有提高裝置性能之功函數的金屬層(功函數金屬層);襯層;潤濕層;附著層;以及金屬、金屬合金或金屬矽化物的導電層。MG(即金屬閘極)電極420可包括Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、WN、Cu、W、任何合適的材料或其等之組合。
基板210可亦包括複數層間介電(ILD)層與被整合為形成互連結構的導電構件,其中,該互連結構配置為將各種p型與n型摻雜區與其他功能構件(例如閘極電極)連接,以得到功能積體電路。在一個範例中,基板210可包括互連結構的一部分,且該互連結構包括多層互連(MLI)結構、及與MLI結構整合的ILD層,而提供電佈線以將基板210中的各種裝置,與輸入/輸出功率及訊號耦合。互連結構包括各種金屬線、接觸件及通孔構件(或通孔塞)。金屬線提供水平的電佈線。接觸件提供矽基板與金屬線之間的垂直連接,而通孔構件提供不同金屬層中之金屬線的垂直連接。
仍然參考圖2A,在本實施例中,於基板210上方形成材料層220。材料層220可包括半導體材料層、介電材料層、導電材料層及/或其他合適的材料或其等之組合。可藉由CVD、PVD、ALD、旋塗及/或其他合適的技術將材料層220沉積在基板210上方。
仍參考圖1與圖2A,方法100進行至步驟104,其中,在材料層220上方形成第一硬罩(hard mask,HM)構件315。在本實施例中,第一HM構件315包括上部315U以及下部315L。上部315U具有第一寬度W1,且下部具有第二寬度W2,第二寬度W2實質上大於第一寬度W1。在一實施例中,第二寬度W2大於第一寬度W1的兩倍。可藉由包括沉積、圖案化以及蝕刻的合適製程來形成第一HM構件315。參考圖2B至圖2F,如下描述形成第一HM構件315的一些例示性製程。
圖2B與圖2C描述形成圖2A所示之第一HM構件315的一個例示性製程。如圖2B所示,在材料層220上方沉積HM(硬罩)層310。HM層310 可包括氧化矽、氮化矽、氮氧化物、碳化矽、氧化鈦、氮化鈦、氧化鉭、氮化鉭及/或任何合適的材料。可藉由例如CVD、PVD、ALD、旋塗及/或其他合適的技術來沉積HM層310。
接下來,在HM層310上方形成第一光阻層410。藉由旋塗或其他合適的技術形成第一光阻層410。而後藉由旋塗或其他合適的技術在第一光阻層410上方形成第二光阻層412。可在塗覆每個光阻層之後進行其他步驟,例如烘焙。根據多個實施例,第一光阻層410與第二光阻層412可具有彼此相似或不同的組成。
在一個範例中,選擇具有不同曝光閥值的第一光阻層410與第二光阻層412。在另一個範例中,在微影曝光製程期間第二光阻層412使曝光光束衰減,以使投射到第二光阻層412上的曝光光束被部分吸收,且該曝光光束僅有一部分到達第一光阻層410。在又一個範例中,將第一光阻層410與第二光阻層412配置為僅溶解於分別的、相應的顯影劑。具體地,第一顯影劑用於使第一光阻層410顯影,第二顯影劑用於使第二光阻層412顯影。第一顯影劑不同於第二顯影劑。第一光阻層410可溶解於第一顯影劑而不溶解於第二顯影劑。第二光阻層412可溶解於第二顯影劑而不溶解於第一顯影劑。
在本實施例中,而後,使用光罩實施單次微影曝光製程,以同時使第一光阻層410與第二光阻層412曝光,藉此在相應的光阻層上形成潛在圖案。在微影曝光製程期間,在第一光阻層410中形成第一潛在圖案,且在第二光阻層412中形成第二潛在圖案。潛在圖案係指光阻層中的已被曝光但還未被顯影的部分。由於不同的曝光強度或不同的曝光閥值或此兩者,第一潛在圖案與第二潛在圖案彼此不同。
在本實施例中,第一光阻層與第二光阻層均為負型。而後,藉由相應的顯影劑使第二光阻層412顯影。在顯影劑中去除第二光阻層412中的未被曝光的部分,藉此形成具有第二光阻構件412A的圖案化的第二光阻層。而後,藉由相應的顯影劑使第一光阻層410顯影。如圖2C所示,在顯影劑中去除 未被曝光的部分,藉此形成具有第一光阻構件410A的圖案化的第一光阻層。第一光阻構件410A形成為具有第二寬度W2,第二光阻構件412A形成為具有第一寬度W1。如圖2C所示,第二寬度W2實質上大於第一寬度W1。在2013年5月14日提出申請之序號為13/906,795號美國專利申請「使用單次曝光定義多層圖案的方法」中,揭露在兩個不同的光阻層中同時形成兩個圖案的方法,將其全部內容結合至此以作為參考。
而後,如圖2A所示,藉由使用第一光阻構件410A與第二光阻構件412A作為第一蝕刻遮罩來蝕刻HM層310,以形成第一HM構件315。控制蝕刻製程,以使將第一光阻構件410A轉移至HM層310,以形成第一HM構件的下部315L,且將第二光阻構件412A轉移至HM層310,以形成第一HM構件的上部315U。在本實施例中,蝕刻製程為選擇性蝕刻,該選擇性蝕刻實質上並未蝕刻材料層。因此,材料層220作為蝕刻停止層,其改善蝕刻製程窗與輪廓控制。而後應用光阻剝離製程,以去除任何剩餘的光阻層。
圖2D至圖2F顯示形成如圖2A所示之第一HM構件315的另一例示性製程。相同參考標號用於表示上述參考圖2B與圖2C所述的相同構件。如圖2D所示,在形成第一HM構件315之例示性製程中,於塗覆第一光阻層410之前,在HM層310上方沉積中間層堆疊件。作為範例,如圖2D所示,該中間層堆疊件包括第一中間層320與第二中間層330。在HM層310上方形成第一中間層320。第一中間層320可包括不同於HM層310的材料,以在後續的蝕刻製程期間實現蝕刻選擇性。第一中間層320可包括氧化鈦、氮化鈦、氧化鉭、氮化鉭或任何合適的材料。在第一中間層320上方形成第二中間層330。第二中間層330可包括不同於HM層310的材料,以在後續的蝕刻製程期間實現蝕刻選擇性。第二中間層330可包括富矽抗反射層。可藉由例如旋塗、CVD、PVD、ALD或其他合適的技術沉積第一中間層320與第二中間層330。
而後,如圖2E所示,在第二中間層330上方形成第一光阻構件410A與 第二光阻構件412A。接著,執行蝕刻製程,以通過第一光阻構件410A與第二光阻構件412A蝕刻第一中間層320與第二中間層330。如圖2F所示,控制蝕刻製程,以將第一光阻構件410A轉移至第一中間層320,以形成第一中間層構件320A,且將第二光阻構件412A轉移至第二中間層330,以形成第二中間層構件330A。第一中間層構件320A具有第二寬度W2,且第二中間層構件330A具有第一寬度W1。在本實施例中,蝕刻製程為選擇性蝕刻,該選擇性蝕刻未實質上蝕刻HM層310。因此,HM層310作為蝕刻停止層,藉以改善蝕刻製程窗與輪廓控制。而後,應用光阻剝離製程以去除任何剩餘的光阻層。藉由使用中間層,放寬微影圖案化的製程限制且改善蝕刻製程窗。可應用光阻剝離製程以去除任何剩餘的光阻層。
然後,藉由使用第一中間層構件320A與第二中間層構件330A作為第一蝕刻遮罩來蝕刻HM層310,以形成第一HM構件315。如圖2A所示,控制蝕刻製程,以將第一中間層構件320A轉移至第一HM構件的下部315L,且將第二中間層構件330A轉移至第一HM構件的上部315U。在本實施例中,蝕刻製程為選擇性蝕刻,該選擇性蝕刻未實質上蝕刻材料層220。因此,將材料層220作為蝕刻停止層,藉以改善蝕刻製程窗與輪廓控制。而後應用另一個蝕刻製程去除任何剩餘的中間層。
再次參考圖2A,在又一實施例中,首先藉由包括光阻塗覆、圖案化與蝕刻的第一步驟形成第一HM構件的下部315L。而後藉由包括另一光阻塗覆、圖案化與蝕刻的第二步驟形成第一HM構件的上部315U。
在又一實施例中,藉由將第一光阻構件410A作為第一HM構件的下部315L,以及將第二光阻構件412A作為第一HM構件的上部315U,而形成第一HM構件315。
參考圖1與圖3,方法100進行至步驟106,其中,沿著第一HM構件315的側壁形成間隔件510且暴露出HM層310的上部315U的頂面315A與HM層310的下部315L的部分頂面315B。可藉由在第一HM層315上方沉 積間隔件層以形成間隔件510,且隨後進行間隔件蝕刻以非等向性地蝕刻間隔件層。在一實施例中,控制間隔件蝕刻,以確保暴露出頂面315A與315B。間隔件層可包括氧化矽、氮化矽、氮氧化物、碳化矽、氧化鈦、氮化鈦、氧化鉭、氮化鉭或任何合適的材料。
在一實施例中,間隔件層包括與材料層220及第一HM構件315不同的材料,以實現後續蝕刻的蝕刻選擇性。可藉由ALD、CVD、PVD或其他合適的技術沉積間隔件層。在一實施例中,藉由ALD沉積間隔件層以實現沿著側壁的共形膜覆蓋。藉由控制間隔件層的厚度與間隔件蝕刻製程,間隔件510形成為具有第三寬度W3。在一實施例中,第三寬度W3在約20nm至約60nm的範圍內。
參考圖1與圖4,方法100進行至步驟108,其中,使用間隔件510作為蝕刻遮罩,蝕刻暴露出的HM層310。適當地選擇蝕刻製程,以選擇性地去除暴露出的HM層310,但未實質上蝕刻間隔件510與材料層220。藉此,在蝕刻製程期間,間隔件層510下面的HM層310保持完好無損。因此,材料層220的頂部之間隔件510形成第二HM構件610的第一部分,且間隔件510與間隔件510下面的剩餘的HM層310結合,並形成第二HM構件612的第二部分。在本實施例中,蝕刻製程包括非等向性蝕刻。例如,蝕刻製程為電漿非等向性蝕刻。因此,第二HM構件610的第一部分與第二HM構件612的第二部分具有垂直的輪廓。如之前所提到的,利用足夠的蝕刻選擇性,將材料層220在蝕刻製程期間作為蝕刻停止層,藉以改善蝕刻製程窗與溝槽輪廓控制。
藉由使用間隔件510作為蝕刻遮罩,使第二HM構件610的第一部分與第二HM構件612的第二部分的寬度為第三寬度W3。兩個相鄰之第二HM構件612的第二部分之間的間隙等於第一寬度W1,而兩個相鄰之第二HM構件610的第一部分與第二HM構件612的第二部分之間的間隙等於½{W2-(2×W3)-W1},該間隙被稱為第四寬度W4。藉由選擇第一寬度、第二 寬度與第三寬度,達到W4與W1的預期比率,以及W4與W3的預期比率。在一實施例中,第一寬度W1、第三寬度W3與第四寬度W4彼此相同。
參考圖1與圖5,方法100進行至步驟110,其中,使用第二HM構件610的第一部分與第二HM構件612的第二部分作為第二蝕刻遮罩,蝕刻材料層220,以形成圖案化的構件710。適當地選擇蝕刻製程,以選擇性蝕刻材料層220,但未實質上蝕刻第二HM構件610的第一部分與第二HM構件612的第二部分,以及基板210。在本實施例中,蝕刻製程包括非等向性蝕刻,例如電漿非等向性蝕刻。因此,圖案化的構件710具有垂直的輪廓並具有第三寬度W3。兩個相鄰之圖案化的構件710之間的間隙為第一寬度W1或第四寬度W4。圖案化的構件710的間距相當小,等於(W1+W3)或(W1+W4)。如之前所提到的,利用足夠的蝕刻選擇性,將基板210作為蝕刻停止層,改善蝕刻製程窗與溝槽輪廓控制。然後應用另一蝕刻製程,以去除圖6所示之任何剩餘的第二HM構件。
在方法100之前、期間與之後可提供附加的步驟,且對於方法100的附加實施例可替換、刪除或前後移動所述的一些步驟的順序。可對裝置200進行進一步CMOS或MOS技術處理,以形成各種構件與區域。
基於以上所述,本發明提供用於製造半導體裝置的方法。該方法使用單次微影圖案化與一個間隔件形成,而實現多個小間距構件的形成。該方法論述了製程簡化與成本降低。
本發明提供許多不同之製造IC的實施例,在其他現有方法上提供一個或多個改進方式。在一實施例中,方法包括於基板上方形成材料層,在材料層上方形成第一硬罩(HM)構件。HM構件包括具有第一寬度的上部與具有第二寬度的下部,第二寬度大於第一寬度。該方法更包含沿著第一HM構件的側壁形成間隔件,藉由使用間隔件作為第一蝕刻遮罩而於材料層上方形成第二HM構件,以及藉由使用第二HM構件作為第二蝕刻遮罩而於材料層中形成圖案化的構件。
在另一實施例中,製造半導體裝置的方法包括於基板上方形成材料層,在材料層上方形成第一硬罩(HM)構件。第一HM構件包括具有第一寬度的上部與具有第二寬度的下部,第二寬度實質上大於第一寬度。該方法更包含沿著第一HM構件的側壁形成間隔件。該方法暴露出HM層的未被間隔件覆蓋的部分頂面。該方法更包含使用第一HM構件作為蝕刻遮罩去除暴露出的HM層,以於材料層上方形成第二HM構件,以及使用第二HM構件作為蝕刻遮罩,蝕刻材料層,以於基板上方形成圖案化的構件。
在又一實施例中,製造半導體IC的方法包括於基板上方形成材料層,在材料層上方形成硬罩(HM)層,在HM層上方形成第一光阻(PR)層,在第一PR層上方形成第二PR層,藉由執行單次曝光製程而於第二PR層中形成第一PR構件且在第一PR層中形成第二PR構件。因此第一PR構件以中心對中心的方式與第二PR構件對準。第一PR構件的寬度實質上小於第二PR構件的寬度。該方法更包含通過第一PR構件與第二PR構件蝕刻HM層以形成第一HM構件,以將第一PR構件轉移至第一HM構件的上部,且將第二PR構件轉移至第一HM構件的下部。該方法更包含沿著第一HM構件形成間隔件,其中,該方法暴露出HM層之未被間隔件覆蓋的部分頂面。該方法更包含去除暴露出的HM層,以於材料層上方形成第二HM構件,以及使用第二HM構件作為蝕刻遮罩,蝕刻材料層以於基板上方形成圖案化的構件。
以上內容概述若干實施例的特徵,因而所屬技術領域中通常知識者可更為理解本揭露之各方面。所屬技術領域中具有通常知識者應理解可輕易使用本揭露作為基礎,用於設計或修改其他製程與結構而與本文所述之實施例具有相同目的及/或達到相同優點。所屬技術領域中具有通常知識者亦應理解此均等架構並未悖離本揭露之精神與範圍,且在不悖離本揭露之精神與範圍的情況下,所屬技術領域中具有通常知識者可進行各種變化、取代與替換。

Claims (9)

  1. 一種半導體裝置的製造方法,該方法包含:於基板上方形成材料層;於該材料層上方形成第一硬罩(hard mask,HM)構件,該第一HM構件包括:上部,具有第一寬度;與下部,具有第二寬度,該第二寬度大於該第一寬度,其中形成該第一HM構件包括:於該材料層上方沉積HM層;於該HM層上方形成光阻構件,其中該光阻構件包括具有該第一寬度的上部與具有該第二寬度的下部;以及將該光阻構件轉移至該HM層;沿著該第一HM構件的側壁形成間隔件;藉由使用該間隔件作為第一蝕刻遮罩,而於該材料層上方形成第二HM構件;以及藉由使用該第二HM構件作為第二蝕刻遮罩,而於該材料層中形成圖案化的構件。
  2. 如申請專利範圍第1項之方法,其中,形成該光阻構件包括:於該HM層上方塗覆第一光阻層;於該第一光阻層上方塗覆第二光阻層;以及圖案化該第一光阻層與該第二光阻層,以於該第二光阻層中形成該光阻構件的上部並於該第一光阻層中形成該光阻構件的下部。
  3. 如申請專利範圍第2項之方法,其中,圖案化該第一光阻層與該第二光阻層包括: 對該第一光阻層與該第二光阻層執行單次曝光製程,以於該第一光阻層與該第二光阻層中分別形成第一潛在構件與第二潛在構件;以及執行顯影製程,以藉由分別去除該第二潛在構件與該第一潛在構件而形成該光阻構件的上部以及下部。
  4. 如申請專利範圍第1項之方法,其中,將該光阻構件轉移至該HM層包括:通過該光阻構件蝕刻該HM層,其中,該蝕刻製程未實質上蝕刻該材料層;以及去除剩餘的光阻層。
  5. 如申請專利範圍第1項之方法,其中,形成該第一HM構件包括:於該材料層上方沉積HM層;對該HM層應用第一圖案化與第一蝕刻,以形成該第一HM構件的下部;以及對該HM層應用第二圖案化與第二蝕刻,以形成該第一HM構件的上部。
  6. 如申請專利範圍第1項之方法,其中,形成該第一HM構件包括:於該材料層上方沉積HM層;於該HM層上方沉積第一中間層;於該第一中間層上方沉積第二中間層;於該第二中間層上方形成光阻構件,其中該光阻構件包括具有該第一寬度的上部與具有該第二寬度的下部;轉移該光阻構件的上部以圖案化該第二中間層,且轉移該光阻構件的下部以圖案化該第一中間層;以及將該圖案化的第一中間層轉移至該HM層,作為該第一HM構件的下部,且將該圖案化的第二中間層轉移至該HM層,作為該第一HM構件的上部。
  7. 如申請專利範圍第1項之方法,其中,形成第二HM構件包括:在沿著該第一HM構件的側壁形成間隔件之期間,暴露出該第一HM構件的部分;以及藉由使用間隔件作為蝕刻遮罩,蝕刻該暴露出的第一HM構件,其中該蝕刻製程未實質上蝕刻該間隔件與該材料層。
  8. 一種半導體裝置的製造方法,該方法包含:於基板上方形成材料層;於該材料層上方形成第一硬罩(hard mask,HM)構件,該第一HM構件包括:上部,具有第一寬度;與下部,具有第二寬度,該第二寬度實質上大於該第一寬度,其中該上部與該下部係由相同材料形成;沿著該第一HM構件的側壁形成間隔件,其中,該步驟暴露出一HM層之未被該間隔件覆蓋的部分頂面;使用該間隔件作為蝕刻遮罩,去除該暴露出的HM層,以於該材料層上方形成第二HM構件;以及使用該第二HM構件作為蝕刻遮罩,蝕刻該材料層,以於該材料層中形成圖案化的構件。
  9. 一種半導體裝置的製造方法,該方法包含:於基板上方形成材料層;於該材料層上方形成硬罩(hard mask,HM)層;於該HM層上方形成第一光阻(photoresist,PR)層;於該第一PR層上方形成第二PR層;藉由執行單次曝光製程而於該第二PR層中形成第一PR構件且於該第一PR層中形成第二PR構件,其中,該第一PR構件以中心對中心的方式 與該第二PR構件對準,其中,該第一PR構件的寬度實質上小於該第二PR構件的寬度;通過該第一PR構件與該第二PR構件蝕刻該HM層,以形成第一HM構件,其中,將該第一PR構件轉移至該第一HM構件的上部,且將該第二PR構件轉移至該第一HM構件的下部;沿著該第一HM構件形成間隔件,其中,該步驟暴露出該HM層之未被該間隔件覆蓋的部分頂面;去除暴露出的HM層,以於該材料層上方形成第二HM構件;以及使用該第二HM構件作為蝕刻遮罩,蝕刻該材料層,以於該基板上方形成圖案化的構件。
TW104129136A 2014-09-10 2015-09-03 半導體積體電路的製造方法 TWI592984B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/482,246 US9941139B2 (en) 2014-09-10 2014-09-10 Method of semiconductor integrated circuit fabrication

Publications (2)

Publication Number Publication Date
TW201621980A TW201621980A (zh) 2016-06-16
TWI592984B true TWI592984B (zh) 2017-07-21

Family

ID=55438168

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104129136A TWI592984B (zh) 2014-09-10 2015-09-03 半導體積體電路的製造方法

Country Status (4)

Country Link
US (1) US9941139B2 (zh)
KR (1) KR101708537B1 (zh)
CN (1) CN106206263B (zh)
TW (1) TWI592984B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9911611B2 (en) * 2016-03-17 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming openings in a material layer
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
US10529617B2 (en) 2017-09-29 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal routing with flexible space formed using self-aligned spacer patterning
US11764062B2 (en) * 2017-11-13 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US11315787B2 (en) * 2019-04-17 2022-04-26 Applied Materials, Inc. Multiple spacer patterning schemes

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001338978A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US7394155B2 (en) 2004-11-04 2008-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Top and sidewall bridged interconnect structure and method
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US8003281B2 (en) 2008-08-22 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd Hybrid multi-layer mask
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
KR101096270B1 (ko) 2010-04-07 2011-12-22 주식회사 하이닉스반도체 스페이서 패터닝을 이용한 반도체소자의 미세패턴 형성방법
CN102347217B (zh) * 2010-07-27 2013-01-16 中芯国际集成电路制造(上海)有限公司 半导体器件精细图案的制作方法
KR20120044071A (ko) 2010-10-27 2012-05-07 에스케이하이닉스 주식회사 반도체 소자의 패턴 형성방법
US8621406B2 (en) 2011-04-29 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US20130320451A1 (en) 2012-06-01 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Semiconductor device having non-orthogonal element
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US8799834B1 (en) 2013-01-30 2014-08-05 Taiwan Semiconductor Manufacturing Company Limited Self-aligned multiple patterning layout design
US9054159B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a feature of a semiconductor device
US9053279B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Pattern modification with a preferred position function
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US8791024B1 (en) 2013-05-14 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns using a single exposure

Also Published As

Publication number Publication date
KR20160030430A (ko) 2016-03-18
US20160071735A1 (en) 2016-03-10
US9941139B2 (en) 2018-04-10
CN106206263A (zh) 2016-12-07
CN106206263B (zh) 2019-03-08
TW201621980A (zh) 2016-06-16
KR101708537B1 (ko) 2017-02-20

Similar Documents

Publication Publication Date Title
TWI592984B (zh) 半導體積體電路的製造方法
US9728408B2 (en) Method of semiconductor integrated circuit fabrication
TWI525828B (zh) 半導體裝置及其製造方法
TWI395296B (zh) 半導體裝置之製造方法
TWI393218B (zh) 半導體裝置之製造方法
US8349680B2 (en) High-k metal gate CMOS patterning method
US11894238B2 (en) Method of fabricating semiconductor device with reduced trench distortions
TW201715590A (zh) 半導體元件及其製造方法
US10535653B2 (en) Semiconductor structure
US20110227167A1 (en) Reduced substrate coupling for inductors in semiconductor devices
US10115796B2 (en) Method of pulling-back sidewall metal layer
CN107204278B (zh) 在材料层中形成开口的方法
TWI713087B (zh) 半導體元件之製造方法
TW201543569A (zh) 半導體積體電路及其製造方法
US9490136B1 (en) Method of forming trench cut
US20160240387A1 (en) Method Of Fabricating Semiconductor Device
CN107204277B (zh) 半导体装置的形成方法
US10366916B2 (en) Integrated circuit structure with guard ring
US9412649B1 (en) Method of fabricating semiconductor device
US8890321B2 (en) Method of semiconducotr integrated circuit fabrication
US9543161B1 (en) Method of planarizating film