TWI523204B - Ferro-electric capacitor modules, methods of manufacture and design structures - Google Patents

Ferro-electric capacitor modules, methods of manufacture and design structures Download PDF

Info

Publication number
TWI523204B
TWI523204B TW100121773A TW100121773A TWI523204B TW I523204 B TWI523204 B TW I523204B TW 100121773 A TW100121773 A TW 100121773A TW 100121773 A TW100121773 A TW 100121773A TW I523204 B TWI523204 B TW I523204B
Authority
TW
Taiwan
Prior art keywords
contact
layer
top plate
cladding
bottom plate
Prior art date
Application number
TW100121773A
Other languages
Chinese (zh)
Other versions
TW201222792A (en
Inventor
傑弗瑞P 甘比諾
馬修D 蒙
威廉J 墨菲
詹姆士S 納可斯
保羅W 佩斯特
布瑞特A 菲利普
Original Assignee
萬國商業機器公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 萬國商業機器公司 filed Critical 萬國商業機器公司
Publication of TW201222792A publication Critical patent/TW201222792A/en
Application granted granted Critical
Publication of TWI523204B publication Critical patent/TWI523204B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors

Description

鐵電電容器模組、製造方法與設計結構Ferroelectric capacitor module, manufacturing method and design structure

本發明係關於半導體裝置及其製造方法,尤其係關於鐵電電容器模組、製造方法與設計結構。The present invention relates to a semiconductor device and a method of fabricating the same, and more particularly to a ferroelectric capacitor module, a method of fabricating the same, and a design structure.

鐵電電容器運用例如PZT這類鐵電材料,鐵電裝置通常用於藉由鐵電隨機存取記憶體(ferro-electric random access memory,FRAM)而用於數位電子設備當中。FRAM為類似於動態隨機存取記憶體(dynamic random access memory,DRAM)的隨機存取記憶體,但是使用鐵電層取代介電質層,以達成非揮發性(non-volatility)。因此,FRAM為替代的非揮發性記憶體技術,提供與快閃記憶體(flash memory)相同的功能性。FRAM優於快閃記憶體的地方包括:消耗電力較低、寫入速度更快並且最大抹寫次數(write-erase cycle)更多(針對3.3 V裝置超過1016次)。Ferroelectric capacitors use ferroelectric materials such as PZT, which are commonly used in digital electronic devices by ferro-electric random access memory (FRAM). FRAM is a random access memory similar to dynamic random access memory (DRAM), but uses a ferroelectric layer instead of a dielectric layer to achieve non-volatility. Therefore, FRAM is an alternative non-volatile memory technology that provides the same functionality as flash memory. Where FRAM is superior to flash memory, it includes lower power consumption, faster write speed, and more write-erase cycles (more than 10 16 times for 3.3 V devices).

FRAM也具有勝過DRAM的優點。尤其是,在DRAM內,沈積於電容器板上的電荷洩漏通過絕緣層與控制電晶體,然後消失。為了讓DRAM長時間儲存資料,例如超過一秒,則每一單元都必須定期讀取然後重新寫入,也就是更新程序,該更新操作需要持續供應電源。FRAM also has advantages over DRAM. In particular, in the DRAM, the charge deposited on the capacitor plate leaks through the insulating layer and the control transistor, and then disappears. In order for the DRAM to store data for a long time, for example, more than one second, each unit must be periodically read and then rewritten, that is, an update program that requires continuous power supply.

相較之下,FRAM只有在實際讀取或寫入單元時才需要電源,如此FRAM並不需要更新程序。FRAM在寫入操作方面類似於DRAM,但是在讀取操作方面則不同。尤其是,利用將鐵電層兩邊上的平板充電,讓電場通過鐵電層以達成寫入,藉此儲存「1」或「0」。在讀取操作當中,電晶體強迫單元(cell)進入特定狀態,例如「0」。若單元已經保有「0」,則輸出線(output line)上不會發生任何事情;不過若單元保有「1」,則原子重新排列將在輸出上引起短暫的電流脈衝。此脈衝的存在代表單元保有「1」。因為此過程覆寫該單元,所以讀取FRAM為破壞過程,若有變更的話需要重新寫入該單元。In contrast, FRAM requires power only when actually reading or writing cells, so FRAM does not need to update the program. FRAM is similar to DRAM in terms of write operations, but differs in read operations. In particular, "1" or "0" is stored by charging a plate on both sides of the ferroelectric layer and passing an electric field through the ferroelectric layer to achieve writing. During a read operation, the transistor forces the cell to enter a particular state, such as "0". If the unit already holds "0", nothing happens on the output line; however, if the unit holds "1", the atom rearrangement will cause a brief current pulse on the output. The presence of this pulse means that the unit holds "1". Because this process overwrites the unit, the FRAM is read as a corrupted process and needs to be rewritten if there is a change.

不過目前的FRAM單元並未與CMOS技術完全整合。因此,本技術存在對於克服上述缺陷與限制之需求。However, current FRAM cells are not fully integrated with CMOS technology. Accordingly, the present technology has a need to overcome the above disadvantages and limitations.

在本發明的第一態樣中,一種該鐵電電容器的製造方法包括在CMOS結構的一絕緣體層上形成一阻障層(barrier layer)。該方法另包括在該阻障層之上形成一頂板(top plate)與一底板(bottom plate)。該方法另包括在該頂板與該底板之間形成一鐵電材料。該方法另包括使用一包覆材料(encapsulating material)包覆該阻障層、頂板、底板以及鐵電材料。該方法另包括形成穿過該包覆材料的接點(contact)至該頂板與底板。至少至該頂板的該接點與至該CMOS結構的一擴散區(diffusion)之一接點都透過一共用線路(common wire)電連接。In a first aspect of the invention, a method of fabricating the ferroelectric capacitor includes forming a barrier layer on an insulator layer of a CMOS structure. The method further includes forming a top plate and a bottom plate over the barrier layer. The method further includes forming a ferroelectric material between the top plate and the bottom plate. The method further includes coating the barrier layer, the top plate, the bottom plate, and the ferroelectric material with an encapsulating material. The method further includes forming a contact through the cladding material to the top and bottom plates. At least the contact of the top plate and one of the diffusions to the CMOS structure are electrically connected through a common wire.

在本發明的另一態樣中,一種製造一鐵電隨機存取記憶體之方法。該方法包括形成CMOS結構,其包括一閘極結構(gate structure)、關聯於該閘極結構的源極區(source region)與汲極區(drain region)以及該閘極結構上的絕緣體材料。該方法另包括在該絕緣體材料上沈積複數個層,該等複數個層包括夾在一頂板與一底板之間的至少一鐵電材料。該方法另包括將該等複數個層包覆在一包覆材料內。該方法另包括形成至該頂板與該底板的接點。至少至該頂板的該接點與至該CMOS結構的該源極的一接點都透過一共用線路電連接。In another aspect of the invention, a method of fabricating a ferroelectric random access memory. The method includes forming a CMOS structure including a gate structure, a source region and a drain region associated with the gate structure, and an insulator material on the gate structure. The method further includes depositing a plurality of layers on the insulator material, the plurality of layers comprising at least one ferroelectric material sandwiched between a top plate and a bottom plate. The method further includes coating the plurality of layers in a cladding material. The method further includes forming a joint to the top plate and the bottom plate. At least the contact of the top plate and a contact to the source of the CMOS structure are electrically connected through a common line.

在本發明的又一態樣中,一種鐵電電容器的結構,包括一CMOS結構,其包括一閘極結構、關聯於該閘極結構的源極區與汲極區、該閘極結構之上的下絕緣體材料(lower insulator material)以及該絕緣體材料所圍繞並且與該等源極區與汲極區接觸的接點。該鐵電電容器的結構另包括該絕緣體材料上的複數個層。該等複數個層包括夾在一頂板與一底板之間的至少一鐵電材料。該鐵電電容器的結構另包括包覆該等複數個層的包覆材料。該鐵電電容器的結構另包括形成於該下絕緣體層之上的一或多個上絕緣體層。該鐵電電容器的結構另包括該等一或多個上絕緣體層內形成的線路,其與該頂板與該底板以及該等源極區與汲極區接觸。In another aspect of the invention, a ferroelectric capacitor structure includes a CMOS structure including a gate structure, a source region and a drain region associated with the gate structure, and the gate structure a lower insulator material and a contact surrounded by the insulator material and in contact with the source and drain regions. The structure of the ferroelectric capacitor further includes a plurality of layers on the insulator material. The plurality of layers includes at least one ferroelectric material sandwiched between a top plate and a bottom plate. The structure of the ferroelectric capacitor further includes a cladding material covering the plurality of layers. The ferroelectric capacitor structure further includes one or more upper insulator layers formed over the lower insulator layer. The ferroelectric capacitor structure further includes a line formed in the one or more upper insulator layers in contact with the top plate and the bottom plate and the source regions and the drain regions.

在本發明的又另一態樣內,一種實質具體實施在一機器可讀取儲存媒體內的設計結構,用於設計、製造或測試一積體電路。該設計結構包括一CMOS結構,包括:一絕緣體材料,其位於一閘極結構之上;複數個層,其位於該絕緣體材料上,該等複數個層包括夾在一頂板與一底板之間的至少一鐵電材料;包覆材料,其包覆該等複數個層;一或多個上絕緣體層,其形成於該下絕緣體層之上;以及線路,其形成於該等一或多個上絕緣體層內,並與該頂板與該底板接觸以及與該CMOS結構之擴散區的一接點接觸。In yet another aspect of the invention, a design structure embodied in a machine readable storage medium for designing, manufacturing or testing an integrated circuit. The design structure includes a CMOS structure including: an insulator material over a gate structure; a plurality of layers on the insulator material, the plurality of layers including a top plate and a bottom plate At least one ferroelectric material; a cladding material covering the plurality of layers; one or more upper insulator layers formed on the lower insulator layer; and a line formed on the one or more The insulator layer is in contact with the top plate and the bottom plate and with a contact of the diffusion region of the CMOS structure.

本發明係關於半導體裝置及其製造方法,尤其係關於鐵電電容器模組、製造方法與設計結構。尤其是,本發明針對用於內嵌鐵電電容器至CMOS流程的許多整合策略。本發明的裝置以及製造方法提高目前鐵電電容器(例如鐵電隨機存取記憶體(FRAM)的長寬比(aspect ratio),以及目前許多提供不同電容器的策略,每一種策略都具有讓至矽接觸長寬比(contact aspect ratio to silicon)小於其他元件之優點。The present invention relates to a semiconductor device and a method of fabricating the same, and more particularly to a ferroelectric capacitor module, a method of fabricating the same, and a design structure. In particular, the present invention is directed to many integration strategies for embedding ferroelectric capacitors into CMOS processes. The apparatus and method of the present invention improve the aspect ratio of current ferroelectric capacitors (such as ferroelectric random access memory (FRAM), and many current strategies for providing different capacitors, each of which has a The contact aspect ratio to silicon is less than the advantages of other components.

圖1至圖12顯示根據本發明態樣的結構與製造一裝置的方法。在本發明此態樣的設計當中,該結構具有縮小的至矽接觸長寬比,並且該製造方法不用讓頂端電極接點暴露在氫氣之下(也適用於本發明所有態樣)。1 through 12 show the structure and method of fabricating a device in accordance with aspects of the present invention. In this aspect of the design of the invention, the structure has a reduced to 矽 contact aspect ratio, and the fabrication method does not expose the tip electrode contacts to hydrogen (also applicable to all aspects of the invention).

尤其是,圖1至圖12顯示使用雙或單鑲嵌製程(damascene process)製造FRAM的方法。圖1從傳統CMOS結構10開始。尤其是,使用傳統摻雜製程(doping process)在基板12上提供源極(S)與汲極(D)區14。基板12可為例如矽。在基板12上使用傳統沈積、微影與蝕刻製程,製造傳統閘極結構16。閘極結構16跨越源極(S)與汲極(D)區14,並包括閘極絕緣體(例如介電質)、多晶矽閘極體(poly gate body)以及氮化物側壁(nitride sidewall)或氧化物側壁(oxide sidewall)。在具體實施例內,閘極結構16也可包括覆蓋層(capping layer),像是例如氮化物或氧化物蓋。絕緣體層18沈積在基板12與閘極結構16上。在具體實施例內,絕緣體層18可為例如BPSG(硼磷矽玻璃)。In particular, Figures 1 through 12 show a method of fabricating an FRAM using a dual or single damascene process. Figure 1 begins with a conventional CMOS structure 10. In particular, the source (S) and drain (D) regions 14 are provided on the substrate 12 using a conventional doping process. Substrate 12 can be, for example, germanium. A conventional gate structure 16 is fabricated on substrate 12 using conventional deposition, lithography, and etching processes. Gate structure 16 spans source (S) and drain (D) regions 14 and includes gate insulators (eg, dielectric), poly gate bodies, and nitride sidewalls or oxidation An oxide sidewall. In a particular embodiment, the gate structure 16 can also include a capping layer such as, for example, a nitride or oxide cap. An insulator layer 18 is deposited over the substrate 12 and the gate structure 16. In a particular embodiment, the insulator layer 18 can be, for example, BPSG (borophosphon glass).

在圖2內,在絕緣體層18內形成接點20。尤其是,使用傳統微影與蝕刻製程(例如反應離子蝕刻(reactive ion etching)),在絕緣體層18內形成接觸孔(contact hole)。該等接觸孔延伸至源極(S)與汲極(D)區14。金屬沈積在該等接觸孔內形成接點20,和源極(S)與汲極(D)區14接觸。在具體實施例內,該金屬為鎢;不過本發明也可考慮其他金屬。在具體實施例內,可使用傳統沈積製程來沈積鎢。這些製程可包括在鎢沈積之前,用例如TiN或TiAlN這類阻障層內襯(lining)該等接觸孔。在金屬沈積之後,使用例如傳統研磨步驟,例如化學機械研磨(chemical mechanical polishing,CMP)研磨該結構。In FIG. 2, contacts 20 are formed in insulator layer 18. In particular, contact holes are formed in the insulator layer 18 using conventional lithography and etching processes such as reactive ion etching. The contact holes extend to the source (S) and drain (D) regions 14. Metal deposits form contacts 20 in the contact holes and are in contact with source (S) and drain (D) regions 14. In a particular embodiment, the metal is tungsten; however, other metals are contemplated in the present invention. In a specific embodiment, conventional deposition processes can be used to deposit tungsten. These processes may include lining the contact holes with a barrier layer such as TiN or TiAlN prior to tungsten deposition. After metal deposition, the structure is ground using, for example, a conventional grinding step, such as chemical mechanical polishing (CMP).

圖3顯示根據本發明樣態的許多沈積步驟。尤其是,在絕緣體層18上沈積絕緣體層22。在具體實施例內,絕緣體層22為原矽酸四乙酯(Tetraethyl orthosilicate,TEOS);不過本發明也可考慮其他絕緣體材料。絕緣體層22可為使用例如傳統化學氣相沈積(chemical vapor deposition,CVD)或物理氣相沈積(physical vapor deposition,PVD)製程沈積的覆蓋物(blanket),並且厚度範圍從大約10 nm至大約1000 nm。使用例如傳統CVD或PVD製程,將選擇性的Al2O3(AlxOy)的層24沈積在絕緣體層22上。層24可為沈積至大約5 nm至大約50 nm深度的覆蓋物。選擇性的TiOx層26為沈積在層24上的覆蓋物。使用例如傳統CVD或PVD製程,TiOx層26可沈積至大約5 nm至大約50 nm的深度。Figure 3 shows a number of deposition steps in accordance with the present invention. In particular, an insulator layer 22 is deposited over the insulator layer 18. In a specific embodiment, the insulator layer 22 is Tetraethyl orthosilicate (TEOS); however, other insulator materials are also contemplated by the present invention. The insulator layer 22 can be a blanket deposited using, for example, a conventional chemical vapor deposition (CVD) or physical vapor deposition (PVD) process, and has a thickness ranging from about 10 nm to about 1000. Nm. A layer 24 of selective Al 2 O 3 (AlxOy) is deposited on the insulator layer 22 using, for example, a conventional CVD or PVD process. Layer 24 can be a cover that is deposited to a depth of from about 5 nm to about 50 nm. The selective TiOx layer 26 is a cover deposited on layer 24. The TiOx layer 26 can be deposited to a depth of from about 5 nm to about 50 nm using, for example, a conventional CVD or PVD process.

仍舊參閱圖3,使用例如傳統金屬沈積製程,在選擇性的TiOx層26上沈積金屬層(例如鉑層28)。在具體實施例內,鉑層28當成電容器的底部電極接點(板)。鉑層28的沈積厚度大約50 nm至大約300 nm。Still referring to FIG. 3, a metal layer (e.g., platinum layer 28) is deposited over the selective TiOx layer 26 using, for example, a conventional metal deposition process. In a particular embodiment, the platinum layer 28 acts as a bottom electrode contact (plate) for the capacitor. Platinum layer 28 is deposited to a thickness of from about 50 nm to about 300 nm.

在進一步具體實施例內,使用傳統沈積製程,在鉑層28上沈積PZT(鈦酸鉛鋯)層30至大約50 nm至大約400 nm的厚度。例如:PZT的沈積技術為有機金屬化學氣相沈積(metal organic chemical vapor deposition,MOCVD);不過可使用其他沈積技術,例如溶凝膠法(sol-gel)或金屬有機分解(metal organic decomposition)。PZT層30為鐵電質,具有自發性電極化(電偶極),其可在電場存在時逆轉。在具體實施例內,PZT層30可摻雜高百分比的鑭、鍶或鈣。當然,本發明也考慮其他鐵電材料(可適用於本發明所有態樣)。In a further embodiment, a PZT (lead zirconate titanate) layer 30 is deposited on the platinum layer 28 to a thickness of from about 50 nm to about 400 nm using a conventional deposition process. For example, the deposition technique of PZT is metal organic chemical vapor deposition (MOCVD); however, other deposition techniques such as sol-gel or metal organic decomposition may be used. The PZT layer 30 is ferroelectric and has a spontaneous polarization (electric dipole) that can be reversed in the presence of an electric field. In a particular embodiment, the PZT layer 30 can be doped with a high percentage of cerium, lanthanum or calcium. Of course, other ferroelectric materials are also contemplated by the present invention (which are applicable to all aspects of the invention).

氧化銥(IrOx)層32為沈積在PZT層30上厚度大約50 nm至大約300 nm的覆蓋物。在選擇性具體實施例內,用銥或鉑來覆蓋層32至大約20 nm至大約50 nm的厚度。層32將當成電容器的頂端電極接點(板)。The yttrium oxide (IrOx) layer 32 is a cover deposited on the PZT layer 30 having a thickness of about 50 nm to about 300 nm. In an alternative embodiment, layer 32 is covered with ruthenium or platinum to a thickness of from about 20 nm to about 50 nm. Layer 32 will be the junction (board) of the top electrode of the capacitor.

在圖4內,使用傳統微影與蝕刻製程將層32圖案化,例如:光阻沈積在層32上,並且曝光形成開口。開口形成之後,執行反應離子蝕刻製程,將層32圖案化來形成圖案32a和32b。然後使用例如有機清潔與沖洗製程(organic clean and rinse process),剝離該光阻。陰影圖案32b(圖式右邊)為頂板(層32)一部分,陰影圖案32b在與圖4所示結構平面垂直的方向上,位於圖案32a的後方。In Figure 4, layer 32 is patterned using conventional lithography and etching processes, such as photoresist deposition on layer 32, and exposure to form openings. After the opening is formed, a reactive ion etching process is performed to pattern layer 32 to form patterns 32a and 32b. The photoresist is then stripped using, for example, an organic clean and rinse process. The hatched pattern 32b (on the right side of the drawing) is a portion of the top plate (layer 32), and the hatched pattern 32b is located rearward of the pattern 32a in a direction perpendicular to the plane of the structure shown in FIG.

圖5顯示PZT層30的圖案化製程。尤其是,在圖5內,將PZT層30圖案化以形成圖案30a。在具體實施例內,圖案30a夾在電容器的頂板與底板之間,並且當成電容器的絕緣體。在一個範例中,利用在層30以及圖案32a和32b之上沈積光阻(例如PZR光罩),來形成圖案30a。該光阻將在後續蝕刻製程期間保護圖案32a和32b(以下為說明方便有時另稱為頂板)。該光阻曝光以形成開口,此後執行反應離子蝕刻(reactive ion etching,RIE)製程,將層30圖案化來形成圖案30a。然後使用例如有機清潔與沖洗製程,剝離該光阻。FIG. 5 shows a patterning process for the PZT layer 30. In particular, in FIG. 5, the PZT layer 30 is patterned to form a pattern 30a. In a particular embodiment, pattern 30a is sandwiched between the top and bottom plates of the capacitor and acts as an insulator for the capacitor. In one example, pattern 30a is formed by depositing a photoresist (e.g., a PZR mask) over layer 30 and patterns 32a and 32b. The photoresist will protect the patterns 32a and 32b during the subsequent etching process (hereinafter sometimes referred to as the top plate for convenience of explanation). The photoresist is exposed to form an opening, and thereafter a reactive ion etching (RIE) process is performed to pattern layer 30 to form pattern 30a. The photoresist is then stripped using, for example, an organic cleaning and rinsing process.

在圖6內,使用傳統沈積製程,將選擇性層34沈積在圖案32a、32b和30a及層28上。在具體實施例內,層34為選擇性AlOx,其包覆圖案32a、32b和30a。如此,在後續製程步驟期間,已包覆的圖案32a、32b和30a可受保護免於氫氣毒性侵蝕。層34可沈積為到達大約50 nm的厚度。In Figure 6, a selective layer 34 is deposited over patterns 32a, 32b and 30a and layer 28 using conventional deposition processes. In a particular embodiment, layer 34 is a selective AlOx that coats patterns 32a, 32b, and 30a. As such, the coated patterns 32a, 32b, and 30a can be protected from hydrogen toxicity during subsequent processing steps. Layer 34 can be deposited to a thickness of approximately 50 nm.

在圖7內,使用傳統蝕刻製程,例如RIE,蝕刻層24、26和28。在具體實施例內,選擇對層24、26和28進行蝕刻,並且不會顯著影響底層22。例如可利用在已包覆圖案32a、32b和30a(或選擇性層34)之上沈積光阻(光罩),來執行蝕刻製程。然後該光阻曝光以形成開口,此後該結構進行蝕刻製程,移除層24、26和28(以及選擇性層34)的不受保護部分。然後,圖7的結構進行傳統清潔製程。In Figure 7, layers 24, 26 and 28 are etched using a conventional etching process, such as RIE. In a particular embodiment, the layers 24, 26, and 28 are selectively etched and do not significantly affect the bottom layer 22. The etching process can be performed, for example, by depositing a photoresist (mask) over the coated patterns 32a, 32b, and 30a (or the selective layer 34). The photoresist is then exposed to form an opening, after which the structure is etched to remove the unprotected portions of layers 24, 26 and 28 (and optional layer 34). Then, the structure of Fig. 7 is subjected to a conventional cleaning process.

在圖8內,層36包覆該結構。尤其是,層36沈積在圖案32a、32b和30a(或選擇性層34)上以及層22上。層36也可沈積在側壁上,以及層24、26和28的任何露出表面上。如此,層36將保護或包覆側壁,以及層24、26和28的任何露出表面。層36也可為例如AlOx,沈積至厚度大約50 nm或以下。選擇性,本發明也可考慮使用光阻光罩以覆蓋層32a、32b和30a,並且蝕刻鐵覆蓋區(ferro cap region)以外區域上的層36(依照定義,例如已堆疊層24、26、28和30a旁)。In Figure 8, layer 36 covers the structure. In particular, layer 36 is deposited on patterns 32a, 32b and 30a (or selective layer 34) and on layer 22. Layer 36 can also be deposited on the sidewalls, as well as on any exposed surfaces of layers 24, 26 and 28. As such, layer 36 will protect or wrap the sidewalls, as well as any exposed surfaces of layers 24, 26, and 28. Layer 36 can also be, for example, AlOx deposited to a thickness of about 50 nm or less. Alternatively, the present invention contemplates the use of a photoresist mask to cover layers 32a, 32b, and 30a, and to etch layers 36 on regions other than the ferro cap region (by definition, for example, stacked layers 24, 26, Beside 28 and 30a).

在圖9內,於層36上沈積絕緣體層38。在具體實施例內,絕緣體層38可為例如TEOS或SiO2,使用例如CVD或PVD這類傳統沈積方法沈積。沈積之後,絕緣體層38可進行平坦化製程(planarization process),例如化學機械研磨。In FIG. 9, an insulator layer 38 is deposited over layer 36. In a particular embodiment, insulator layer 38 can be, for example, TEOS or SiO 2 deposited using conventional deposition methods such as CVD or PVD. After deposition, the insulator layer 38 can be subjected to a planarization process, such as chemical mechanical polishing.

在圖10內,於絕緣體層38上沈積絕緣體層40。在具體實施例內,絕緣體層40可為例如TEOS或SiO2,使用例如CVD或PVD這類傳統沈積方法沈積。沈積之後,絕緣體層40可進行平坦化製程,例如化學機械研磨。In FIG. 10, an insulator layer 40 is deposited over the insulator layer 38. In a particular embodiment, insulator layer 40 can be, for example, TEOS or SiO 2 deposited using conventional deposition methods such as CVD or PVD. After deposition, the insulator layer 40 can be subjected to a planarization process, such as chemical mechanical polishing.

圖11呈現根據本發明的鑲嵌製程。在第一考慮的具體實施例內,使用雙鑲嵌製程製造接點(接柱(stud))42以及線路44、44a(精通技術人士應了解,線路44a也可為至電容器頂板的接點,如此本說明書中可互換使用接點與線路)。尤其是在具體實施例內,使用傳統微影與蝕刻製程,可在絕緣體層40內形成溝渠(trench)(如上面的討論)。然後使用傳統微影與蝕刻製程,在絕緣體層38內形成穿孔(via)(如上面的討論)。該等穿孔貫穿層20和36,到達接點20。在替代具體實施例內,可在形成該等溝渠之前形成該等穿孔。Figure 11 presents a damascene process in accordance with the present invention. In a first contemplated embodiment, a dual damascene process is used to fabricate contacts (studs) 42 and lines 44, 44a (scientific skilled artisans will appreciate that line 44a can also be a junction to the capacitor top plate, Contacts and wiring are used interchangeably in this manual. In particular, in a particular embodiment, a trench can be formed in insulator layer 40 using conventional lithography and etching processes (as discussed above). A via is then formed in the insulator layer 38 using conventional lithography and etching processes (as discussed above). The perforations penetrate through layers 20 and 36 to reach junction 20. In alternative embodiments, the perforations may be formed prior to forming the trenches.

在具體實施例內,該等穿孔對準並延伸至接點20和頂板32a、32b(雖然此圖內未顯示,不過該等穿孔也延伸至該底板)。該等穿孔的直徑可例如大約0.6至0.2微米或更小(可適用於本發明所有態樣)。延伸至接點20的該等穿孔使用傳統金屬沈積製程填入金屬,以形成接點42。在具體實施例內,該金屬為鎢;不過本發明也可考慮其他金屬或金屬合金,例如銅。在此金屬沈積製程期間,可遮蓋剩餘的穿孔。該等溝渠與剩餘穿孔都填入金屬,形成與接點42和頂板32a、32b接觸的線路44、44a。在具體實施例內,線路44、44a為銅;不過本發明也可考慮其他金屬或金屬合金。在具體實施例內,於該金屬沈積之前,可將種子層(seed layer)及/或內襯(liner)沈積至該等溝渠及/或穿孔內。使用種子層及/或內襯可適用於本發明的所有態樣。In a particular embodiment, the perforations are aligned and extend to the joint 20 and the top plates 32a, 32b (although not shown in this figure, but the perforations also extend to the bottom plate). The diameter of the perforations can be, for example, about 0.6 to 0.2 microns or less (applicable to all aspects of the invention). The perforations extending to the joint 20 are filled with metal using a conventional metal deposition process to form the joints 42. In a particular embodiment, the metal is tungsten; however, other metals or metal alloys such as copper are also contemplated by the present invention. The remaining perforations can be covered during this metal deposition process. The trenches and the remaining perforations are filled with metal to form lines 44, 44a in contact with the contacts 42 and the top plates 32a, 32b. In a particular embodiment, the lines 44, 44a are copper; however, other metals or metal alloys are contemplated in the present invention. In a specific embodiment, a seed layer and/or a liner may be deposited into the trenches and/or perforations prior to deposition of the metal. The use of a seed layer and/or liner can be applied to all aspects of the invention.

在替代具體實施例內,可使用單鑲嵌製程形成接點42和線路44、44a。在此製程當中,於沈積絕緣體層40之前,在絕緣體層38內形成延伸至接點20的穿孔。該等穿孔可使用傳統微影與蝕刻製程來形成(如上面的討論)。該等穿孔對準並延伸至接點20,並且直徑可例如大約0.6至0.2微米或更小。延伸至接點20的該等穿孔使用傳統金屬沈積製程填入鎢(或其他金屬或金屬合金),以形成接點42。在具體實施例內,也可形成延伸至頂板32a、32b的其他穿孔。該等其他穿孔可用上面討論的相同方式形成,並且例如可填入銅來形成線路44a(與頂板32a、32b接觸)。該等穿孔填入金屬之後,使用傳統沈積製程沈積絕緣體層40。可蝕刻該絕緣體層以形成溝渠,其延伸至接點42和線路44a。然後該等溝渠內填入銅(或其他金屬或金屬合金),形成線路44。線路44與接點42和線路44a接觸。然後該結構使用精通技術人士已知的傳統方法,進行平坦化及/或研磨步驟。In an alternative embodiment, the joint 42 and the lines 44, 44a can be formed using a single damascene process. In this process, perforations extending to the contacts 20 are formed in the insulator layer 38 prior to deposition of the insulator layer 40. The perforations can be formed using conventional lithography and etching processes (as discussed above). The perforations are aligned and extend to the joint 20 and may have a diameter of, for example, about 0.6 to 0.2 microns or less. The perforations extending to the joint 20 are filled with tungsten (or other metal or metal alloy) using a conventional metal deposition process to form the joints 42. Other perforations extending to the top plates 32a, 32b may also be formed in a particular embodiment. The other perforations can be formed in the same manner as discussed above, and can be filled, for example, to form a line 44a (in contact with the top plates 32a, 32b). After the perforations are filled with metal, the insulator layer 40 is deposited using a conventional deposition process. The insulator layer can be etched to form a trench that extends to junction 42 and line 44a. The trenches are then filled with copper (or other metal or metal alloy) to form line 44. Line 44 is in contact with contact 42 and line 44a. The structure is then subjected to a planarization and/or grinding step using conventional methods known to those skilled in the art.

圖12顯示圖11內所示結構的另一透視圖。在此圖式中,接點42a與底板28(在層24、26和28蝕刻期間已經被圖案化)接觸。在具體實施例內,接點42a為鎢。不過如圖13內所示,用參考編號42b表示的接點,例如也表示線路(根據技術節點),可為銅或其他金屬或金屬合金。如上述方式,接點42a、42b也可與接點42同時形成。Figure 12 shows another perspective view of the structure shown in Figure 11. In this figure, the contacts 42a are in contact with the bottom plate 28 (which has been patterned during the etching of layers 24, 26 and 28). In a particular embodiment, the contacts 42a are tungsten. However, as shown in Figure 13, the contacts indicated by reference numeral 42b, for example also indicating the lines (according to the technology node), may be copper or other metals or metal alloys. As described above, the contacts 42a, 42b can also be formed simultaneously with the contacts 42.

圖14至圖21顯示根據本發明態樣的結構與製造一裝置的方法。在本發明此態樣的設計當中,FRAM熱循環(thermal cycly)只影響CMOS,並且不會影響鎢接點。14 through 21 show the structure and method of fabricating a device in accordance with aspects of the present invention. In this aspect of the design of the invention, the FRAM thermal cycly affects only the CMOS and does not affect the tungsten contacts.

圖14從具有額外層的傳統CMOS結構10開始。尤其是,使用傳統摻雜製程在基板12上提供源極(S)和汲極(D)區14。基板12可為例如矽。在基板12上使用傳統沈積、微影與蝕刻製程,製造傳統閘極結構16。閘極結構16跨越源極(S)和汲極(D)區14,並包括閘極絕緣體(例如介電質)、多晶矽閘極體以及氮化物或氧化物側壁。在具體實施例內,閘極結構16也可包括覆蓋層,像是例如氮化物或氧化物蓋。絕緣體層18沈積在基板12與閘極結構16上。在具體實施例內,絕緣體層18可為例如BPSG(硼磷矽玻璃)。Figure 14 begins with a conventional CMOS structure 10 with additional layers. In particular, source (S) and drain (D) regions 14 are provided on substrate 12 using conventional doping processes. Substrate 12 can be, for example, germanium. A conventional gate structure 16 is fabricated on substrate 12 using conventional deposition, lithography, and etching processes. The gate structure 16 spans the source (S) and drain (D) regions 14 and includes gate insulators (e.g., dielectric), polysilicon gates, and nitride or oxide sidewalls. In a particular embodiment, the gate structure 16 can also include a cap layer such as, for example, a nitride or oxide cap. An insulator layer 18 is deposited over the substrate 12 and the gate structure 16. In a particular embodiment, the insulator layer 18 can be, for example, BPSG (borophosphon glass).

仍舊參閱圖14,於絕緣體層18上沈積絕緣體層22。在具體實施例內,絕緣體層22為TEOS。絕緣體層22可為使用例如傳統CVD或PVD製程沈積的覆蓋物,並且厚度範圍從大約10 nm至大約1000 nm。使用例如傳統CVD或PVD製程,將選擇性的Al2O3(AlxOy)的層24沈積在絕緣體層22上。層24可為沈積至大約5 nm至大約50 nm深度的覆蓋物。選擇性的TiOx層26為沈積在層24上的覆蓋物。使用例如傳統CVD或PVD製程,TiOx層26可沈積至大約5 nm至大約50 nm的深度。Still referring to FIG. 14, an insulator layer 22 is deposited over the insulator layer 18. In a particular embodiment, the insulator layer 22 is TEOS. The insulator layer 22 can be a cover deposited using, for example, a conventional CVD or PVD process, and has a thickness ranging from about 10 nm to about 1000 nm. A layer 24 of selective Al 2 O 3 (AlxOy) is deposited on the insulator layer 22 using, for example, a conventional CVD or PVD process. Layer 24 can be a cover that is deposited to a depth of from about 5 nm to about 50 nm. The selective TiOx layer 26 is a cover deposited on layer 24. The TiOx layer 26 can be deposited to a depth of from about 5 nm to about 50 nm using, for example, a conventional CVD or PVD process.

使用例如傳統金屬沈積製程,在選擇性的TiOx層26上沈積金屬層(例如鉑層28)。在具體實施例內,鉑層28當成電容器的底板。鉑層28的沈積厚度大約50 nm至大約300 nm。在進一步具體實施例內,使用傳統沈積製程,在鉑層28上沈積PZT層30(或其他鐵電材料)至大約50 nm至大約400 nm的厚度。在具體實施例內,PZT層30可摻雜高百分比的鑭、鍶或鈣。氧化銥(IrOx)層32為沈積在PZT層30上厚度大約50 nm至大約300 nm的覆蓋物。在選擇性具體實施例內,用銥或鉑來覆蓋層32至大約20 nm至大約500 nm的厚度,尤其是大約100 nm至大約200 nm,特別是大約150 nm。層32將當成電容器的頂板。A metal layer (e.g., platinum layer 28) is deposited on the selective TiOx layer 26 using, for example, a conventional metal deposition process. In a particular embodiment, the platinum layer 28 acts as the bottom plate of the capacitor. Platinum layer 28 is deposited to a thickness of from about 50 nm to about 300 nm. In a further embodiment, PZT layer 30 (or other ferroelectric material) is deposited on platinum layer 28 to a thickness of from about 50 nm to about 400 nm using a conventional deposition process. In a particular embodiment, the PZT layer 30 can be doped with a high percentage of cerium, lanthanum or calcium. The yttrium oxide (IrOx) layer 32 is a cover deposited on the PZT layer 30 having a thickness of about 50 nm to about 300 nm. In an alternative embodiment, layer 32 is covered with ruthenium or platinum to a thickness of from about 20 nm to about 500 nm, especially from about 100 nm to about 200 nm, especially about 150 nm. Layer 32 will be the top plate of the capacitor.

在圖15內,使用傳統微影與蝕刻製程將層32圖案化,例如:光阻沈積在層32上,並且曝光形成開口。開口形成之後,執行反應離子蝕刻製程,將層32圖案化來形成圖案32a和32b。然後使用例如有機清潔與沖洗製程,剝離該光阻。陰影圖案32b(圖式右邊)為頂板(層32)一部分,陰影圖案32b在與圖15所示結構平面垂直的方向上,位於圖案32a的後方。In Figure 15, layer 32 is patterned using conventional lithography and etching processes, such as photoresist deposition on layer 32, and exposure to form openings. After the opening is formed, a reactive ion etching process is performed to pattern layer 32 to form patterns 32a and 32b. The photoresist is then stripped using, for example, an organic cleaning and rinsing process. The hatched pattern 32b (on the right side of the drawing) is a portion of the top plate (layer 32), and the hatched pattern 32b is located rearward of the pattern 32a in a direction perpendicular to the plane of the structure shown in FIG.

圖16顯示其他圖案化製程。在圖16內,將PZT層30圖案化以形成圖案30a。在具體實施例內,圖案30a夾在電容器的頂板與底板之間。在一個範例中,利用在層30以及圖案32a和32b之上沈積光阻(例如PZT光罩),來形成圖案30a。該光阻在後續蝕刻製程期間將保護圖案32a和32b(頂板)。該光阻曝光以形成開口,此後執行反應離子蝕刻(RIE)製程,將層30圖案化來形成圖案30a。然後使用例如有機清潔與沖洗製程,剝離該光阻。Figure 16 shows other patterning processes. In FIG. 16, the PZT layer 30 is patterned to form a pattern 30a. In a particular embodiment, pattern 30a is sandwiched between the top and bottom plates of the capacitor. In one example, pattern 30a is formed by depositing a photoresist (e.g., a PZT reticle) over layer 30 and patterns 32a and 32b. The photoresist will protect the patterns 32a and 32b (top plate) during subsequent etching processes. The photoresist is exposed to form an opening, after which a reactive ion etching (RIE) process is performed to pattern layer 30 to form pattern 30a. The photoresist is then stripped using, for example, an organic cleaning and rinsing process.

使用傳統沈積製程,將選擇性層34沈積在圖案32a、32b和30a及層28上。在具體實施例內,層34為選擇性AlOx,其包覆圖案32a、32b和30a。如此,在後續製程步驟期間,已包覆的圖案32a、32b和30a可受保護免於氫氣毒性侵蝕。層28可沈積為大約50 nm的厚度。Selective layer 34 is deposited on patterns 32a, 32b and 30a and layer 28 using conventional deposition processes. In a particular embodiment, layer 34 is a selective AlOx that coats patterns 32a, 32b, and 30a. As such, the coated patterns 32a, 32b, and 30a can be protected from hydrogen toxicity during subsequent processing steps. Layer 28 can be deposited to a thickness of approximately 50 nm.

在圖17內,使用傳統蝕刻製程,例如RIE,蝕刻層24、26和28。在具體實施例內,選擇對層24、26和28進行蝕刻,並且不會顯著影響底層22。例如可利用在已包覆圖案32a、32b和30a(或如圖16內所示的選擇性層34)之上沈積光阻(光罩),來執行蝕刻製程。然後讓該光阻曝光,以形成開口。然後該結構進行蝕刻製程,移除層24、26和28的未保護部分(或如圖16內所示的選擇性層34)。然後,圖17的結構進行傳統清潔製程。In Figure 17, layers 24, 26 and 28 are etched using a conventional etching process, such as RIE. In a particular embodiment, the layers 24, 26, and 28 are selectively etched and do not significantly affect the bottom layer 22. The etching process can be performed, for example, by depositing a photoresist (mask) over the coated patterns 32a, 32b, and 30a (or the selective layer 34 as shown in FIG. 16). The photoresist is then exposed to form an opening. The structure is then etched to remove the unprotected portions of layers 24, 26 and 28 (or selective layer 34 as shown in Figure 16). Then, the structure of Fig. 17 is subjected to a conventional cleaning process.

然後在圖17內,層36包覆該結構。尤其是,層36沈積在已包覆的圖案32a、32b和30a(或如圖16內所示的選擇性層34)上以及層22上。層36也可沈積在側壁上,以及層24、26和28的任何露出表面上。如此,層36將保護或包覆側壁,以及層24、26和28的任何露出表面。層36也可為例如AlOx,沈積至厚度大約50 nm或以下。Then in Figure 17, layer 36 covers the structure. In particular, layer 36 is deposited on coated patterns 32a, 32b, and 30a (or selective layer 34 as shown in FIG. 16) and on layer 22. Layer 36 can also be deposited on the sidewalls, as well as on any exposed surfaces of layers 24, 26 and 28. As such, layer 36 will protect or wrap the sidewalls, as well as any exposed surfaces of layers 24, 26, and 28. Layer 36 can also be, for example, AlOx deposited to a thickness of about 50 nm or less.

在圖18內,於層36上沈積絕緣體層38。在具體實施例內,絕緣體層38可為例如TEOS或SiO2,使用例如CVD或PVD這類傳統沈積方法沈積。沈積之後,絕緣體層38可進行平坦化製程,例如化學機械研磨。絕緣體層18和絕緣體層38內形成接觸孔20a(並且穿過任何其他層,例如層22和36)。該等接觸孔可使用傳統微影與蝕刻製程(例如反應離子蝕刻)形成,延伸至源極(S)和汲極(D)區14。In FIG. 18, an insulator layer 38 is deposited over layer 36. In a particular embodiment, insulator layer 38 can be, for example, TEOS or SiO 2 deposited using conventional deposition methods such as CVD or PVD. After deposition, the insulator layer 38 can be planarized, such as by chemical mechanical polishing. Contact holes 20a are formed in insulator layer 18 and insulator layer 38 (and through any other layers, such as layers 22 and 36). The contact holes can be formed using conventional lithography and etching processes (e.g., reactive ion etching) extending to the source (S) and drain (D) regions 14.

如圖19內所示,金屬沈積在該等接觸孔20a內以形成接點20(與源極和汲極區接觸)。在具體實施例內,該金屬為鎢;不過本發明也可考慮其他金屬或金屬合金。在具體實施例內,該金屬沈積製程可包括在沈積金屬(例如鎢)之前,用例如TiN或TiAlN這類阻障層內襯該等接觸孔。在金屬沈積之後,使用例如傳統研磨步驟,例如化學機械研磨(CMP)研磨該結構。As shown in FIG. 19, metal is deposited in the contact holes 20a to form contacts 20 (in contact with the source and drain regions). In a particular embodiment, the metal is tungsten; however, other metals or metal alloys are contemplated in the present invention. In a particular embodiment, the metal deposition process can include lining the contact holes with a barrier layer such as TiN or TiAlN prior to depositing the metal (eg, tungsten). After metal deposition, the structure is ground using, for example, a conventional grinding step, such as chemical mechanical polishing (CMP).

在圖20內,於絕緣體層38上沈積絕緣體層40。在具體實施例內,絕緣體層40可為例如TEOS或SiO2,使用例如CVD或PVD這類傳統沈積方法沈積。沈積之後,絕緣體層40可進行平坦化製程,例如化學機械研磨。In FIG. 20, an insulator layer 40 is deposited over the insulator layer 38. In a particular embodiment, insulator layer 40 can be, for example, TEOS or SiO 2 deposited using conventional deposition methods such as CVD or PVD. After deposition, the insulator layer 40 can be subjected to a planarization process, such as chemical mechanical polishing.

然後使用雙鑲嵌製程,製造線路44、44a。尤其是在具體實施例內,使用傳統微影與蝕刻製程,在絕緣體層40內形成溝渠(如上面的討論)。然後使用傳統微影與蝕刻製程,在絕緣體層38內形成穿孔(如上面的討論)。在替代具體實施例內,可在形成該等溝渠之前形成該等穿孔。在具體實施例內,該等溝渠對準並延伸至接點20,而該等穿孔則對準並延伸至頂板32a、32b(雖然此圖內未顯示,不過該等穿孔也延伸至該底板)。該等穿孔的直徑可例如大約0.6至0.2微米或更小。該等溝渠與穿孔都填入銅(或其他金屬或金屬合金),形成與接點20和頂板32a、32b接觸的線路44、44a。Lines 44, 44a are then fabricated using a dual damascene process. In particular, in a particular embodiment, trenches are formed in insulator layer 40 using conventional lithography and etching processes (as discussed above). Perforations are then formed in the insulator layer 38 using conventional lithography and etching processes (as discussed above). In alternative embodiments, the perforations may be formed prior to forming the trenches. In a particular embodiment, the ditches are aligned and extend to the joint 20, and the perforations are aligned and extend to the top plates 32a, 32b (although not shown in this figure, the perforations also extend to the bottom plate) . The diameter of the perforations can be, for example, about 0.6 to 0.2 microns or less. The trenches and perforations are filled with copper (or other metal or metal alloy) to form lines 44, 44a in contact with the contacts 20 and the top plates 32a, 32b.

在替代具體實施例內,可使用單鑲嵌製程形成線路44、44a。在此製程當中,於沈積絕緣體層40之前,在絕緣體層38內形成延伸至頂板32a、32b的穿孔。在形成接觸孔20a之前、期間或之後,該等穿孔可使用傳統微影與蝕刻製程來形成(如上面的討論)。延伸至頂板32a、32b的該等穿孔可填入銅,例如,來形成線路44a(與頂板32a、32b接觸)。填滿該等穿孔之後,沈積並蝕刻絕緣體層40來形成溝渠。然後該等溝渠內填入銅(或其他金屬或金屬合金),形成與接點20和線路44a接觸的線路44。In an alternative embodiment, the damascene process can be used to form lines 44, 44a. In this process, perforations extending into the top plates 32a, 32b are formed in the insulator layer 38 prior to deposition of the insulator layer 40. These perforations can be formed using conventional lithography and etching processes (as discussed above) before, during or after the formation of contact holes 20a. The perforations extending to the top plates 32a, 32b may be filled with copper, for example, to form a line 44a (in contact with the top plates 32a, 32b). After filling the perforations, the insulator layer 40 is deposited and etched to form trenches. The trenches are then filled with copper (or other metal or metal alloy) to form a line 44 that contacts contact 20 and line 44a.

圖21顯示圖20內所示結構的另一透視圖。在圖20內,形成接點42a與底板28接觸。在具體實施例內,此接點42a為鎢或銅。如上述方式,接點42a也可與接點42同時形成。Figure 21 shows another perspective view of the structure shown in Figure 20. In Fig. 20, the contact 42a is formed in contact with the bottom plate 28. In a particular embodiment, the contact 42a is tungsten or copper. As described above, the contact 42a can also be formed simultaneously with the contact 42.

圖22和圖23顯示根據本發明態樣的結構與製造該結構(裝置)的方法。在本發明此態樣的設計當中,該結構具有縮小的至矽接觸長寬比,並且該製造方法不用讓頂端電極接點暴露在氫氣之下(也適用於本發明所有態樣)。在圖22和圖23的具體實施例中,線路44、44a為銅並且接點20為鎢。在替代具體實施例當中,金屬線路44為與金屬接點20不同的材料。圖23顯示與底板28接觸之銅接點42a。在具體實施例內,使用相同材料製造接點42a和線路44。使用上面討論的步驟,可製造圖22和圖23的結構。Figures 22 and 23 show the structure and method of fabricating the structure (apparatus) in accordance with aspects of the present invention. In this aspect of the design of the invention, the structure has a reduced to 矽 contact aspect ratio, and the fabrication method does not expose the tip electrode contacts to hydrogen (also applicable to all aspects of the invention). In the particular embodiment of Figures 22 and 23, the lines 44, 44a are copper and the contacts 20 are tungsten. In an alternative embodiment, the metal line 44 is a different material than the metal contacts 20. Figure 23 shows the copper contacts 42a in contact with the bottom plate 28. In a particular embodiment, the contacts 42a and the wires 44 are fabricated using the same material. The structures of Figures 22 and 23 can be fabricated using the steps discussed above.

圖24至圖27顯示根據本發明態樣的結構與製造一裝置的方法。圖24從具有額外層的傳統CMOS開始。尤其是,圖24的結構包括參考圖14所討論的層22、24、26、28和32。此外,圖24的結構包括層35。層35可例如為沈積在層32上的TiN或TiAlN層。24 through 27 show the structure and method of fabricating a device in accordance with aspects of the present invention. Figure 24 begins with a conventional CMOS with additional layers. In particular, the structure of FIG. 24 includes layers 22, 24, 26, 28, and 32 discussed with reference to FIG. Furthermore, the structure of Figure 24 includes a layer 35. Layer 35 can be, for example, a TiN or TiAlN layer deposited on layer 32.

圖25呈現根據本發明的許多微影與蝕刻步驟。尤其是如上面所詳細討論,蝕刻層32以形成圖案32a、32b。類似地,蝕刻層35以形成圖案35a和35b。在具體實施例內,使用傳統微影與蝕刻製程,同時形成圖案30a、30b、35a、35b(實質上形成相同基本圖案)。另外也使用傳統微影與蝕刻製程將層30圖案化,以形成圖案30a。此外,使用傳統微影與蝕刻步驟,將層24、26和28圖案化,如上面的討論。在具體實施例內,圖25的結構並不包括選擇性包覆層34,即在圖案化之後不會在結構上沈積層34。Figure 25 presents a number of lithography and etching steps in accordance with the present invention. In particular, as discussed in detail above, layer 32 is etched to form patterns 32a, 32b. Similarly, layer 35 is etched to form patterns 35a and 35b. In a particular embodiment, conventional lithography and etching processes are used while forming patterns 30a, 30b, 35a, 35b (substantially forming the same basic pattern). Layer 30 is also patterned using conventional lithography and etching processes to form pattern 30a. In addition, layers 24, 26, and 28 are patterned using conventional lithography and etching steps, as discussed above. In a particular embodiment, the structure of Figure 25 does not include a selective cladding layer 34, i.e., no layer 34 is deposited on the structure after patterning.

如圖26內所示,包覆層36為沈積在圖25的結構上的覆蓋物。尤其是,包覆層36沈積在層22、24、26、28、30a、30b、32a、35a、35b的側壁與露出表面上。在具體實施例內,包覆層36為Al2O3(AlxOy)。之後,在包覆層36上沈積絕緣體層38。絕緣體層38可為例如TEOS或SiO2。在絕緣體層38內的圖案32a、32b、35a、35b之上形成接觸孔。例如鎢這類金屬則沈積在該等接觸孔內,形成線路44a。絕緣體層38內以及源極與汲極區14之上的層36和22內也形成接觸孔。例如鎢這類金屬則沈積在該等接觸孔內,形成接點20。在具體實施例內,可使用例如單鑲嵌製程,同時製造該等接觸孔以及沈積金屬來形成接點20和線路44a。As shown in Figure 26, the cladding 36 is a cover deposited on the structure of Figure 25. In particular, a cladding layer 36 is deposited on the sidewalls and exposed surfaces of layers 22, 24, 26, 28, 30a, 30b, 32a, 35a, 35b. In a specific embodiment, the cladding layer 36 is Al 2 O 3 (AlxOy). Thereafter, an insulator layer 38 is deposited over the cladding layer 36. The insulator layer 38 can be, for example, TEOS or SiO 2 . Contact holes are formed over the patterns 32a, 32b, 35a, 35b in the insulator layer 38. A metal such as tungsten is deposited in the contact holes to form a line 44a. Contact holes are also formed in the insulator layer 38 and in the layers 36 and 22 above the source and drain regions 14. Metals such as tungsten are deposited in the contact holes to form contacts 20. In a particular embodiment, the contact holes and deposited metal can be fabricated using, for example, a single damascene process to form contacts 20 and lines 44a.

圖27顯示線路44的形成。如上面所討論,利用在絕緣體層38上沈積絕緣體層40,可形成該線路。在該絕緣體層內可形成溝渠,其與線路44a和接點20對準。該溝渠內可沈積金屬,形成線路44。在具體實施例內,該線路為銅;不過本發明也可考慮其他金屬或金屬合金。此時精通技術人士應該已經了解,運用本說明書內討論的整合法則,銅及/或鎢的任意組合都可用於線路44、44a以及接點20、42。Figure 27 shows the formation of line 44. As discussed above, the line can be formed by depositing an insulator layer 40 over the insulator layer 38. A trench may be formed in the insulator layer that is aligned with line 44a and contact 20. Metal can be deposited in the trench to form a line 44. In a particular embodiment, the line is copper; however, other metals or metal alloys are contemplated in the present invention. It should be understood by those skilled in the art that any combination of copper and/or tungsten can be used for lines 44, 44a and contacts 20, 42 using the integration rules discussed in this specification.

設計結構Design structure

圖28顯示一例示之一設計流程(design flow)900的方塊圖,其例示多種設計結構,包括較佳由設計處理(design process)910所處理的輸入設計結構(input design structure)920。設計結構920可為由設計處理910所產生並處理的邏輯模擬設計結構(logical simulation design structure),以產生硬體裝置的邏輯上等效功能代表(logically equivalent functional representation)。設計結構920也可或另外包括資料及/或程式指令,其由設計處理910處理時,產生硬體裝置之實體結構的功能代表。不管代表功能的及/或結構的設計特徵(design feature),利用核心開發者/設計者所實施的電子電腦輔助設計(electronic computer-aided design,ECAD)可產生設計結構920。設計結構920編碼在機器可讀取資料傳輸(machine-readable data transmission)、閘陣列(gate array)或儲存媒體(storage medium)上後,設計結構920可由設計處理910內一或多個硬體及/或軟體模組存取與處理,以模擬或功能性代表電子部件、電路、電子或邏輯模組、設備、裝置或系統,像是圖1至圖27內所示。如此,設計結構920可包括檔案或其他資料結構,其包括人及/或機器可讀取原始碼(source code)、編譯過的結構及電腦可執行程式碼結構,其由設計或模擬資料處理系統處理時,功能性模擬或代表電路或者其他硬體邏輯設計位準。這種資料結構可包括硬體描述語言(hardware-description language,HDL)設計實體或符合及/或相容於像是Verilog和VHDL這類低階HDL設計語言,及/或像是C或C++這類高階設計語言的其他資料結構。28 shows a block diagram of an exemplary design flow 900 illustrating various design configurations including an input design structure 920 that is preferably processed by a design process 910. Design structure 920 may be a logical simulation design structure generated and processed by design process 910 to produce a logically equivalent functional representation of the hardware device. Design structure 920 may also or additionally include data and/or program instructions that, when processed by design process 910, generate a functional representation of the physical structure of the hardware device. Regardless of the functional design and/or structural design features, the design structure 920 can be generated using an electronic computer-aided design (ECAD) implemented by a core developer/designer. After the design structure 920 is encoded on a machine-readable data transmission, a gate array, or a storage medium, the design structure 920 can be designed to process one or more of the hardware and / or software module access and processing, to simulate or functionally represent electronic components, circuits, electronic or logic modules, devices, devices or systems, as shown in Figures 1 through 27. As such, design structure 920 can include a file or other data structure including human and/or machine readable source code, compiled structure, and computer executable code structure, by design or analog data processing system Functionality or representation of circuits or other hardware logic is designed at the time of processing. Such data structures may include hardware-description language (HDL) design entities or conform to and/or compatible with low-level HDL design languages such as Verilog and VHDL, and/or C or C++ Other data structures for higher-order design languages.

設計處理910較佳運用和合併硬體及/或軟體模組,用於合成、轉譯或處理圖1至圖27內所示部件、電路、裝置或邏輯結構的設計/模擬功能等效物,以產生內含像是設計結構920這類設計結構的網表(netlist)980。網表980可包括例如,代表配線清單、分散部件、邏輯閘、控制電路、I/O裝置、模型等的編譯或處理資料結構,其說明在積體電路設計中對其他元件與電路的連接。網表980可使用遞迴處理(iterative process)而被綜合,其中網表980根據裝置的設計規格與設計屬性重新合成一或多次。如此處所述的其他設計結構類型,網表980可被記錄在機器可讀取資料儲存媒體上,或程式化至可程式閘陣列(programable gate array)內。該媒體可為非揮發性儲存媒體,像是磁性或光學碟片機、可程式閘陣列、CF卡(compact flash)或其他快閃記憶體。此外或另外,該媒體可為系統或快取記憶體、緩衝區空間或電性或光學傳導裝置與材料,其上資料封包可透過網際網路或其他網路連結合適方式傳輸與中介地儲存。Design process 910 preferably utilizes and incorporates hardware and/or software modules for synthesizing, translating, or processing design/analog functional equivalents of the components, circuits, devices, or logic structures illustrated in Figures 1 through 27, A netlist 980 containing a design structure such as design structure 920 is generated. Netlist 980 may include, for example, a compiled or processed data structure representing a distribution list, decentralized components, logic gates, control circuitry, I/O devices, models, etc., which illustrate the connection of other components to circuitry in an integrated circuit design. The netlist 980 can be synthesized using an iterative process in which the netlist 980 is resynthesized one or more times depending on the design specifications and design attributes of the device. As with other design architecture types described herein, netlist 980 can be recorded on a machine readable data storage medium or programmed into a programmable gate array. The medium can be a non-volatile storage medium such as a magnetic or optical disc player, a programmable gate array, a compact flash or other flash memory. Additionally or alternatively, the medium can be a system or cache memory, buffer space, or electrical or optically conductive device and material on which the data packets can be transmitted and intermediated via the Internet or other network connection.

設計處理910可包括處理許多輸入資料結構類型(包括網表980)的硬體與軟體模組。這種資料結構類型可位於,例如,程式庫元件(library element)930內並且包括一組常用元件、電路和裝置,其包括模型、佈線(layout)與符號表示,用於已知製造技術(例如不同技術節點、32 nm、45 nm、90 nm等)。資料結構類型可進一步包括設計規格940、特徵資料950、確認資料960、設計規則970以及測試資料檔985,該檔可包括輸入測試樣式、輸出測試結果以及其他測試資訊。設計處理910可進一步包括,例如,標準機械設計處理,像是應力分析、熱分析、機械事件模擬、操作之處理模擬,該等操作像是鑄造(casting)、模造(molding)以及壓模成形(die press forming)等。精通機械設計技術的人士可在不偏離本發明範疇與精神之下瞭解,設計處理910內所使用機械設計工具和應用的可能範圍。設計處理910也可包括用於執行標準電路設計處理,像是時機分析(time analysis)、確認、設計規則檢查、地點與路由操作(route operation)等的模組。Design process 910 can include hardware and software modules that process a number of input data structure types, including netlist 980. Such data structure types may be located, for example, within a library element 930 and include a set of commonly used elements, circuits, and devices including models, layouts, and symbolic representations for known manufacturing techniques (eg, Different technology nodes, 32 nm, 45 nm, 90 nm, etc.). The data structure type may further include design specifications 940, feature data 950, validation data 960, design rules 970, and test data files 985, which may include input test patterns, output test results, and other test information. The design process 910 can further include, for example, standard mechanical design processes such as stress analysis, thermal analysis, mechanical event simulation, operational process simulations, such as casting, molding, and compression molding ( Die press forming). Those skilled in the art of designing a design can understand the range of possible mechanical design tools and applications used in the process 910 without departing from the scope and spirit of the invention. Design process 910 may also include modules for performing standard circuit design processing, such as time analysis, validation, design rule checking, location and routing operations, and the like.

設計處理910運用並且合併像是HDL編譯器與模擬模型建立工具這類邏輯與實體設計工具,以將設計結構920和某些或全部描述的支援資料結構搭配任何額外機械設計或資料(若適用)一起處理,來產生第二設計結構990。設計結構990以用於機械裝置與結構之資料交換的資料格式(data format)(例如以IGES、DXF、Parasolid XT、JT、DRG或其他適合用來儲存或呈現這種機械設計結構的任何格式而儲存的資訊),而位於儲存媒體或可程式閘陣列內。設計結構990類似於設計結構920,較佳包括位於傳輸或資料儲存媒體內的一或多個檔案、資料結構或其他電腦編碼資料或指令,其在由ECAD系統處理過後,產生圖1至圖27內所示一或多個本發明具體實施例之邏輯性或功能性等效形式。在一個具體實施例內,設計結構990可包括功能上模擬圖1至圖27內所示裝置之已編譯、可執行的HDL模擬模型。The design process 910 utilizes and incorporates logical and physical design tools such as HDL compilers and simulation model building tools to match the design structure 920 with some or all of the described supporting data structures with any additional mechanical design or material (if applicable). Processing together to produce a second design structure 990. The design structure 990 is in a data format for the exchange of information between the mechanical device and the structure (eg, in IGES, DXF, Parasolid XT, JT, DRG, or any other format suitable for storing or presenting such mechanical design structures) The stored information) is located in the storage medium or in the programmable gate array. Design structure 990 is similar to design structure 920 and preferably includes one or more files, data structures, or other computer-encoded data or instructions located within the transport or data storage medium that, after being processed by the ECAD system, produce Figures 1 through 27 One or more logical or functional equivalents of the specific embodiments of the invention are shown. In one embodiment, design structure 990 can include a compiled, executable HDL simulation model that functionally simulates the apparatus shown in Figures 1-27.

設計結構990也可運用用於積體電路佈線資料交換的資料格式及/或符號資料格式(例如以GDSII(GDS2)、GL1、OASIS、地圖檔(map file)或其他適合用來儲存這種設計資料結構的任何格式而儲存的資訊)。設計結構990可包括一些資訊,像是例如符號資料、地圖檔、測試資料檔、設計內容檔、製造資料、佈線設計屬性、線路、金屬位準、穿孔、形狀、通過製造線的路由資料,以及製造者或其他設計者/開發者生產上述以及圖1至圖27內所示裝置或結構所需之任何其他資料。然後設計結構990前往階段995,在此,例如,設計結構990:進行投片(tape-out)、開始製造、送至光罩室、送至其他設計室、送回給客戶等。Design Structure 990 may also utilize data formats and/or symbolic data formats for integrated circuit routing data exchange (eg, GDSII (GDS2), GL1, OASIS, map file, or other suitable storage for this design) Information stored in any format of the data structure). Design structure 990 may include information such as symbol data, map files, test data files, design content files, manufacturing materials, wiring design properties, wiring, metal levels, perforations, shapes, routing information through manufacturing lines, and The manufacturer or other designer/developer produces any of the other materials required for the apparatus or structure described above and illustrated in Figures 1-27. Design structure 990 then proceeds to stage 995 where, for example, design structure 990: tape-out, fabrication begins, delivery to the reticle chamber, delivery to other design rooms, return to customer, and the like.

如上述之該等方法用於積體電路晶片的製造。結果積體電路晶片可由製造者以原始晶圓形式(raw wafer form)(也就是具有多個未封裝晶片的單一晶圓)、作為裸晶粒(bare die)或已封裝形式來散佈。在後者案例中,晶片安置在單晶片封裝體內(像是塑膠載體(plastic carrier),具有固定至主機板或其他更高層載體的引腳(lead)),或安置在多晶片封裝體內(像是具有表面內連線(surface interconnection)或內嵌內連線(buried interconnection)任一或兩者兼具的陶瓷載體)。然後在任何案例中,晶片與其他晶片、離散電路元件及/或其他信號處理裝置整合成為(a)中間產品(像是主機板),或(b)最終產品任一的一部分。該最終產品可為包括積體電路晶片的任何產品。The methods as described above are used in the fabrication of integrated circuit wafers. As a result, the integrated circuit wafer can be spread by the manufacturer in a raw wafer form (that is, a single wafer having a plurality of unpackaged wafers), as a bare die or packaged form. In the latter case, the wafer is placed in a single-chip package (such as a plastic carrier with leads fixed to the motherboard or other higher-level carrier) or placed in a multi-chip package (like A ceramic carrier having either or both of a surface interconnection or a buried interconnection. In any case, the wafer is integrated with other wafers, discrete circuit components, and/or other signal processing devices into either (a) an intermediate product (such as a motherboard), or (b) a portion of any of the final products. The final product can be any product that includes integrated circuit chips.

此處所使用的術語僅為說明特定具體實施例之用,並非用於限制本發明。如此處所使用,除非該上下文有明確指示,否則該等單數形式「一」(a、an)和「該」(the)也包含該等複數形式。吾人將更瞭解,說明書中使用的術語「包含」(comprises及/或comprising)指明所陳述的特徵、整體、步驟、操作、元件及/或部件的存在,但是不排除還有一或多個其他特徵、整體、步驟、操作、元件、部件及/或其群組的存在或添加。The terminology used herein is for the purpose of illustration and description, and the As used herein, the singular forms "a", "the", "the" It will be further understood that the term "comprises" (or "comprises" or "comprising" as used in the specification indicates the existence of the stated features, integers, steps, operations, components and/or components, but does not exclude one or more other features. The existence or addition of the whole, steps, operations, components, components, and/or groups thereof.

在文後申請專利範圍中,所有構件或步驟附加功能之元件的對應結構、材料、行為、與等效物係意欲包括任何結構、材料、或行為,用以執行與如申請專利範圍所詳述的其他申請專利元件結合的功能。本發明的描述已經為了例示與描述的目的而呈現,但非要將本發明窮盡於或限制在所揭之形式中。在不脫離本發明之範疇與精神的前提下,本技術之一般技術者將瞭解許多修正例以及變化例。該等具體實施例經過選擇與說明來最佳闡述本發明原理與實際應用,並且讓其他精通此技術的人士了解本發明有多種修正以適合所考慮特定用途的多種具體實施例。In the context of the claims, the corresponding structures, materials, acts, and equivalents of all components or steps of the elements are intended to include any structure, material, or behavior, as described in the scope of the claims. The functionality of other patented components is combined. The description of the present invention has been presented for purposes of illustration and description. Numerous modifications and variations will be apparent to those skilled in the art without departing from the scope of the invention. The present invention has been chosen and described in terms of the preferred embodiments and embodiments of the invention

10...傳統CMOS結構10. . . Traditional CMOS structure

12...基板12. . . Substrate

14...源極(S)與汲極(D)區14. . . Source (S) and drain (D) areas

16...傳統閘極結構16. . . Traditional gate structure

18...絕緣體層18. . . Insulator layer

20...接點20. . . contact

20a...接觸孔20a. . . Contact hole

22...絕緣體層twenty two. . . Insulator layer

24...層twenty four. . . Floor

26...TiOx層26. . . TiOx layer

28...鉑層28. . . Platinum layer

30...PZT層30. . . PZT layer

30a...圖案30a. . . pattern

30b...圖案30b. . . pattern

32...氧化銥層32. . . Cerium oxide layer

32a...圖案(頂板)32a. . . Pattern (top plate)

32b...圖案(頂板)32b. . . Pattern (top plate)

34...層34. . . Floor

35...層35. . . Floor

35a...圖案35a. . . pattern

35b...圖案35b. . . pattern

36...包覆層36. . . Coating

38...絕緣體層38. . . Insulator layer

40...絕緣體層40. . . Insulator layer

42...接點42. . . contact

42a...接點42a. . . contact

42b...接點42b. . . contact

44...線路44. . . line

44a...線路44a. . . line

910...設計處理910. . . Design processing

920...輸入設計結構;設計結構920. . . Input design structure; design structure

930...程式庫元件930. . . Library component

940...設計規格940. . . Design specification

950...特徵資料950. . . Characteristic data

960...確認資料960. . . Confirmation information

970...設計規則970. . . Design rule

980...網路表980. . . Network table

985...測試資料檔985. . . Test data file

990...設計結構990. . . Design structure

995...階段995. . . stage

利用本發明示範具體實施例的非限制範例,參考提及的許多圖式,從上述詳細描述當中描述本發明。The invention will be described in the foregoing detailed description, with reference to the appended claims

圖1至圖12顯示根據本發明態樣的結構與製造一裝置的方法;1 through 12 illustrate a structure in accordance with aspects of the present invention and a method of fabricating a device;

圖13顯示根據本發明態樣的替代結構與製造一裝置的方法;Figure 13 shows an alternative structure and method of making a device in accordance with aspects of the present invention;

圖14至圖21顯示根據本發明態樣的替代結構與製造一裝置的方法;14 through 21 illustrate an alternative structure and method of fabricating a device in accordance with aspects of the present invention;

圖22和圖23顯示根據本發明態樣的替代結構與製造一裝置的方法;22 and 23 show an alternative structure and method of fabricating a device in accordance with aspects of the present invention;

圖24至圖27顯示根據本發明態樣的替代結構與製造一裝置的方法;以及24 through 27 illustrate an alternative structure and method of fabricating a device in accordance with aspects of the present invention;

圖28顯示半導體設計、製造及/或測試當中所使用的設計處理流程圖。Figure 28 shows a flow chart of the design process used in semiconductor design, fabrication, and/or testing.

20...接點20. . . contact

22...絕緣體層twenty two. . . Insulator layer

32a...圖案(頂板)32a. . . Pattern (top plate)

32b...圖案(頂板)32b. . . Pattern (top plate)

36...包覆層36. . . Coating

38...絕緣體層38. . . Insulator layer

40...絕緣體層40. . . Insulator layer

42...接點42. . . contact

44...線路44. . . line

44a...線路44a. . . line

Claims (13)

一種製造一鐵電電容器之方法,包括:在一CMOS結構的一絕緣體層上形成一阻障層;在該阻障層之上形成一頂板與一底板;在該頂板與該底板之間形成一鐵電材料;形成一第一包覆層於該頂板、該底板與該鐵電材料之上;蝕刻該第一包覆層的一部分與該底板的一部分;形成一第二包覆層於該第一包覆層上以及於該阻障層與該底板的任何露出表面上,該阻障層與該底板的該等露出表面是在蝕刻該第一包覆層時而露出;以及形成穿過該第一包覆層與該第二包覆層而至該頂板的至少一第一接點與穿過該第二包覆層而至該底板的至少一第二接點,其中至少至該頂板的該第一接點與至該CMOS結構的一第三接點都透過一共用線路而電連接;以及至該頂板的該第一接點為一第一金屬,至該CMOS結構的該第三接點為一第二金屬,其不同於該第一金屬。 A method of manufacturing a ferroelectric capacitor includes: forming a barrier layer on an insulator layer of a CMOS structure; forming a top plate and a bottom plate over the barrier layer; forming a gap between the top plate and the bottom plate a ferroelectric material; forming a first cladding layer on the top plate, the bottom plate and the ferroelectric material; etching a portion of the first cladding layer and a portion of the bottom plate; forming a second cladding layer on the first a barrier layer and the exposed surface of the barrier layer and the substrate, the exposed surfaces of the barrier layer and the substrate are exposed while etching the first cladding layer; and formed through the a first cladding layer and the second cladding layer to at least a first contact of the top plate and at least a second contact through the second cladding layer to the bottom plate, wherein at least to the top plate The first contact and a third contact to the CMOS structure are electrically connected through a common line; and the first contact to the top plate is a first metal, and the third connection to the CMOS structure The point is a second metal that is different from the first metal. 如申請專利範圍第1項之方法,其中該第一包覆層為AlxOy。 The method of claim 1, wherein the first cladding layer is AlxOy. 一種製造一鐵電電容器之方法,包括:在一CMOS結構的一絕緣體層上形成一阻障層;在該阻障層之上形成一頂板與一底板;在該頂板與該底板之間形成一鐵電材料;以一包覆材料包覆該阻障層、該頂板、該底板以及該鐵電材料;以及形成穿過該包覆材料至該頂板的一第一接點與穿過該包 覆材料至該底板的一第二接點,其中至少至該頂板的該第一接點與至該CMOS結構之一擴散區的一第三接點都透過一共用線路而電連接;該包覆材料為AlxOy;該包覆材料為兩層;該等兩層的一第一包覆層是沈積在該頂板、該底板與該鐵電材料的露出表面上;於該鐵電材料的部分之上蝕刻該等兩層的該第一包覆層,以及該等兩層的一第二包覆層沈積在該第一包覆層上以及該底板、該鐵電材料與底下的該絕緣體層的任何露出表面上,該底板、該鐵電材料與底下的該絕緣體層的該等露出表面是在蝕刻該第一包覆層時而露出;蝕刻該第一包覆層是將部分的該鐵電材料蝕刻,以露出該阻障層的一側壁與該底板的一側壁,以及該第二包覆層是沈積在露出的該阻障層的該側壁與該底板的該側壁。 A method of manufacturing a ferroelectric capacitor includes: forming a barrier layer on an insulator layer of a CMOS structure; forming a top plate and a bottom plate over the barrier layer; forming a gap between the top plate and the bottom plate a ferroelectric material; coating the barrier layer, the top plate, the bottom plate, and the ferroelectric material with a cladding material; and forming a first contact through the cladding material to the top plate and passing through the package a second contact of the material to the bottom plate, wherein at least the first contact of the top plate and a third contact to a diffusion region of the CMOS structure are electrically connected through a common line; The material is AlxOy; the cladding material is two layers; a first cladding layer of the two layers is deposited on the top plate, the bottom plate and the exposed surface of the ferroelectric material; above the portion of the ferroelectric material Etching the two first layers of the first cladding layer, and depositing a second cladding layer of the two layers on the first cladding layer and any of the bottom plate, the ferroelectric material and the underlying insulator layer The exposed surface of the bottom plate, the ferroelectric material and the underlying insulator layer are exposed when the first cladding layer is etched; etching the first cladding layer is a portion of the ferroelectric material Etching to expose a sidewall of the barrier layer and a sidewall of the substrate, and the second cladding layer is deposited on the sidewall of the exposed barrier layer and the sidewall of the substrate. 如申請專利範圍第3項之方法,另包括:在該第二包覆層上形成一第一絕緣體層;在該第一絕緣體層上形成一第二絕緣體層;以及執行一鑲嵌製程,以形成至該頂板的該第一接點與至該底板的該第二接點。 The method of claim 3, further comprising: forming a first insulator layer on the second cladding layer; forming a second insulator layer on the first insulator layer; and performing a damascene process to form The first contact to the top plate and the second contact to the bottom plate. 如申請專利範圍第4項之方法,其中該鑲嵌製程為一雙鑲嵌製程,包括:在該第一絕緣體層內蝕刻一穿孔,並在其內沈積金屬,以形成該第一接點與該第二接點; 於沈積在該第一絕緣體層之上的該第二絕緣體層內蝕刻一溝渠,該溝渠填入金屬以形成與該頂板與該底板接觸的線路;或其中該鑲嵌製程為單鑲嵌製程,其形成至該CMOS結構的源極區的一第四接點與汲極區的一第五接點。 The method of claim 4, wherein the damascene process is a dual damascene process, comprising: etching a through hole in the first insulator layer, and depositing a metal therein to form the first contact and the first Two junctions; Etching a trench in the second insulator layer deposited over the first insulator layer, the trench filling a metal to form a line in contact with the top plate and the bottom plate; or wherein the damascene process is a single damascene process, which forms A fourth junction to the source region of the CMOS structure and a fifth junction of the drain region. 如申請專利範圍第1項之方法,更包括將該頂板與該鐵電材料圖案化,其中在將該頂板與該鐵電材料圖案化之後形成該第一包覆層。 The method of claim 1, further comprising patterning the top plate and the ferroelectric material, wherein the first cladding layer is formed after the top plate and the ferroelectric material are patterned. 如申請專利範圍第1項之方法,其中該第二接點為鎢,其沈積在形成於一絕緣體材料內的一單一穿孔內。 The method of claim 1, wherein the second contact is tungsten deposited in a single perforation formed in an insulator material. 如申請專利範圍第1項之方法,其中:該第三接點沈積在兩個別形成之穿孔內;該第二金屬是鎢;在一下絕緣體層內蝕刻該等個別形成之穿孔的一第一者,其延伸至一電晶體的一源極與汲極接點;以及在沈積於該下絕緣體層上的一上絕緣體層內蝕刻該等個別形成之穿孔的一第二者,其延伸至該等個別形成之穿孔的該第一者。 The method of claim 1, wherein: the third contact is deposited in two separately formed perforations; the second metal is tungsten; and a first one of the individually formed perforations is etched in the lower insulator layer Extending to a source and a drain contact of a transistor; and etching a second one of the individually formed vias in an upper insulator layer deposited on the lower insulator layer, extending to the The first one of the individually formed perforations. 一種製造一鐵電電容器之方法,包括:在一CMOS結構的一絕緣體層上形成一阻障層;在該阻障層之上形成一頂板與一底板;在該頂板與該底板之間形成一鐵電材料;以一包覆材料包覆該阻障層、該頂板、該底板以及該鐵電材料;以及 形成穿過該包覆材料至該頂板的一第一接點與穿過該包覆材料至該底板的一第二接點,其中至少至該頂板的該第一接點與至該CMOS結構之一擴散區的一第三接點都透過一共用線路而電連接;至該CMOS結構之該擴散區該第三接點是鎢,其沈積在兩個別形成之穿孔內;在一下絕緣體層內蝕刻該等個別形成之穿孔的一第一者,其延伸至一電晶體的一源極與汲極接點;在沈積於該下絕緣體層上的一上絕緣體層內蝕刻該等個別形成之穿孔的一第二者,其延伸至該等個別形成之穿孔的該第一者;以及其中該第一接點與該第二接點為一第一金屬,並且延伸至該頂板與該底板並與該第一接點與該第二接點接觸的線路為一第二金屬,其與該第一金屬不同。 A method of manufacturing a ferroelectric capacitor includes: forming a barrier layer on an insulator layer of a CMOS structure; forming a top plate and a bottom plate over the barrier layer; forming a gap between the top plate and the bottom plate a ferroelectric material; coating the barrier layer, the top plate, the bottom plate, and the ferroelectric material with a cladding material; Forming a first contact through the cladding material to the top plate and a second contact through the cladding material to the bottom plate, wherein at least to the first contact of the top plate and to the CMOS structure A third contact of a diffusion region is electrically connected through a common line; to the diffusion region of the CMOS structure, the third contact is tungsten, which is deposited in two separately formed via holes; in the lower insulator layer Etching a first one of the individually formed vias to a source and drain contact of a transistor; etching the individually formed vias in an upper insulator layer deposited on the lower insulator layer a second one extending to the first one of the individually formed perforations; and wherein the first contact and the second contact are a first metal and extending to the top plate and the bottom plate The line in contact between the first contact and the second contact is a second metal that is different from the first metal. 一種製造一鐵電隨機存取記憶體之方法,包括:形成一CMOS結構,該結構包括一閘極結構、關聯於該閘極結構的一源極區與一汲極區以及該閘極結構之上的一絕緣體材料;在該絕緣體材料上沈積複數個層,該等複數個層包括夾在一頂板與一底板之間的至少一鐵電材料;將該等複數個層包覆在一包覆材料內;以及形成至該頂板的一第一接點與至該底板的一第二接點,其中至少至該頂板的該第一接點與至該CMOS結構的該源極區的一第三接點都透過一共用線路電連接;至該頂板的該第一接點為一第一金屬,至該源極區的該第三接點為一第二金屬,其不同於該第一金屬;該等複數個層更包括形成於該頂板上的一阻障層;以及 該包覆材料為一單一包覆材料。 A method of fabricating a ferroelectric random access memory, comprising: forming a CMOS structure, the structure comprising a gate structure, a source region and a drain region associated with the gate structure, and the gate structure An insulator material; depositing a plurality of layers on the insulator material, the plurality of layers comprising at least one ferroelectric material sandwiched between a top plate and a bottom plate; the plurality of layers being coated in a cladding a first contact formed to the top plate and a second contact to the bottom plate, wherein at least to the first contact of the top plate and a third portion to the source region of the CMOS structure The contact is electrically connected through a common line; the first contact to the top plate is a first metal, and the third contact to the source region is a second metal, which is different from the first metal; The plurality of layers further includes a barrier layer formed on the top plate; The cladding material is a single cladding material. 一種製造一鐵電隨機存取記憶體之方法,包括:形成一CMOS結構,該結構包括一閘極結構、關聯於該閘極結構的一源極區與一汲極區以及該閘極結構之上的一絕緣體材料;在該絕緣體材料上沈積複數個層,該等複數個層包括夾在一頂板與一底板之間的至少一鐵電材料;將該等複數個層包覆在一包覆材料內;以及形成至該頂板的一第一接點與至該底板的一第二接點,其中至少至該頂板的該第一接點與至該CMOS結構的該源極區的一第三接點都透過一共用線路電連接;至該頂板的該第一接點為一第一金屬,至該源極區的該第三接點為一第二金屬,其不同於該第一金屬;該等複數個層另包括形成在該底板之下的一第一阻障層以及形成在該頂板頂端上的一第二阻障層。 A method of fabricating a ferroelectric random access memory, comprising: forming a CMOS structure, the structure comprising a gate structure, a source region and a drain region associated with the gate structure, and the gate structure An insulator material; depositing a plurality of layers on the insulator material, the plurality of layers comprising at least one ferroelectric material sandwiched between a top plate and a bottom plate; the plurality of layers being coated in a cladding a first contact formed to the top plate and a second contact to the bottom plate, wherein at least to the first contact of the top plate and a third portion to the source region of the CMOS structure The contact is electrically connected through a common line; the first contact to the top plate is a first metal, and the third contact to the source region is a second metal, which is different from the first metal; The plurality of layers further includes a first barrier layer formed under the substrate and a second barrier layer formed on a top end of the top plate. 如申請專利範圍第11項之方法,另包括:在一單一蝕刻步驟內將該頂板與該第二阻障層圖案化;在一單一蝕刻步驟內將該底板與該鐵電材料圖案化;以及在一單一沈積步驟內將該包覆材料沈積在圖案化的該第二阻障層、該頂板、該鐵電材料以及該底板的任何露出部分之上。 The method of claim 11, further comprising: patterning the top plate and the second barrier layer in a single etching step; patterning the substrate with the ferroelectric material in a single etching step; The cladding material is deposited over the patterned second barrier layer, the top plate, the ferroelectric material, and any exposed portions of the substrate in a single deposition step. 如申請專利範圍第10項之方法,更包括:將該等複數個層圖案化;以及沈積該包覆材料在圖案化的該等複數個層上。The method of claim 10, further comprising: patterning the plurality of layers; and depositing the cladding material on the plurality of patterned layers.
TW100121773A 2010-06-25 2011-06-22 Ferro-electric capacitor modules, methods of manufacture and design structures TWI523204B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/823,728 US8450168B2 (en) 2010-06-25 2010-06-25 Ferro-electric capacitor modules, methods of manufacture and design structures

Publications (2)

Publication Number Publication Date
TW201222792A TW201222792A (en) 2012-06-01
TWI523204B true TWI523204B (en) 2016-02-21

Family

ID=44511477

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100121773A TWI523204B (en) 2010-06-25 2011-06-22 Ferro-electric capacitor modules, methods of manufacture and design structures

Country Status (6)

Country Link
US (1) US8450168B2 (en)
KR (1) KR101485648B1 (en)
DE (1) DE112011102131B4 (en)
GB (1) GB2494362B (en)
TW (1) TWI523204B (en)
WO (1) WO2011163429A2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150206893A1 (en) 2014-01-20 2015-07-23 Cypress Semiconductor Corporation Damascene oxygen barrier and hydrogen barrier for ferroelectric random-access memory

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6130102A (en) 1997-11-03 2000-10-10 Motorola Inc. Method for forming semiconductor device including a dual inlaid structure
US6342711B1 (en) 1999-03-08 2002-01-29 Advanced Technology Materials, Inc. Confinement of E-fields in high density ferroelectric memory device structures
US6611014B1 (en) 1999-05-14 2003-08-26 Kabushiki Kaisha Toshiba Semiconductor device having ferroelectric capacitor and hydrogen barrier film and manufacturing method thereof
US6506643B1 (en) 1999-06-11 2003-01-14 Sharp Laboratories Of America, Inc. Method for forming a damascene FeRAM cell structure
JP2002353414A (en) 2001-05-22 2002-12-06 Oki Electric Ind Co Ltd Dielectric capacitor and manufacturing method therefor
US6734477B2 (en) 2001-08-08 2004-05-11 Agilent Technologies, Inc. Fabricating an embedded ferroelectric memory cell
JP2004095861A (en) * 2002-08-30 2004-03-25 Fujitsu Ltd Semiconductor device and manufacturing method therefor
JP3961399B2 (en) * 2002-10-30 2007-08-22 富士通株式会社 Manufacturing method of semiconductor device
JP4601896B2 (en) * 2002-10-30 2010-12-22 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7339347B2 (en) * 2003-08-11 2008-03-04 Reserve Power Cell, Llc Apparatus and method for reliably supplying electrical energy to an electrical system
WO2005119780A1 (en) * 2004-06-04 2005-12-15 Fujitsu Limited Semiconductor device and process for fabricating the same
JP4785030B2 (en) * 2005-01-18 2011-10-05 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
JP2006222227A (en) * 2005-02-09 2006-08-24 Fujitsu Ltd Semiconductor device and its manufacturing method
JP5136052B2 (en) 2005-06-02 2013-02-06 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
KR101044642B1 (en) * 2005-06-02 2011-06-29 후지쯔 세미컨덕터 가부시키가이샤 Semiconductor device and method for manufacturing same
JP2007067066A (en) 2005-08-30 2007-03-15 Toshiba Corp Semiconductor device and manufacturing method thereof
JP4998461B2 (en) 2006-03-30 2012-08-15 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
KR100814602B1 (en) * 2006-05-03 2008-03-17 후지쯔 가부시끼가이샤 Semiconductor device and method for manufacturing semiconductor device
JP4997939B2 (en) * 2006-11-29 2012-08-15 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
KR100823168B1 (en) 2007-01-08 2008-04-18 삼성전자주식회사 Ferroelectric memory device and method for forming the same
JP2008210893A (en) * 2007-02-23 2008-09-11 Fujitsu Ltd Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
DE112011102131B4 (en) 2020-09-10
GB2494362A (en) 2013-03-06
DE112011102131T5 (en) 2013-03-28
TW201222792A (en) 2012-06-01
US8450168B2 (en) 2013-05-28
WO2011163429A3 (en) 2012-02-23
GB2494362B (en) 2015-05-20
GB201300268D0 (en) 2013-02-20
KR20130017090A (en) 2013-02-19
WO2011163429A2 (en) 2011-12-29
KR101485648B1 (en) 2015-01-28
US20110316058A1 (en) 2011-12-29

Similar Documents

Publication Publication Date Title
US8441103B2 (en) Embedded series deep trench capacitors and methods of manufacture
US20080180986A1 (en) Semiconductor device and method for manufacturing the same
CN104040684B (en) High-performance wire structures on thick sheet
CN108269758B (en) The production method of semiconductor element
TWI550778B (en) Semiconductor structure
JP2006216649A (en) Semiconductor device and its manufacturing method
TW201508964A (en) Semiconductor constructions and methods of forming electrically conductive contacts
CN109494192A (en) Semiconductor element with and preparation method thereof
CN104241368A (en) Lateral diffusion metal oxide semiconductor (ldmos)
KR100747142B1 (en) Method of manufacturing mram offset cells in a double damascene structure with a reduced number of etch steps
JP2000114496A (en) 61/4f2 DRAM CELL STRUCTURE HAVING FOUR NODES AND TWO PHASE WORD LINE LEVELS FOR EACH BIT LINE STUD
TW202343763A (en) Methods of forming memory device
US9171848B2 (en) Deep trench MIM capacitor and moat isolation with epitaxial semiconductor wafer scheme
TWI523204B (en) Ferro-electric capacitor modules, methods of manufacture and design structures
CN103700765B (en) Manufacture method and semiconductor devices
US9178012B2 (en) Plated trench capacitor structures
US8575669B2 (en) Fabricating technique of a highly integrated semiconductor device in which a capacitor is formed between adjacent gate patterns by using a nanotube process
KR20110001136A (en) Method for manufacturing semiconductor device
CN108695328B (en) Static random access memory element and forming method
JP2009170637A (en) Method of manufacturing semiconductor storage device,and the semiconductor storage device
CN110970348A (en) Semiconductor structure and preparation method thereof
TW202410402A (en) Memory cell structure, dynamic random access memory cell structure, and methods of formation
KR101076813B1 (en) Semiconductor Device and Method for Manufacturing the same
CN103367148A (en) Transistor and manufacturing method thereof
KR20010060540A (en) Method of manufacturing merged memory logic device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees