TW202343763A - Methods of forming memory device - Google Patents

Methods of forming memory device Download PDF

Info

Publication number
TW202343763A
TW202343763A TW112126261A TW112126261A TW202343763A TW 202343763 A TW202343763 A TW 202343763A TW 112126261 A TW112126261 A TW 112126261A TW 112126261 A TW112126261 A TW 112126261A TW 202343763 A TW202343763 A TW 202343763A
Authority
TW
Taiwan
Prior art keywords
conductive line
trench
conductive
line
layer
Prior art date
Application number
TW112126261A
Other languages
Chinese (zh)
Inventor
林佑明
楊柏峰
世海 楊
漢中 賈
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202343763A publication Critical patent/TW202343763A/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/14Word line organisation; Word line lay-out
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/10Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the top-view layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties

Abstract

A method for forming a memory device includes forming a first conductive line and a second conductive line over a semiconductor substrate. The second conductive line is disposed over the first conductive line and is insulated from the first conductive line. The second conductive line is shorter than the first conductive line. A first trench is patterned extending through the first conductive line and the second conductive line. A memory film is deposited along sidewalls and a bottom surface of the first trench. An oxide semiconductor (OS) layer is deposited over the memory film. The OS layer extends along the sidewalls and the bottom surface of the first trench. A first dielectric material is deposited over and contacts the OS layer. A second trench and a third trench are patterned each extending through the first dielectric material. A third conductive line is formed in the second trench and a fourth conductive line is formed in the third trench.

Description

形成記憶體元件的方法Methods of forming memory devices

本揭露是有關於一種形成記憶體元件的方法。The present disclosure relates to a method of forming a memory device.

因為電路應用需求,半導體記憶體被用於積體電路中,包含,例如,收音機、電視、手機以及個人電腦裝置。半導體記憶體包含兩個主要種類。一者為揮發式記憶體,另一者是非揮發式記憶體。揮發式記憶體包含隨機存取記憶體(random access memory, RAM),其可以進一步分為兩個子類別,靜態隨機存取記憶體(static random access memory, SRAM)以及動態隨機存取記憶體(dynamic random access memory, DRAM)。靜態隨機存取記憶體以及動態隨機存取記憶體皆為揮發式因為在他們未被提供電源時,其所儲存的資訊將會遺失。Semiconductor memories are used in integrated circuits due to circuit application requirements, including, for example, radios, televisions, mobile phones, and personal computer devices. Semiconductor memories include two main categories. One is volatile memory and the other is non-volatile memory. Volatile memory includes random access memory (RAM), which can be further divided into two subcategories, static random access memory (SRAM) and dynamic random access memory ( dynamic random access memory (DRAM). Both static random access memory and dynamic random access memory are volatile because the information they store is lost when they are not powered.

在同樣狀態下,非揮發式記憶體可以在其中保存數據。其中一種非揮發式記憶體的類型為鐵電隨機存取記憶體(Ferroelectric random access memory, FeRAM或FRAM)。FeRAM的優點包含快速寫入/讀取以及小尺寸。In the same state, non-volatile memory can hold data in it. One type of non-volatile memory is ferroelectric random access memory (FeRAM or FRAM). The advantages of FeRAM include fast writing/reading and small size.

一種形成記憶體元件的方法,包含:形成第一導電線以及第二導電線在半導體基材上方,第二導電線設置於第一導電線上方並且與第一導電線絕緣,並且第二導電線短於第一導電線;圖案化第一溝槽,第一溝槽延伸穿過第一導電線及第二導電線;沉積記憶膜,記憶膜沿第一溝槽的複數個側壁及底表面;沉積氧化半導體層在記憶膜上方,氧化半導體層沿第一溝槽的側壁及底表面延伸;沉積第一介電材料在氧化半導體層上方並接觸氧化半導體層;圖案化第二溝槽及第三溝槽,第二溝槽及第三溝槽各別延伸穿過第一介電材料;形成第三導電線在第二溝槽中及形成第四導電線在第三溝槽中。A method of forming a memory element, including: forming a first conductive line and a second conductive line above a semiconductor substrate, the second conductive line being disposed above the first conductive line and insulated from the first conductive line, and the second conductive line shorter than the first conductive line; patterning the first trench extending through the first conductive line and the second conductive line; depositing a memory film along a plurality of sidewalls and a bottom surface of the first trench; Depositing an oxidized semiconductor layer above the memory film, the oxidized semiconductor layer extending along the sidewalls and bottom surface of the first trench; depositing a first dielectric material above the oxidized semiconductor layer and contacting the oxidized semiconductor layer; patterning the second trench and the third The trench, the second trench and the third trench each extend through the first dielectric material; a third conductive line is formed in the second trench and a fourth conductive line is formed in the third trench.

以下揭示內容提供用於實施所提供標的之不同特徵的許多不同實施例或實例。以下描述部件及佈置之特定實例以簡化本揭露。當然,此些僅為實例,且並不意欲為限制性的。舉例而言,在如下描述中第一特徵在第二特徵之上或在第二特徵上形成可包括其中第一特徵與第二特徵形成為直接接觸之實施例,且亦可包括其中額外特徵可在第一特徵與第二特徵之間形成而使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露可在各種實例中重複元件符號及/或字母。此重複係出於簡化及清楚目的,且其自身並不表示所論述之各種實施例及/或配置之間的關係。The following disclosure provides many different embodiments or examples for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are examples only and are not intended to be limiting. For example, the following description where a first feature is formed on or on a second feature may include embodiments in which the first feature and the second feature are formed in direct contact, and may also include embodiments in which additional features may be Embodiments are formed between a first feature and a second feature such that the first feature and the second feature may not be in direct contact. Additionally, the present disclosure may repeat reference symbols and/or letters in various instances. This repetition is for simplicity and clarity and does not in itself represent a relationship between the various embodiments and/or configurations discussed.

另外,為了描述簡單,可在本文中使用諸如「在……下面」、「在……下方」、「下部」、「在……上方」、「上部」及其類似術語之空間相對術語,以描述如諸圖中所示的一個元件或特徵與另一(另外)元件或特徵的關係。除了諸圖中所描繪之定向以外,此些空間相對術語意欲涵蓋元件在使用中或操作中之不同定向。裝置可以其他方式定向(旋轉90度或以其他定向),且可同樣相應地解釋本文中所使用之空間相對描述詞。In addition, for simplicity of description, spatially relative terms such as "below", "below", "lower", "above", "upper" and similar terms may be used herein. Describe the relationship of one element or feature to another (additional) element or feature as illustrated in the figures. These spatially relative terms are intended to encompass different orientations of elements in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

多種實施例提供具有多個垂直堆疊的記憶單元之3D記憶體陣列。每個記憶單元包含薄膜電晶體(thin film transistor, TFT),其具有字元線區作為閘極電極、位元線區作為第一源極/汲極電極,以及來源線區作為第二源極/汲極電極。每個TFT進一步包含絕緣記憶膜(即,作為閘極介電質)以及氧化半導體(oxide semiconductor, OS)通道區。在一些實施例中,字元線可以鋪設在水平方向(即,平行半導體基材之主要表面)同時來源線及位元線可以鋪設在垂直方向(即,垂直半導體基材之主要表面)。3D記憶體陣列結構可以達到但並不僅限於以下優點,例如減少記憶單元尺寸、增加記憶單元密度、減少記憶體陣列足跡(即,堆疊高度)以及提升製造容易度。Various embodiments provide 3D memory arrays with multiple vertically stacked memory cells. Each memory cell includes a thin film transistor (TFT), which has a word line region as a gate electrode, a bit line region as a first source/drain electrode, and a source line region as a second source electrode. /Drain electrode. Each TFT further includes an insulating memory film (ie, serving as the gate dielectric) and an oxide semiconductor (OS) channel region. In some embodiments, word lines can be laid out in a horizontal direction (ie, parallel to the major surface of the semiconductor substrate) while source lines and bit lines can be laid out in a vertical direction (ie, perpendicular to the major surface of the semiconductor substrate). The 3D memory array structure can achieve but is not limited to the following advantages, such as reducing memory cell size, increasing memory cell density, reducing memory array footprint (ie, stacking height), and improving manufacturing ease.

第1A圖、第1B圖及第1C圖為根據本揭露之一或更多個實施例的記憶體陣列。第1A圖為繪示部分記憶體陣列200的一個示例的三維視角,第1B圖為繪示記憶體陣列200的電路圖,以及第1C圖為繪示根據本揭露之一或更多個實施例的記憶體陣列200的俯視圖。記憶體陣列200包含多個記憶單元202,其可被排列在網格的行及列中。記憶單元202可以進一步垂直地堆疊以提供三維記憶體陣列,藉此增加元件密度。記憶體陣列200可以設置於半導體晶粒的後段製程(back end of line, BEOL)。舉例來說,記憶體陣列可以設置於記憶體晶粒的內部互連層,例如,在形成於半導體基材上的一或多個主動元件(即,電晶體)上方。Figures 1A, 1B, and 1C illustrate memory arrays according to one or more embodiments of the present disclosure. FIG. 1A is a three-dimensional view illustrating an example of a portion of the memory array 200 , FIG. 1B is a circuit diagram illustrating the memory array 200 , and FIG. 1C is a schematic diagram illustrating one or more embodiments of the present disclosure. Top view of memory array 200. Memory array 200 includes a plurality of memory cells 202, which may be arranged in rows and columns of a grid. Memory cells 202 can be further stacked vertically to provide a three-dimensional memory array, thereby increasing device density. The memory array 200 may be disposed in the back end of line (BEOL) process of the semiconductor die. For example, a memory array may be disposed on an internal interconnect layer of a memory die, for example, over one or more active devices (ie, transistors) formed on a semiconductor substrate.

在一些實施例中,記憶體陣列200為快閃記憶體陣列,例如NOR快閃記憶體陣列,或其類似者。每個記憶單元202可以包含薄膜電晶體(thin film transistor, TFT)204,其具有絕緣記憶膜90作為閘極介電質。在一些實施例中,每個TFT 204的閘極由相應字元線(即,導電線72)的一部分提供,每個TFT 204的第一源極/汲極區由相應位元線(即,導電線106)的一部分提供,並且每個TFT 204的第二源極/汲極區由相應來源線(即,導電線108)的一部分提供,其電耦合第二源極/汲極區至接地點。位於記憶體陣列200中相同水平列的記憶單元202可以共用共同的字元線,同時位於記憶體陣列200中相同垂直行的記憶單元202可以共用共同的來源線及共同的位元線。In some embodiments, memory array 200 is a flash memory array, such as a NOR flash memory array, or the like. Each memory cell 202 may include a thin film transistor (TFT) 204 with an insulating memory film 90 as the gate dielectric. In some embodiments, the gate of each TFT 204 is provided by a portion of the corresponding word line (i.e., conductive line 72) and the first source/drain region of each TFT 204 is provided by the corresponding bit line (i.e., A portion of the conductive line 106) is provided, and the second source/drain region of each TFT 204 is provided by a portion of the corresponding source line (i.e., the conductive line 108), which electrically couples the second source/drain region to the ground. location. Memory cells 202 located in the same horizontal column in the memory array 200 may share a common word line, and memory cells 202 located in the same vertical row in the memory array 200 may share a common source line and a common bit line.

記憶體陣列200包含多個垂直堆疊的導電線72(例如,字元線),其具有介電層52設置於鄰近導電線72之間。導電線72沿平行位於底下的基材之主要表面的方向延伸(未明確地繪示於第1A圖及第1B圖中)。導電線72可以具有階梯特徵,使得較低導電線72長於並且橫向延伸通過上部導電線72的端點。舉例來說,在第1A圖中,多個具有最頂部導電線72之導電線72堆疊層被繪示,其中最頂部導電線72最短並且最底部導電線72最長。導電線72的相對長度可以沿朝向下方基材的方向增加。以這種方式,每個導電線72的一部分可以由記憶體陣列200的上方被取用,並且導電接觸點可以被做成每個導電線72的暴露部分。The memory array 200 includes a plurality of vertically stacked conductive lines 72 (eg, word lines) with a dielectric layer 52 disposed between adjacent conductive lines 72 . The conductive lines 72 extend in a direction parallel to the major surface of the underlying substrate (not explicitly shown in Figures 1A and 1B). Conductive line 72 may have a stepped feature such that lower conductive line 72 is longer than and extends laterally past the end of upper conductive line 72 . For example, in Figure 1A, a plurality of stacks of conductive lines 72 are illustrated with topmost conductive lines 72 being the shortest and bottommost conductive lines 72 being the longest. The relative lengths of conductive lines 72 may increase toward the underlying substrate. In this manner, a portion of each conductive line 72 can be accessed from above the memory array 200 and conductive contacts can be made to the exposed portion of each conductive line 72 .

記憶體陣列200進一步包含多個導電線106(即,位元線)以及導電線108(即,來源線)。導電線106及108可以各別沿垂直導電線72的方向伸展。介電材料98設置在相鄰導電線106及導電線108之間並且使其相互隔離。成對的導電線106及108沿著相交導電線72定義每個記憶單元202的邊界,並且介電材料102設置在相鄰成對的導電線106及108之間並且使其相互隔離。在一些實施例中,導電線108與接地點電耦合。雖然第1A圖及第1C圖繪示特定的導電線106相對於導電線108的布局,應當理解的是,導電線106及108在其他實施例中可以被相互交換。進一步來說,在第1A圖及第1C圖中,在記憶體陣列200中的相鄰行之導電線106及108可以彼此交錯以提升記憶單元202之間的隔離性。在一些其他實施例中,導電線106及108可以具有不同配置(例如,對齊)。The memory array 200 further includes a plurality of conductive lines 106 (ie, bit lines) and conductive lines 108 (ie, source lines). Conductive lines 106 and 108 may each extend in a direction perpendicular to conductive line 72 . Dielectric material 98 is disposed between adjacent conductive lines 106 and 108 and isolates them from each other. Pairs of conductive lines 106 and 108 define the boundaries of each memory cell 202 along intersecting conductive lines 72 , and dielectric material 102 is disposed between and isolating adjacent pairs of conductive lines 106 and 108 . In some embodiments, conductive line 108 is electrically coupled to ground. Although FIGS. 1A and 1C illustrate specific arrangements of conductive lines 106 relative to conductive lines 108 , it should be understood that conductive lines 106 and 108 may be interchanged in other embodiments. Furthermore, in FIGS. 1A and 1C , adjacent rows of conductive lines 106 and 108 in the memory array 200 may be staggered with each other to enhance isolation between memory cells 202 . In some other embodiments, conductive lines 106 and 108 may have different configurations (eg, alignment).

如上述討論,記憶體陣列200也可以包含氧化半導體(oxide semiconductor, OS)層92。OS層92可以為記憶單元202的TFT 204提供通道區域。舉例來說,當一個合適電壓(例如,高於相應TFT 204之相應臨界電壓(threshold voltage, V th))被施加通過相應導電線72,OS層92與導電線72相交的區可以允許電流自導電線106流至導電線108(例如,沿箭頭205所標示的方向)。 As discussed above, memory array 200 may also include an oxide semiconductor (OS) layer 92 . OS layer 92 may provide channel areas for TFT 204 of memory unit 202 . For example, when an appropriate voltage (eg, higher than a corresponding threshold voltage (V th ) of the corresponding TFT 204 ) is applied through the corresponding conductive line 72 , the region where the OS layer 92 intersects the conductive line 72 may allow current to flow from it. Conductive line 106 flows to conductive line 108 (eg, in the direction indicated by arrow 205).

記憶膜90設置在導電線72與OS層92之間,並且記憶膜90可以為TFT 204提供閘極介電質。在一些實施例中,記憶膜90包含鐵電材料,例如氧化鉿、氧化鉿鋯、矽摻雜氧化鉿,或其類似者。因此,記憶體陣列200可以稱為鐵電隨機存取記憶體(ferroelectric random access memory, FERAM)陣列。或者,記憶膜90可以為多層結構,其包含SiN x層介於兩個SiO x層之間(即,ONO結構)、不同的鐵電材料、不同類型的記憶膜(例如,可儲存一位元),或其類似者。 The memory film 90 is disposed between the conductive line 72 and the OS layer 92 and may provide a gate dielectric for the TFT 204 . In some embodiments, memory film 90 includes a ferroelectric material such as hafnium oxide, hafnium zirconium oxide, silicon-doped hafnium oxide, or the like. Therefore, the memory array 200 may be called a ferroelectric random access memory (FERAM) array. Alternatively, the memory film 90 may be a multi-layer structure including a SiN x layer between two SiO ), or the like.

在一些記憶膜90包含鐵電材料的實施例中,記憶膜90可以在一或兩個方向被極化,並且極化方向可以藉由跨越記憶膜90施加合適的電壓分量被改變,並且產生合適的電場。極化可以具有相對局部性(即,一般來說包含在每個記憶單元202的邊界中),並且記憶膜90的連續區可以延伸跨越多個記憶單元202。根據記憶膜90特定區域的極化方向,相應TFT 204的臨界電壓相應的變化並且數位值(例如,0或1)可以被儲存。舉例來說,記憶膜90的一區具有第一電極化方向時,相應的TFT 204可以具有相應的低臨界電壓,並且當記憶膜90的此區具有第二電極化方向時,相應的TFT 204可以具有相應的高臨界電壓。介於兩個臨界電壓之間的差值可以稱為臨界電壓偏移。較大的臨界電壓偏移使其易於(即,具有更少錯誤傾向的)讀取儲存在相應記憶單元202中的數位值。In some embodiments in which memory film 90 includes a ferroelectric material, memory film 90 can be polarized in one or two directions, and the polarization direction can be changed by applying appropriate voltage components across memory film 90 and produce appropriate of electric field. The polarization may be relatively localized (ie, generally contained within the boundaries of each memory cell 202 ), and a continuous region of memory film 90 may extend across multiple memory cells 202 . Depending on the polarization direction of a specific region of the memory film 90, the threshold voltage of the corresponding TFT 204 changes accordingly and a digital value (eg, 0 or 1) can be stored. For example, when a region of the memory film 90 has a first polarization direction, the corresponding TFT 204 may have a corresponding low threshold voltage, and when this region of the memory film 90 has a second polarization direction, the corresponding TFT 204 Can have correspondingly high threshold voltage. The difference between two critical voltages may be called the critical voltage offset. A larger threshold voltage offset makes it easier (ie, less error-prone) to read the digital value stored in the corresponding memory cell 202 .

在上述一些實施例中的記憶單元202上執行寫入操作時,在每個相應記憶單元202跨過記憶膜90的一部份之間施加寫入電壓。寫入電壓可以被施加,舉例來說,藉由在相應導電線72(例如,字元線)以及相應導電線106/108(例如,位元線/來源線)上施加合適電壓。藉由在跨過記憶膜90的一部份之間施加寫入電壓,記憶膜90一區的極化方向可以被改變。最終導致,相應TFT 204的相應臨界電壓也可以由低臨界電壓調整至高臨界電壓或者反之亦然,並且數位值可以被儲存在記憶單元202中。其原因在導電線72與導電線106與108相交,各別記憶單元20可以被選擇以進行寫入操作。When performing a write operation on the memory cells 202 in some of the embodiments described above, a write voltage is applied between each corresponding memory cell 202 across a portion of the memory film 90 . The write voltage may be applied, for example, by applying appropriate voltages on corresponding conductive lines 72 (eg, word lines) and corresponding conductive lines 106/108 (eg, bit lines/source lines). By applying a write voltage across a portion of memory film 90, the polarization direction of a region of memory film 90 can be changed. As a result, the corresponding threshold voltage of the corresponding TFT 204 can also be adjusted from a low threshold voltage to a high threshold voltage or vice versa, and the digital value can be stored in the memory unit 202 . The reason for this is that where conductive line 72 intersects conductive lines 106 and 108, respective memory cells 20 can be selected for write operations.

在上述一些實施例中的記憶單元202上執行寫入操作,寫入電壓(介於低及高臨界電壓之間的電壓)被施加至相應導電線72(例如,字元線)上。根據記憶膜90的相應區極化方向,記憶單元202的TFT 204可以或不行被導通。最終導致,導電線106可以或不行通過導電線108放電(例如,與接地點耦合的來源線),並且儲存在記憶單元202中的數位值可以因此被決定。因為導電線72與導電線106及108相交,各別的記憶單元202可以被選擇以進行讀取操作。To perform a write operation on the memory cell 202 in some embodiments described above, a write voltage (a voltage between a low and a high threshold voltage) is applied to the corresponding conductive lines 72 (eg, word lines). Depending on the polarization direction of the corresponding area of the memory film 90, the TFT 204 of the memory cell 202 may or may not be turned on. As a result, conductive line 106 may or may not discharge through conductive line 108 (eg, a source line coupled to ground), and the digital value stored in memory unit 202 may be determined accordingly. Because conductive line 72 intersects conductive lines 106 and 108, individual memory cells 202 can be selected for read operations.

第1A圖進一步繪示根據用於後續圖示的記憶體陣列200的剖面。線B-B’沿著導電線72的長軸方向以及沿,例如,平行TFT 204的電流流動方向的方向。線C-C’垂直線B-B’並且其平行導電線72的長軸方向。線C-C’延伸穿過導電線106。導電線D-D’平行線C-C’並且延伸穿過介電材料102。為了簡單起見,隨後的圖示根據以上的剖面繪示。Figure 1A further illustrates a cross-section of the memory array 200 used in subsequent illustrations. Line B-B' is along the long axis direction of the conductive line 72 and in a direction parallel to the current flow direction of the TFT 204, for example. Line C-C' is perpendicular to line B-B' and is parallel to the long axis direction of conductive line 72. Line C-C' extends through conductive line 106. Conductive lines D-D' are parallel to lines C-C' and extend through dielectric material 102. For the sake of simplicity, the subsequent illustrations are based on the above cross-section.

在第2圖中,基材50被提供。基材50可以為半導體基材,例如塊材半導體、絕緣體上覆矽(semiconductor-on-insulator, SOI)基材,或其類似者,其可以被摻雜(例如,p型或n型摻雜物)或未參雜。基材50可以為晶圓,例如矽晶圓。一般來說,SOI基材為形成在絕緣層上的半導體材料層。絕緣層可以是,例如,掩埋氧化(buried oxide, BOX)層、氧化矽層,或其類似者。絕緣層被提供在基材上方,特別是矽或玻璃基材。對於其他基材,例如多層或梯度基材絕緣層也可以被使用。在一些實施例中,基材50的半導體材料可以包含矽、鍺、包含碳化矽的化合物半導體、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦、包含矽鍺的合金半導體、砷化鎵磷化物、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、和/或砷化鎵銦磷化物,或其組合。In Figure 2, a substrate 50 is provided. The substrate 50 may be a semiconductor substrate, such as a bulk semiconductor, a silicon-on-insulator (SOI) substrate, or the like, which may be doped (eg, p-type or n-type doped) thing) or not mixed. The substrate 50 may be a wafer, such as a silicon wafer. Generally speaking, the SOI substrate is a semiconductor material layer formed on an insulating layer. The insulating layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. An insulating layer is provided over the substrate, in particular a silicon or glass substrate. For other substrates, such as multi-layer or gradient substrate insulating layers may also be used. In some embodiments, the semiconductor material of the substrate 50 may include silicon, germanium, compound semiconductors including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide, silicon germanium. Alloy semiconductors, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium arsenide, gallium indium arsenide, and/or gallium indium arsenide phosphide, or combinations thereof.

第2圖進一步繪示被形成在基材50上方的電路。電路包含位於基材50頂表面的主動元件(例如,電晶體)。電晶體可以包含閘極介電層201,位於基材50的頂表面並且閘極電極203位於閘極介電層201的表面。源極/汲極區206設置在基材50中,位於與閘極介電層201及閘極電極203相對的側面。閘極間隔物208沿著閘極介電層201的側壁被形成,並且藉由合適的側向距離自閘極電極203分開源極/汲極區206。在一些實施例中,電晶體可以為平面場效電晶體(field effect transistor, FET)、鰭式場效電晶體(fin field effect transistor, finFET)、奈米場效電晶體(nano-field effect transistor, nanoFET),或其類似者。Figure 2 further illustrates circuitry formed over substrate 50. The circuit includes active components (eg, transistors) located on the top surface of substrate 50 . The transistor may include a gate dielectric layer 201 on the top surface of the substrate 50 and a gate electrode 203 on the surface of the gate dielectric layer 201 . The source/drain region 206 is disposed in the substrate 50 on the side opposite to the gate dielectric layer 201 and the gate electrode 203 . Gate spacers 208 are formed along the sidewalls of the gate dielectric layer 201 and separate the source/drain regions 206 from the gate electrode 203 by a suitable lateral distance. In some embodiments, the transistor may be a planar field effect transistor (FET), a fin field effect transistor (finFET), or a nano-field effect transistor (nano-field effect transistor, nanoFET), or its equivalent.

第一ILD 210圍繞並隔開源極/汲極區206、閘極介電層201及閘極電極203並且第二ILD 212位於第一ILD 210上方。源極/汲極接點214延伸穿過第二ILD 212以及第一ILD 210並且與源極/汲極區206電耦合並且閘極接點216延伸穿過第二ILD 212並且與閘極電極203電耦合。內部互連結構220包含一或多個堆疊介電層224以及導電特徵222,形成在一或多個介電層224上方,其位於第二ILD 212、源極/汲極接點214以及閘極接點216上方。雖然第2圖繪示兩個堆疊介電層224,應當理解的是內部互連結構220可以包含任意數目的具有導電特徵222設置其中的介電層224。內部互連結構220可以電連接至閘極接點216及源極/汲極接點214以形成可作用的電路。在一些實施例中,可作用電路藉由內部互連結構220被形成,其可包含邏輯電路、記憶電路、感測放大器、控制器、輸入/輸出電路、圖像感測電路、其類似者或其組合。雖然第2圖討論形成在基材50上方的電晶體,其他主動元件(例如,二極體或類似者)及/或被動元件(例如,電容、電阻或類似者)也可以被形成為可作用電路的一部分。The first ILD 210 surrounds and isolates the source/drain region 206 , the gate dielectric layer 201 and the gate electrode 203 and the second ILD 212 is located above the first ILD 210 . Source/drain contact 214 extends through second ILD 212 and first ILD 210 and is electrically coupled to source/drain region 206 and gate contact 216 extends through second ILD 212 and is coupled to gate electrode 203 electrical coupling. Internal interconnect structure 220 includes one or more stacked dielectric layers 224 and conductive features 222 formed over one or more dielectric layers 224 over second ILD 212 , source/drain contacts 214 and gate above contact 216. Although Figure 2 depicts two stacked dielectric layers 224, it should be understood that the internal interconnect structure 220 may include any number of dielectric layers 224 having conductive features 222 disposed therein. Internal interconnect structure 220 may be electrically connected to gate contact 216 and source/drain contact 214 to form a functional electrical circuit. In some embodiments, functional circuitry is formed by internal interconnect structure 220, which may include logic circuits, memory circuits, sense amplifiers, controllers, input/output circuits, image sensing circuits, the like, or the like. its combination. Although FIG. 2 discusses transistors formed over substrate 50, other active components (eg, diodes or the like) and/or passive components (eg, capacitors, resistors, or the like) may also be formed to act. part of the circuit.

在第3A圖及第3B圖中,多層堆疊58被形成在第2圖的結構上方。為了簡單起見,基材50、電晶體、ILD及內部互連結構220可以在隨後繪圖中被省略。雖然多層堆疊58被繪示與內部互連結構220的介電層224連接,然而任意數目的介電層可以設置在基材50與多層堆疊58之間。舉例來說,一或多個額外的包含具有導電特徵的絕緣層(例如,低k介電層)之內部互連層可以設置在基材50與多層堆疊58之間。在一些實施例中,導電特徵可以被圖案化以為位於基材50上及記憶體陣列200上的主動元件提供電源、接地及/或訊號線(請見第1A圖及第1B圖)。可替代地,多層堆疊58可以沒有任何中間特徵地直接設置在基材50上。在此種實施例中,基材50可以不具有任何主動元件。In Figures 3A and 3B, a multi-layer stack 58 is formed over the structure of Figure 2 . For simplicity, the substrate 50, transistor, ILD and internal interconnect structure 220 may be omitted in subsequent drawings. Although multi-layer stack 58 is shown connected to dielectric layer 224 of internal interconnect structure 220 , any number of dielectric layers may be disposed between substrate 50 and multi-layer stack 58 . For example, one or more additional internal interconnect layers including an insulating layer having conductive characteristics (eg, a low-k dielectric layer) may be disposed between substrate 50 and multilayer stack 58 . In some embodiments, conductive features may be patterned to provide power, ground, and/or signal lines for active devices located on substrate 50 and memory array 200 (see Figures 1A and 1B). Alternatively, multilayer stack 58 may be disposed directly on substrate 50 without any intervening features. In such embodiments, substrate 50 may not have any active components.

多層堆疊58包含導電層54A、54B、54C、54D(總和稱作導電層54)以及介電層52A、52B、52C(總和稱作介電層52)之交替層。導電層54可以在隨後步驟被圖案化以定義導電線72(即,字元線)。導電層54可以包含導電材料,例如,銅、鈦、氮化鈦、鉭、氮化鉭、鎢、銣、鋁、其組合,或其類似者,並且介電層52可以包含絕緣材料,例如氧化矽、氮化矽、氮氧化矽、其組合,或其類似者。導電層54及介電層52可以各別被形成,藉由,舉例來說,化學氣相沉積(chemical vapor deposition, CVD)、原子層沉積(atomic layer deposition, ALD)、物理氣相沉積(physical vapor deposition, PVD)、電漿增強CVD(plasma enhanced CVD, PECVD),或其類似者。雖然第3A圖及第3B圖繪示特定數目的導電層54及介電層52,在其他實施例中可以包含不同數目的導電層54及/或介電層52。Multilayer stack 58 includes alternating layers of conductive layers 54A, 54B, 54C, 54D (collectively referred to as conductive layers 54) and dielectric layers 52A, 52B, 52C (collectively referred to as dielectric layers 52). Conductive layer 54 may be patterned in a subsequent step to define conductive lines 72 (ie, word lines). Conductive layer 54 may include a conductive material, such as copper, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, rubidium, aluminum, combinations thereof, or the like, and dielectric layer 52 may include an insulating material, such as oxide Silicon, silicon nitride, silicon oxynitride, combinations thereof, or the like. The conductive layer 54 and the dielectric layer 52 may each be formed by, for example, chemical vapor deposition (CVD), atomic layer deposition (ALD), or physical vapor deposition (ALD). vapor deposition (PVD), plasma enhanced CVD (PECVD), or the like. Although FIGS. 3A and 3B illustrate specific numbers of conductive layers 54 and dielectric layers 52 , other embodiments may include different numbers of conductive layers 54 and/or dielectric layers 52 .

第4圖至第12B圖為繪示根據本揭露的一些實施例之製造階梯結構之記憶體陣列200的中間階段之示意圖。第4圖至第11圖及第12B圖為根據第1A圖中的線B-B’所繪示。第12A圖繪示為三維視角。4 to 12B are schematic diagrams illustrating intermediate stages of manufacturing the ladder structure memory array 200 according to some embodiments of the present disclosure. Figures 4 to 11 and 12B are drawn based on line B-B' in Figure 1A. Figure 12A shows a three-dimensional perspective.

在第4圖中光阻56被形成在多層堆疊58上方。如前述討論,多層堆疊58可以包含導電層54(標示為54A、54B、54C及54D)及介電層52(標示為52A、52B及52C)的交替層。光阻56可以藉由旋塗技術被形成。Photoresist 56 is formed over multilayer stack 58 in FIG. 4 . As discussed previously, multilayer stack 58 may include alternating layers of conductive layers 54 (labeled 54A, 54B, 54C, and 54D) and dielectric layers 52 (labeled 52A, 52B, and 52C). Photoresist 56 may be formed by spin coating techniques.

在第5圖中,光阻56被圖案化以暴露多層堆疊58在區域60中同時遮罩多層堆疊58的剩餘部分。舉例來說,多層堆疊58的最頂層(即,導電層54D)可以被暴露在區域60中。光阻56可以利用可接受的光微影技術被圖案化。In FIG. 5 , photoresist 56 is patterned to expose multilayer stack 58 in region 60 while masking the remainder of multilayer stack 58 . For example, the topmost layer of multi-layer stack 58 (ie, conductive layer 54D) may be exposed in region 60 . Photoresist 56 may be patterned using acceptable photolithography techniques.

在第6圖中,在區域60中的多層堆疊58暴露區域利用光阻56作為遮罩被蝕刻。蝕刻可以是任何可接受蝕刻製程,例如濕式蝕刻或乾式蝕刻、反應離子蝕刻(reactive ion etch, RIE)、中子束蝕刻(neutral beam etch, NBE)、其類似者或其組合。蝕刻可以為非等向。蝕刻可以移除在區域60中的部分的導電層54D以及介電層52C並且定義開口61。因為導電層54D以及介電層52C具有不同材料組成,用以移除這些層的暴露部分的蝕刻劑可以不同。在一些實施例中,介電層52C在蝕刻導電層54D時作為蝕刻停止層,並且導電層54C在蝕刻介電層52C作為蝕刻停止層。最終導致,介電層52C及導電層54D可以被選擇性移除而不移除多層堆疊58中的剩餘層,並且開口61可以延伸至理想的深度。可替代地,被計時的蝕刻製程可以用以在開口61達到理想深度時停止蝕刻開口61。在最終結構中,導電層54C被暴露在區域60中。In Figure 6, the exposed areas of multilayer stack 58 in area 60 are etched using photoresist 56 as a mask. The etching may be any acceptable etching process, such as wet or dry etching, reactive ion etch (RIE), neutral beam etch (NBE), the like, or combinations thereof. The etching can be anisotropic. The etching may remove portions of conductive layer 54D and dielectric layer 52C in region 60 and define opening 61 . Because conductive layer 54D and dielectric layer 52C have different material compositions, the etchants used to remove the exposed portions of these layers may be different. In some embodiments, dielectric layer 52C acts as an etch stop during the etching of conductive layer 54D, and conductive layer 54C acts as an etch stop during the etching of dielectric layer 52C. As a result, the dielectric layer 52C and the conductive layer 54D can be selectively removed without removing the remaining layers in the multilayer stack 58, and the opening 61 can extend to a desired depth. Alternatively, a timed etching process may be used to stop etching opening 61 when opening 61 reaches a desired depth. In the final structure, conductive layer 54C is exposed in region 60 .

在第7圖中,光阻56修整以暴露額外的多層堆疊58的部分。光阻可以利用可接受的光微影技術被修整。修整後的結果,光阻56的寬度被減少,並且部分在區域60及62中的多層堆疊58的部分被暴露。舉例來說,導電層54C的頂表面可以在區域60中被暴露,並且導電層54D的頂表面可以在區域62中被暴露。In Figure 7, the photoresist 56 is trimmed to expose additional portions of the multi-layer stack 58. The photoresist can be trimmed using acceptable photolithography techniques. As a result of trimming, the width of photoresist 56 is reduced and portions of multilayer stack 58 partially in regions 60 and 62 are exposed. For example, the top surface of conductive layer 54C may be exposed in region 60 and the top surface of conductive layer 54D may be exposed in region 62 .

在第8圖中,部分導電層54D、介電層52C、導電層54C及介電層52B在區域60及62中藉由可接受的蝕刻製程利用光阻56作為遮罩被移除。蝕刻可以是任何可接受的蝕刻技術,例如藉由濕式蝕刻或乾式蝕刻、反應離子蝕刻(reactive ion etch, RIE)、中子束蝕刻(neutral beam etch, NBE)、其類似者或其組合。蝕刻可以為非等向。蝕刻可以延伸開口61進一步進入多層堆疊58。因為導電層54D/54C以及介電層52C/52B具有不同材料組成,用以移除這些層的暴露部分的蝕刻劑可以不同。在一些實施例中,介電層52C在蝕刻導電層54D時作為蝕刻停止層,導電層54C在蝕刻介電層52C時作為蝕刻停止層,介電層52B在蝕刻導電層54C時作為蝕刻停止層,並且導電層54B在蝕刻介電層52B時作為蝕刻停止層。最終導致,部分導電層54D/54C以及介電層52C/52B可以選擇性地被移除而不移除多層堆疊58的剩餘層,並且開口61可以被延伸至理想深度。進一步來說,在蝕刻製程的過程中,導電層54及介電層52之未蝕刻部分作為底下層的遮罩,並且最終防止導電層54D及介電層52C的前述圖案(請見第7圖)被轉印至底下的導電層54C及介電層52B。最終結構,導電層54B被暴露在區域60中,並且及導電層54C被暴露在區域62中。In FIG. 8, portions of conductive layer 54D, dielectric layer 52C, conductive layer 54C, and dielectric layer 52B are removed in regions 60 and 62 by an acceptable etching process using photoresist 56 as a mask. The etching may be any acceptable etching technique, such as by wet or dry etching, reactive ion etch (RIE), neutral beam etch (NBE), the like, or combinations thereof. The etching can be anisotropic. The etch may extend opening 61 further into multilayer stack 58 . Because conductive layers 54D/54C and dielectric layers 52C/52B have different material compositions, the etchants used to remove exposed portions of these layers may be different. In some embodiments, dielectric layer 52C acts as an etch stop layer when etching conductive layer 54D, conductive layer 54C acts as an etch stop layer when etching dielectric layer 52C, and dielectric layer 52B acts as an etch stop layer when etching conductive layer 54C. , and conductive layer 54B serves as an etching stop layer when etching dielectric layer 52B. As a result, portions of conductive layers 54D/54C and dielectric layers 52C/52B can be selectively removed without removing the remaining layers of multilayer stack 58, and openings 61 can be extended to a desired depth. Furthermore, during the etching process, the unetched portions of the conductive layer 54 and the dielectric layer 52 serve as masks for the underlying layers, and ultimately prevent the aforementioned patterns of the conductive layer 54D and the dielectric layer 52C (please see FIG. 7 ) is transferred to the underlying conductive layer 54C and dielectric layer 52B. In the final structure, conductive layer 54B is exposed in region 60 and conductive layer 54C is exposed in region 62 .

在第9圖中,光阻56被修剪以暴露多層堆疊58的額外部位。光阻可以利用可接受的光微影技術被修剪。修剪的最終結果,光阻56的寬度被縮減,並且位於區域60、62及64中的多層堆疊58的部分被暴露。舉例來說,導電層54B的頂表面可以被暴露在區域60中,導電層54C的頂表面可以被暴露在區域62中,並且導電層54D的頂表面可以被暴露在區域64中。In Figure 9, the photoresist 56 is trimmed to expose additional areas of the multi-layer stack 58. The photoresist can be trimmed using acceptable photolithography techniques. As a final result of trimming, the width of photoresist 56 is reduced and portions of multilayer stack 58 located in regions 60, 62, and 64 are exposed. For example, the top surface of conductive layer 54B may be exposed in region 60 , the top surface of conductive layer 54C may be exposed in region 62 , and the top surface of conductive layer 54D may be exposed in region 64 .

在第10圖中,在區域60、62及64中的部分導電層54D、54C及54B藉由可接受的蝕刻製程以光阻56作為遮罩被移除。蝕刻可以是任何可接受的蝕刻製程,例如藉由濕式蝕刻或乾式蝕刻、反應離子蝕刻(reactive ion etch, RIE)、中子束蝕刻(neutral beam etch, NBE)、其類似者或其組合。蝕刻可以為非等向。蝕刻可以延伸開口61進一步進入多層堆疊58中。在一些實施例中,介電層52C在蝕刻導電層54D時作為蝕刻停止層,介電層52B在蝕刻導電層54C時作為蝕刻停止層,並且介電層52A在蝕刻導電層54B時作為蝕刻停止層。最終導致,部分導電層54D、54C及54B可以被選擇性移除且不移除多層堆疊58的剩餘層,並且開口61可以被延伸至理想深度。進一步來說,在蝕刻製程的過程中,每個介電層52作為底下層的遮罩,並且使前一個介電層52C/52B的圖案(請見第9圖)可以被轉印至底下的導電層54C/54B。在最終結構中,介電層52A被暴露在區域60中,介電層52B被暴露在區域62中,並且介電層52C被暴露在區域64中。In Figure 10, portions of conductive layers 54D, 54C and 54B in regions 60, 62 and 64 are removed by an acceptable etching process using photoresist 56 as a mask. The etching may be any acceptable etching process, such as by wet or dry etching, reactive ion etch (RIE), neutral beam etch (NBE), the like, or combinations thereof. The etching can be anisotropic. The etching may extend opening 61 further into multilayer stack 58 . In some embodiments, dielectric layer 52C acts as an etch stop when etching conductive layer 54D, dielectric layer 52B acts as an etch stop when etching conductive layer 54C, and dielectric layer 52A acts as an etch stop when etching conductive layer 54B. layer. As a result, portions of conductive layers 54D, 54C, and 54B can be selectively removed without removing the remaining layers of multilayer stack 58, and openings 61 can be extended to a desired depth. Furthermore, during the etching process, each dielectric layer 52 serves as a mask for the underlying layer and allows the pattern of the previous dielectric layer 52C/52B (see Figure 9) to be transferred to the underlying layer. Conductive layer 54C/54B. In the final structure, dielectric layer 52A is exposed in region 60 , dielectric layer 52B is exposed in region 62 , and dielectric layer 52C is exposed in region 64 .

在第11圖中,光阻56可以被移除,例如藉由可接受的灰化或濕膜光阻去除。因此,階梯結構68被形成。階梯結構68包含可替換的導電層54及介電層52中的多個層的堆疊。較低的導電層54寬於並側向的延伸穿過上部導電層54,並且每個導電層54的寬度沿靠近基材的方向增加。舉例來說,導電層54A可以長於導電層54B,導電層54B可以長於導電層54C,並且導電層54C可以長於導電層54D。最終導致,導電接點可以在隨後製程中由階梯結構68的上方被製作在每個導電層54。In Figure 11, photoresist 56 may be removed, such as by acceptable ashing or wet film photoresist removal. Therefore, the stepped structure 68 is formed. The ladder structure 68 includes a stack of multiple layers of the alternative conductive layer 54 and the dielectric layer 52 . The lower conductive layer 54 is wider than and extends laterally through the upper conductive layer 54, with the width of each conductive layer 54 increasing toward the substrate. For example, conductive layer 54A can be longer than conductive layer 54B, conductive layer 54B can be longer than conductive layer 54C, and conductive layer 54C can be longer than conductive layer 54D. As a result, conductive contacts may be formed on each conductive layer 54 from above the step structure 68 in subsequent processes.

在第12A圖中,金屬間介電質(inter-metal dielectric, IMD)70被沉積在多層堆疊58上方。IMD 70可以由介電材料形成,並且可以藉由任何合適方法沉積,例如CVD、電漿增強CVD(plasma-enhanced CVD, PECVD)或FCVD。介電材料可以包含磷矽玻璃(phospho-silicate glass, PSG)、硼矽玻璃(boro-silicate glass, BSG)、摻硼磷矽玻璃(boron-doped phosphor-silicate glass, BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass, USG),或其類似者。其他絕緣材料藉由任何可接受製程被形成皆可被使用。IMD 70沿延伸導電層54的側壁與介電層52的側壁延伸。進一步來說,IMD 70可以連接每個介電層52的頂表面。In Figure 12A, an inter-metal dielectric (IMD) 70 is deposited over the multi-layer stack 58. IMD 70 may be formed from a dielectric material and may be deposited by any suitable method, such as CVD, plasma-enhanced CVD (PECVD) or FCVD. The dielectric material may include phospho-silicate glass (PSG), boro-silicate glass (BSG), boron-doped phosphor-silicate glass (BPSG), undoped Unoped silicate glass (USG), or the like. Other insulating materials formed by any acceptable process may be used. IMD 70 extends along sidewalls extending conductive layer 54 and dielectric layer 52 . Further, IMD 70 may connect the top surface of each dielectric layer 52 .

如第12B圖中進一步繪示,施加在IMD 70的移除製程用以移除位於多層堆疊58上的多餘介電材料。在一些實施例中,平坦化製程例如化學機械拋光(chemical mechanical polishing, CMP)、回蝕製程、其組合或其類似者可以被應用。平坦化製程暴露多層堆疊58使得多層堆疊58的頂表面以及IMD 70在平坦化製程完成後齊平。As further shown in Figure 12B, the removal process applied to IMD 70 is used to remove excess dielectric material located on multi-layer stack 58. In some embodiments, planarization processes such as chemical mechanical polishing (CMP), etch-back processes, combinations thereof, or the like may be applied. The planarization process exposes the multi-layer stack 58 so that the top surface of the multi-layer stack 58 and the IMD 70 are flush after the planarization process is completed.

第13圖至第17B圖為繪示根據本揭露的一些實施例之製造記憶體陣列200的中間階段之示意圖。在第13圖至第17B圖中,溝槽被圖案化在多層堆疊58中,以此定義導電線72。導電線72可以稱做記憶體陣列200中的字元線,並且導電線72可以進一步為最終記憶體陣列200中的TFT提供閘極電極。第17A圖繪示為三維視角。第13圖至第16圖及第17B圖為根據第1A圖中的線C-C’所繪示。13 to 17B are schematic diagrams illustrating intermediate stages of manufacturing the memory array 200 according to some embodiments of the present disclosure. In Figures 13-17B, trenches are patterned in the multilayer stack 58 to define conductive lines 72. The conductive lines 72 may be referred to as word lines in the memory array 200 , and the conductive lines 72 may further provide gate electrodes for the TFTs in the final memory array 200 . Figure 17A shows a three-dimensional perspective. Figures 13 to 16 and 17B are drawn based on the line C-C' in Figure 1A.

在第13圖中,硬遮罩層80及光阻82被沉積在多層堆疊58上方。硬遮罩層80可以包含,舉例來說,氮化矽、氮氧化矽,或其類似者,其可以藉由CVD、PVD、ALD、PECVD或其類似者沉積。光阻82可以藉由旋塗技術被形成,舉例來說。In Figure 13, a hard mask layer 80 and photoresist 82 are deposited over the multilayer stack 58. Hard mask layer 80 may include, for example, silicon nitride, silicon oxynitride, or the like, which may be deposited by CVD, PVD, ALD, PECVD, or the like. Photoresist 82 may be formed by spin coating techniques, for example.

在第14圖中,光阻82被圖案化以形成溝槽86。光阻82可以藉由可接受的光微影技術被圖案化。舉例來說,光阻82被暴露在光線中以被圖案化。在暴露製程過後,光阻82可以被發展以移除光阻受到曝光的區域或未受到曝光的區域,其依照使用負光阻或正光阻而決定,藉此定義光阻圖案可以形成溝槽86。In Figure 14, photoresist 82 is patterned to form trenches 86. Photoresist 82 may be patterned by acceptable photolithography techniques. For example, photoresist 82 is exposed to light to be patterned. After the exposure process, photoresist 82 may be developed to remove exposed or unexposed areas of the photoresist, depending on whether negative or positive photoresist is used, thereby defining the photoresist pattern to form trenches 86 .

在第15圖中,光阻82的圖案利用可接受的蝕刻製程被轉印至硬遮罩80,例如藉由濕式蝕刻或乾式蝕刻、反應離子蝕刻(reactive ion etch, RIE)、中子束蝕刻(neutral beam etch, NBE)、其類似者或其組合。蝕刻可以為非等向。因此,溝槽86透過硬遮罩80被形成。光阻82可以藉由,例如,灰化製程被移除。In FIG. 15, the pattern of photoresist 82 is transferred to hard mask 80 using an acceptable etching process, such as wet or dry etching, reactive ion etch (RIE), neutron beam Neutral beam etch (NBE), the like, or a combination thereof. The etching can be anisotropic. Therefore, trench 86 is formed through hard mask 80 . Photoresist 82 can be removed by, for example, an ashing process.

在第16圖中,硬遮罩80的圖案藉由一或多次可接受的蝕刻製程被轉印至多層堆疊58上,例如藉由濕式蝕刻或乾式蝕刻、反應離子蝕刻(reactive ion etch, RIE)、中子束蝕刻(neutral beam etch, NBE)、其類似者或其組合。蝕刻可以為非等向。因此,溝槽86延伸穿過多層堆疊58,並且導電線72(例如,字元線)由導電層54中被形成。藉由通過導電層54蝕刻溝槽86,鄰近導電線72可以本此分離。隨後,在第17A圖及第17B圖中,硬遮罩80可以藉由合適的製程被移除,例如濕式蝕刻製程、乾式蝕刻製程、平坦化製程、其組合或其類似者。因為多層堆疊58的階梯形狀(請見第12A圖),導電線72可以具有變化的長度其沿靠近基材50的方向增長。舉例來說,導電線72A可以長於導電線72B,導電線72B可以長於導電線72C,並且導線線72C可以長於導電線72D。In FIG. 16, the pattern of hard mask 80 is transferred to multi-layer stack 58 by one or more acceptable etching processes, such as wet or dry etching, reactive ion etch, RIE), neutral beam etch (NBE), the like, or combinations thereof. The etching can be anisotropic. Thus, trenches 86 extend through multilayer stack 58 and conductive lines 72 (eg, word lines) are formed from conductive layer 54 . By etching trenches 86 through conductive layer 54, adjacent conductive lines 72 can be separated. Subsequently, in FIGS. 17A and 17B , the hard mask 80 may be removed by a suitable process, such as a wet etching process, a dry etching process, a planarization process, a combination thereof, or the like. Because of the stepped shape of multilayer stack 58 (see FIG. 12A ), conductive lines 72 may have varying lengths as they grow toward substrate 50 . For example, conductive line 72A may be longer than conductive line 72B, conductive line 72B may be longer than conductive line 72C, and conductive line 72C may be longer than conductive line 72D.

第18A圖至第23C圖為繪示TFT 204在溝槽86中之通道區的形成以及圖案化 (請見第1A圖)。第18A圖、第19A圖及第23A圖繪示為三維視角。第18B圖、第19B圖、第20圖、第21圖、第22圖及第23B圖繪示為沿第1A圖中之線C-C’之剖面圖。第23C圖繪示為TFT結構的俯視視角。Figures 18A to 23C illustrate the formation and patterning of the channel region of the TFT 204 in the trench 86 (see Figure 1A). Figure 18A, Figure 19A and Figure 23A illustrate a three-dimensional perspective. Figures 18B, 19B, 20, 21, 22 and 23B are cross-sectional views along line C-C’ in Figure 1A. Figure 23C shows a top view of the TFT structure.

在第18A圖及第18B圖中,記憶膜90保形地沉積在溝槽86中。記憶膜90可以具有能夠儲存一位元的材料,例如可以藉由跨越記憶膜90施加合適電壓差以在兩種不同極化方向之間切換的材料。舉例來說,記憶膜90的極化方向可以藉由施加電壓差而產生的電場而被改變。In Figures 18A and 18B, the memory film 90 is conformally deposited in the trench 86. The memory film 90 may be of a material capable of storing a bit, such as a material that can be switched between two different polarization directions by applying an appropriate voltage difference across the memory film 90 . For example, the polarization direction of the memory film 90 can be changed by an electric field generated by applying a voltage difference.

舉例來說,記憶膜90可以為高k介電材料,例如鉿(Hf)基介電材料,或其類似者。在一些實施例中,記憶膜90包含鐵電材料(例如,氧化鉿、氧化鉿鋅、矽摻雜氧化鉿,或其類似者)、氮氧化矽、氮化矽,或其類似者。在一些其他實施例中,記憶膜90包含鐵電材料(例如,氧化鉿、氧化鉿鋅、矽摻雜氧化鉿,或其類似者)、氮氧化矽、氮化矽,或其類似者。在一些其他實施例中,記憶膜90可以為多層結構包含介於兩個SiO x之間的一層SiN x(即ONO結構)或介於兩個SiN x之間的一層SiO x(即NON結構)。在另外一些其他實施例中,記憶膜90可以包含不同鐵電材料或不同類型的記憶材料。記憶膜90可以藉由CVD、PVD、ALD、PECVD或其類似者被形成,其沿溝槽86的側壁及底表面延伸。在記憶膜90被沉積之後,退火步驟(例如,在約300℃至約600℃的溫度區間)可以被執行以達到理想晶相,以提升膜品質,並且為記憶膜90減少與膜相關的缺陷/雜質。在一些實施例中,退火步驟可以進一步在約400℃以下以達到BEOL熱預算並且在高溫退火製程中減少可能其他特徵中的缺陷。 For example, memory film 90 may be a high-k dielectric material, such as a hafnium (Hf)-based dielectric material, or the like. In some embodiments, memory film 90 includes a ferroelectric material (eg, hafnium oxide, hafnium zinc oxide, silicon doped hafnium oxide, or the like), silicon oxynitride, silicon nitride, or the like. In some other embodiments, memory film 90 includes a ferroelectric material (eg, hafnium oxide, hafnium zinc oxide, silicon doped hafnium oxide, or the like), silicon oxynitride, silicon nitride, or the like. In some other embodiments, the memory film 90 may be a multi-layer structure including a layer of SiN x between two SiO x (i.e., ONO structure) or a layer of SiO x between two SiN x (i.e., NON structure). . In still other embodiments, memory film 90 may include different ferroelectric materials or different types of memory materials. Memory film 90 may be formed by CVD, PVD, ALD, PECVD, or the like, and extends along the sidewalls and bottom surface of trench 86 . After the memory film 90 is deposited, an annealing step (eg, in a temperature range of about 300° C. to about 600° C.) may be performed to achieve a desired crystal phase to improve film quality and reduce film-related defects for the memory film 90 /impurity. In some embodiments, the anneal step can be further below about 400°C to achieve the BEOL thermal budget and reduce defects in other features that may be present in the high temperature anneal process.

在第19A圖至第19B圖,OS層92被保形地沉積在溝槽86中的記憶膜90上方。OS層92包含合適材料以為TFT(例如,TFT 204,請見第1A圖)提供通道區。在一些實施例中,OS層92包含含銦材料,例如In xGa yZn zMO,其中M可以為Ti、Al、Ag、Si、Sn、或其類似者。X、Y及Z可以各別為介於0至1的任意值。在一些其他實施例中,不同氧化半導體材料,例如,IWO、氧化鋅、或其類似者可以用於OS層92。在另一些其他實施例中,OS層92可以被多晶矽或其他半導體材料取代。OS層92可以藉由CVD、PVD、ALD、PECVD或其類似者被沉積。OS層92可以沿溝槽86的側壁以及底表面在記憶層90上方延伸。在OS層92被沉積之後,退火步驟(例如,在約300℃至約450℃的溫度範圍或是在約300℃至約400℃的溫度範圍)在與氧相關的環境中可以被實施以觸發OS層92的導電載子。 In Figures 19A-19B, OS layer 92 is conformally deposited over memory film 90 in trench 86. OS layer 92 contains suitable materials to provide channel regions for the TFT (eg, TFT 204, see Figure 1A). In some embodiments , OS layer 92 includes an indium-containing material, such as InxGayZnzMO , where M may be Ti, Al, Ag, Si, Sn, or the like. X, Y, and Z can each be any value between 0 and 1. In some other embodiments, different oxide semiconductor materials, such as IWO, zinc oxide, or the like, may be used for OS layer 92 . In still other embodiments, OS layer 92 may be replaced with polysilicon or other semiconductor materials. OS layer 92 may be deposited by CVD, PVD, ALD, PECVD, or the like. OS layer 92 may extend over memory layer 90 along the sidewalls and bottom surface of trench 86 . After the OS layer 92 is deposited, an annealing step (eg, in a temperature range of about 300°C to about 450°C or in a temperature range of about 300°C to about 400°C) may be performed in an oxygen-related environment to trigger Conductive carriers of OS layer 92 .

在第20圖中,介電材料98A被沉積在溝槽86的側壁及底表面並且在OS層92上方。介電材料98A可以包含,舉例來說,氧化矽、氮化矽、氮氧化矽、或其類似者,其可以藉由CVD、PVD、ALD、PECVD或其類似者被沉積。In FIG. 20 , dielectric material 98A is deposited on the sidewalls and bottom surface of trench 86 and over OS layer 92 . Dielectric material 98A may include, for example, silicon oxide, silicon nitride, silicon oxynitride, or the like, which may be deposited by CVD, PVD, ALD, PECVD, or the like.

在第21圖中,在溝槽86中的介電材料98A的底表面利用光微影及蝕刻製程被移除,舉例來說。蝕刻可以是任何可接受的蝕刻製程,例如藉由濕式蝕刻或乾式蝕刻、反應離子蝕刻 (reactive ion etch, RIE)、中子束蝕刻(neutral beam etch, NBE)、其類似者或其組合。蝕刻可以為非等向。In Figure 21, the bottom surface of dielectric material 98A in trench 86 is removed using photolithography and etching processes, for example. The etching may be any acceptable etching process, such as by wet or dry etching, reactive ion etch (RIE), neutral beam etch (NBE), the like, or combinations thereof. The etching can be anisotropic.

隨後,也如同第21圖所繪示,介電材料98A可以做為蝕刻遮罩以越過在溝槽86中OS層92的底表面。蝕刻可以是任何可接受的蝕刻製程,例如藉由濕式蝕刻或乾式蝕刻、反應離子蝕刻 (reactive ion etch, RIE)、中子束蝕刻(neutral beam etch, NBE)、其類似者或其組合。蝕刻可以為非等向。蝕刻OS層92可以暴露在溝槽86底表面的部分的記憶膜90。因此,位於溝槽86對應側壁上之部分的OS層92可以彼此分開,其提升記憶體陣列200中的記憶單元202之間的隔離(請見第1A圖)。Subsequently, as also shown in FIG. 21, dielectric material 98A may serve as an etch mask across the bottom surface of OS layer 92 in trench 86. The etching may be any acceptable etching process, such as by wet or dry etching, reactive ion etch (RIE), neutral beam etch (NBE), the like, or combinations thereof. The etching can be anisotropic. Etching OS layer 92 may expose portions of memory film 90 on the bottom surface of trench 86 . Therefore, the portions of the OS layer 92 located on the corresponding sidewalls of the trench 86 can be separated from each other, which improves the isolation between the memory cells 202 in the memory array 200 (see FIG. 1A ).

在第22圖中,額外介電材料98B被沉積以填充溝槽86。介電材料98B可以具有相同材料組合並且藉由與介電材料98A相同製程被形成。介電材料98B及介電材料98A可以在下文中被集合稱作介電材料98。In Figure 22, additional dielectric material 98B is deposited to fill trench 86. Dielectric material 98B may have the same material combination and be formed by the same process as dielectric material 98A. Dielectric material 98B and dielectric material 98A may be collectively referred to below as dielectric material 98 .

在第23A圖至第23C圖中,移除製程接著被施加在介電材料98、OS層92及記憶膜90上,以移除位於多層堆疊58上方的多餘材料。在一些實施例中,平坦化製程例如化學機械蝕刻(chemical mechanical polish, CMP)、回蝕製程、其組合或其類似者可以被應用。平坦化製程暴露多層堆疊58使得多層堆疊58的頂表面在平坦化製程過後被完成。第23C圖繪示與第23A圖中所繪示的結構相應的俯視圖。In Figures 23A-23C, a removal process is then applied on dielectric material 98, OS layer 92, and memory film 90 to remove excess material located above multi-layer stack 58. In some embodiments, planarization processes such as chemical mechanical polish (CMP), etch-back processes, combinations thereof, or the like may be applied. The planarization process exposes the multi-layer stack 58 such that the top surface of the multi-layer stack 58 is completed after the planarization process. Figure 23C shows a top view corresponding to the structure shown in Figure 23A.

第24A圖至第27C圖為繪示記憶體陣列200中製造導電線106及108(即,來源線及位元線)的中間步驟。導電線106及108可以沿垂直導電線72方向延伸,使得記憶體陣列200中獨立的單元可以被選擇以進行讀取或寫入操作。在第24A圖至第27C圖中,以標號A結尾的圖示為繪示三維視角,以標號B結尾的圖示為繪示俯視視角,並且以標號C結尾的圖示為繪示與第1A圖中線C-C’平行所相應的剖面視角。Figures 24A to 27C illustrate intermediate steps of fabricating conductive lines 106 and 108 (ie, source lines and bit lines) in memory array 200. Conductive lines 106 and 108 may extend along the direction perpendicular to conductive line 72 so that individual cells in memory array 200 may be selected for read or write operations. In Figures 24A to 27C, the diagrams ending with the label A represent the three-dimensional perspective, the diagrams ending with the label B represent the top-down perspective, and the diagrams ending with the label C represent the relationship between the diagram and the 1A The cross-sectional angle corresponding to the parallel line C-C' in the figure.

在第24A圖、第24B圖及第24C圖中,溝槽100被圖案化穿過OS層92以及介電材料98。第24C圖為繪示根據第24B圖中線C-C’之剖面視角。圖案化溝槽100可以藉由光微影及蝕刻的組合被執行。溝槽100可以設置在記憶膜90的對應側壁之間,並且溝槽100可以物理上地與記憶體陣列200中的鄰近記憶單元的堆疊分開(請見第1A圖)。溝槽100可以被圖案化使得在相鄰行中的溝槽100彼此交錯。藉由溝槽100的交錯位置,記憶體陣列200中的隔離結果可以被提升。In Figures 24A, 24B, and 24C, trenches 100 are patterned through OS layer 92 and dielectric material 98. Figure 24C shows a cross-sectional view along line C-C’ in Figure 24B. Patterning trenches 100 may be performed by a combination of photolithography and etching. The trench 100 may be disposed between corresponding sidewalls of the memory film 90, and the trench 100 may be physically separated from the stack of adjacent memory cells in the memory array 200 (see Figure 1A). The trenches 100 may be patterned such that trenches 100 in adjacent rows stagger with each other. By staggering the trenches 100, the isolation results in the memory array 200 can be improved.

在第25A圖、第25B圖及第25C圖中,介電材料102被沉積在溝槽100中並填充溝槽100。第25C圖為繪示在第25B圖中的線C-C’之剖面視角。介電材料102可以包含,舉例來說,氧化矽、氮化矽、氮氧化矽、或其類似者,其可以藉由CVD、PVD、ALD、PECVD、或其類似者被沉積。介電材料102可以沿位於OS層92上方的溝槽86的側壁及底表面延伸。在沉積之後,平坦化製程(例如,CMP、回蝕或其類似者)可以被執行以移除多餘部分的介電材料102。在結果結構中,多層堆疊58、記憶膜90、OS層92及介電材料102的頂表面可以實質上齊平(例如,在製程變化範圍內)。在一些實施例中,介電材料98及102的材料可以被選擇以使得其可以相對於彼此被選擇性的蝕刻。舉例來說,在一些實施例中,介電材料98為氧化物並且介電材料102為氮化物。在一些實施例中,介電材料98為氮化物並且介電材料102為氧化物。其他材料也可以被使用。In Figures 25A, 25B, and 25C, dielectric material 102 is deposited in and fills trench 100. Figure 25C is a cross-sectional view of line C-C' shown in Figure 25B. Dielectric material 102 may include, for example, silicon oxide, silicon nitride, silicon oxynitride, or the like, which may be deposited by CVD, PVD, ALD, PECVD, or the like. Dielectric material 102 may extend along the sidewalls and bottom surface of trench 86 above OS layer 92 . After deposition, a planarization process (eg, CMP, etch back, or the like) may be performed to remove excess portions of dielectric material 102 . In the resulting structure, the top surfaces of multilayer stack 58, memory film 90, OS layer 92, and dielectric material 102 may be substantially flush (eg, within process variations). In some embodiments, the materials of dielectric materials 98 and 102 may be selected so that they may be selectively etched relative to each other. For example, in some embodiments, dielectric material 98 is an oxide and dielectric material 102 is a nitride. In some embodiments, dielectric material 98 is a nitride and dielectric material 102 is an oxide. Other materials can also be used.

在第26A圖、第26B圖及第26C圖中,溝層104被圖案化以形成導電線106及108。第26C圖繪示在第26B圖中的線C-C’之剖面圖。溝槽104藉由圖案化介電材料98(包含介電材料98A及介電材料98B) 利用光微影及蝕刻的組合被圖案化,舉例來說。In Figures 26A, 26B, and 26C, the trench layer 104 is patterned to form conductive lines 106 and 108. Figure 26C shows a cross-sectional view along line C-C' in Figure 26B. Trench 104 is patterned by patterning dielectric material 98 (including dielectric material 98A and dielectric material 98B) using a combination of photolithography and etching, for example.

舉例來說,光阻120可以被沉積在多層堆疊58、介電材料98、介電材料102、OS層92以及記憶膜90上方。光阻120可以藉由旋塗技術被形成,舉例來說。光阻120被圖案化以定義開口122。每個開口122可以與介電材料102的相應區域重疊,並且每個開口122可以被進一步部分的暴露介電材料98的兩個分離區域。舉例來說,每個開口122可以暴露介電材料102的一部分,部分暴露介電材料98的第一區並且部分暴露介電材料98的第二區,介電材料98的第二區與第一區藉由介電材料102的區域而被相互分離。如此一來,每個開口122可以定義導電線106與鄰近導電線108的圖案,導電線106與導電線108藉由介電材料102被分開。光阻可以利用接受的光微影技術被圖案化。舉例來說,光阻120可以被暴露在光線下以被圖案化。在暴露製程後,光阻120可以被開發以移除暴露或未暴露的光阻部分,其根據使用負光阻或正光阻而決定,藉此定義形成開口122的圖案。For example, photoresist 120 may be deposited over multilayer stack 58 , dielectric material 98 , dielectric material 102 , OS layer 92 , and memory film 90 . Photoresist 120 may be formed by spin coating technology, for example. Photoresist 120 is patterned to define openings 122 . Each opening 122 may overlap a corresponding region of dielectric material 102 , and each opening 122 may be further partially exposed to two separate regions of dielectric material 98 . For example, each opening 122 may expose a portion of dielectric material 102 , partially exposing a first region of dielectric material 98 and partially exposing a second region of dielectric material 98 , the second region of dielectric material 98 being in contact with the first region. The regions are separated from each other by regions of dielectric material 102 . In this manner, each opening 122 may define a pattern of conductive lines 106 and adjacent conductive lines 108 that are separated by the dielectric material 102 . Photoresist can be patterned using accepted photolithography techniques. For example, photoresist 120 may be exposed to light to be patterned. After the exposure process, the photoresist 120 can be developed to remove exposed or unexposed portions of the photoresist, depending on whether negative or positive photoresist is used, thereby defining the pattern forming the openings 122 .

隨後,藉由開口122被暴露的部分介電材料98可以藉由蝕刻被移除,舉例來說。蝕刻可以是任何可接受的蝕刻製程,例如藉由濕式蝕刻或乾式蝕刻、反應離子蝕刻(reactive ion etch, RIE)、中子束蝕刻(neutral beam etch, NBE)、其類似者或其組合。蝕刻可以為非等向。蝕刻製程可以使用蝕刻介電材料98但不蝕刻介電材料102的蝕刻劑。最終導致,即使開口122暴露介電材料102,介電材料102也不會被顯著的移除。溝槽104的圖案可以與導電線106及108相應(請見第27A圖、第27B圖及第27C圖)。舉例來說,介電材料98的部分可以剩餘在每對溝槽104之間,並且介電材料102可以設置在介於每對溝槽104之間。在每對溝槽104被圖案化後,光阻120可以藉由灰化被移除,舉例來說。Subsequently, the portion of dielectric material 98 exposed through opening 122 may be removed by etching, for example. The etching may be any acceptable etching process, such as by wet or dry etching, reactive ion etch (RIE), neutral beam etch (NBE), the like, or combinations thereof. The etching can be anisotropic. The etching process may use an etchant that etches dielectric material 98 but not dielectric material 102 . As a result, even if the opening 122 exposes the dielectric material 102, the dielectric material 102 is not significantly removed. The pattern of trenches 104 may correspond to conductive lines 106 and 108 (see Figures 27A, 27B, and 27C). For example, a portion of dielectric material 98 may remain between each pair of trenches 104 and dielectric material 102 may be disposed between each pair of trenches 104 . After each pair of trenches 104 is patterned, the photoresist 120 may be removed by ashing, for example.

在第27A圖、第27B圖及第27C圖中,溝槽104以導電材料被填充以形成導電線106及108。第27C圖為繪示在第27B圖中線C-C’之剖面視角。每個導電線106及108可以包含導電材料,例如,銅、鈦、氮化鈦、鉭、氮化鉭、鎢、銣、鋁、其組合、或其類似者,其每者可以藉由,例如,CVD、ALD、PVD、PECVD、或其類似者被形成。在導電線106及108被沉積之後,平坦化(例如,CMP、回蝕、或其類似者)可以被執行以移除導電材料的多餘部分,藉此形成導電線106及108。在結果結構中,多層堆疊58、記憶膜90、OS層92、導電線106及導電線108的頂表面可以實質上齊平(例如,在製程變化範圍內)。導電線106可以被稱作記憶體陣列200中的位元線,並且導電線108可以被稱作記憶體陣列200的來源線。雖然第27C圖僅繪示導電線106的剖面視角,但導電線108的剖面視角可以與其類似。In Figures 27A, 27B, and 27C, trench 104 is filled with conductive material to form conductive lines 106 and 108. Figure 27C is a cross-sectional view of line C-C' shown in Figure 27B. Each conductive line 106 and 108 may comprise a conductive material such as copper, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, rubidium, aluminum, combinations thereof, or the like, each of which may be formed by, e.g. , CVD, ALD, PVD, PECVD, or the like are formed. After conductive lines 106 and 108 are deposited, planarization (eg, CMP, etchback, or the like) may be performed to remove excess portions of the conductive material, thereby forming conductive lines 106 and 108 . In the resulting structure, the top surfaces of multilayer stack 58, memory film 90, OS layer 92, conductive lines 106, and conductive lines 108 may be substantially flush (eg, within process variations). Conductive lines 106 may be referred to as bit lines in memory array 200 , and conductive lines 108 may be referred to as source lines of memory array 200 . Although FIG. 27C only shows a cross-sectional view of the conductive line 106, the cross-sectional view of the conductive line 108 may be similar.

因此堆疊TFT 204可以被形成在記憶體陣列200中。每個TFT 204包含閘極電極(例如,相應導電線72的部分)、閘極電極(例如,相應記憶膜90的部分)、通道區(例如,相應OS層92的部分)以及源極與汲極電極(例如,相應導電線106及108的部分)。介電材料102隔離在相同行並且位於相同垂直位置的相鄰TFT 204。TFT 204可以設置在具有垂直堆疊之列及行的陣列中。導電線72水平的鋪設(例如,平行下方基材50的主要表面)並且與導電線106及108相交,其被垂直的鋪設(例如,垂直下方基材50的多個表面)。Thus stacked TFTs 204 may be formed in the memory array 200. Each TFT 204 includes a gate electrode (eg, a portion corresponding to conductive line 72), a gate electrode (eg, a portion corresponding to memory film 90), a channel region (eg, a portion corresponding to OS layer 92), and source and drain electrodes. electrodes (e.g., portions corresponding to conductive lines 106 and 108). Dielectric material 102 isolates adjacent TFTs 204 in the same row and at the same vertical position. TFTs 204 may be arranged in an array with vertically stacked columns and rows. Conductive lines 72 are run horizontally (eg, parallel to major surfaces of underlying substrate 50 ) and intersect conductive lines 106 and 108 , which are run vertically (eg, perpendicular to multiple surfaces of underlying substrate 50 ).

在第28A圖、第28B圖、第28C圖及第28D圖,接點110被製作在導電線72、導電線106及導電線108上。第28A圖為繪示記憶體陣列200的示意圖,第28B圖為繪示記憶體陣列的俯視視角,並且第28C圖為繪示元件的剖面圖以及單獨繪示下方基材沿著第28A圖中的線28C-28C’,並且第28D圖繪示元件沿第1A圖中線B-B’的剖面視角。在一些實施例中,導電線72的階梯形狀可以提供每個導電線72的表面使導電接點110可以被配置。形成接點110可以包含在IMD 70及介電層52中圖案化開口並且利用光微影及蝕刻的組合暴露部分的導電層54,舉例來說。襯裡(未示出),例如擴散阻障層、黏著層、或其類似者以及導電材料被形成在開口中。襯裡可以包含鈦、氮化鈦、鉭、氮化鉭、或其類似者。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、鎳或其類似者。平坦化製程,例如CMP,可以被執行以移除在IMD 70表面的多餘材料。剩餘襯裡導電材料在開口中形成接點110。In Figures 28A, 28B, 28C and 28D, the contacts 110 are formed on the conductive lines 72, 106 and 108. Figure 28A is a schematic diagram of the memory array 200, Figure 28B is a top view of the memory array, and Figure 28C is a cross-sectional view of the device and a separate illustration of the lower substrate along the line in Figure 28A line 28C-28C', and Figure 28D illustrates a cross-sectional view of the component along line BB' in Figure 1A. In some embodiments, the stepped shape of conductive lines 72 may provide a surface for each conductive line 72 upon which conductive contacts 110 may be configured. Forming contacts 110 may include patterning openings in IMD 70 and dielectric layer 52 and exposing portions of conductive layer 54 using a combination of photolithography and etching, for example. A liner (not shown), such as a diffusion barrier layer, an adhesive layer, or the like, and a conductive material are formed in the opening. The liner may contain titanium, titanium nitride, tantalum, tantalum nitride, or the like. The conductive material may be copper, copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel or the like. A planarization process, such as CMP, can be performed to remove excess material on the IMD 70 surface. The remaining lining conductive material forms contacts 110 in the openings.

也同樣在第28A圖的示意圖中被繪示、導電接點112及114也可以各別製作在導電線106以及導電線108上。導電接點110、112及114可以對應地電連接至導電線116A、116B及116C,其連接記憶體陣列至下方/上方電路(例如,控制電路)及/或半導體晶粒中的訊號、電源及接地線。舉例來說,導電通孔118可以延伸穿過IMD 70以電連接導電線116C至下方的內部互連結構220的電路以及位於基材50上方的主動元件,如第28C圖繪示。其他導電通孔可以藉由IMD 70形成以電連接至導電線116A及116B位於下方的內部互連結構220的電路。在可替換的一些實施例中,佈線及/或電源線至並自記憶體陣列除了內部互連結構220之外或用於代替內部互連結構220,可以藉由形成在記憶體陣列220上方的內部互連結構被提供。根據上述內文,記憶體陣列200可以被完成。Also shown in the schematic diagram of FIG. 28A, the conductive contacts 112 and 114 can also be formed on the conductive line 106 and the conductive line 108 respectively. Conductive contacts 110, 112, and 114 may be electrically connected to conductive lines 116A, 116B, and 116C, respectively, which connect the memory array to underlying/overlying circuitry (e.g., control circuitry) and/or signals, power, and Ground wire. For example, conductive vias 118 may extend through IMD 70 to electrically connect conductive lines 116C to underlying circuitry of internal interconnect structure 220 and active components located above substrate 50, as shown in FIG. 28C. Other conductive vias may be formed by IMD 70 to electrically connect circuits to internal interconnect structure 220 underlying conductive lines 116A and 116B. In some alternative embodiments, routing and/or power lines to and from the memory array in addition to or in place of the internal interconnect structures 220 may be provided by forming over the memory array 220 Internal interconnect structures are provided. According to the above content, the memory array 200 can be completed.

雖然上述在第2圖至第28D圖中的一些實施例繪示特定圖案的導電線106及108,其他特徵也是可以被使用的。舉例來說,在一些實施例中,字元線及/或位元線與來源線的佈線可以設置在記憶體陣列200下方而不是在記憶體陣列200的上方。Although some of the embodiments described above in Figures 2-28D illustrate specific patterns of conductive lines 106 and 108, other features may be used. For example, in some embodiments, wiring of word lines and/or bit lines and source lines may be provided below the memory array 200 instead of above the memory array 200 .

舉例來說,第29圖繪示記憶體陣列250的透視圖,其中導電線72(即,字元線)的佈線設置在記憶體陣列250的記憶單元下方。記憶體陣列250可以類似於記憶體陣列200,其中相同的標號代表具有相同形成製程的相同元件。如所繪示的,導電線72藉由接點110A、導電線116D及接點110B連接至下方導電線116C。特別是,導電線72藉由接點110A被電性連接至上方導電線116D。導電線116D提供佈線並且藉由接點110B被連接至下方導電線116C。For example, FIG. 29 illustrates a perspective view of a memory array 250 with routing of conductive lines 72 (ie, word lines) disposed beneath the memory cells of the memory array 250 . Memory array 250 may be similar to memory array 200, where like reference numerals represent like elements having the same formation process. As shown, conductive line 72 is connected to lower conductive line 116C by contact 110A, conductive line 116D, and contact 110B. In particular, conductive line 72 is electrically connected to upper conductive line 116D through contact 110A. Conductive line 116D provides routing and is connected to underlying conductive line 116C by contact 110B.

在另一個實施例中,第30圖繪示記憶體陣列300的透視圖,其中導電線106及108(即,位元線及來源線)的佈線設置在記憶體陣列300的記憶單元下方。記憶體陣列300可以類似於記憶體陣列200,其中相同的標號代表具有相同形成製程的相同元件。如所繪示的,導電線106及108電連接至下方導電線116A及116B。在記憶體陣列300中,導電線72可以設置在記憶單元的上方(例如,如第28A圖至第28D圖中所繪示)或下方(例如,如第29圖所繪示)。In another embodiment, FIG. 30 illustrates a perspective view of a memory array 300 with routing of conductive lines 106 and 108 (ie, bit lines and source lines) disposed beneath the memory cells of the memory array 300 . Memory array 300 may be similar to memory array 200, where like reference numerals represent like elements having the same formation process. As shown, conductive lines 106 and 108 are electrically connected to underlying conductive lines 116A and 116B. In the memory array 300, the conductive lines 72 may be disposed above (eg, as shown in FIGS. 28A-28D) or below (eg, as shown in FIG. 29) the memory cells.

多種實施例提供3D記憶體陣列具有多個垂直堆疊的記憶單元。每個記憶單元包含具有作為閘極電極的字元線區、作為第一源極/汲極電極的位元線區以及作為第二源極/汲極電極的來源線區的TFT。每個TFT進一步包含絕緣記憶體薄膜(例如,閘極介電質)以及OS通道區。在一些實施例中,字元線可以沿水平方向(例如,平行至半導體基材的主要表面)鋪設,同時來源線及位源線可以沿垂直方向(例如,垂直至半導體基材的主要表面)鋪設。3D記憶體陣列可以達到的優點包含但並不僅限於記憶單元的尺寸、提升其密度、減少記憶體陣列的足跡(例如,堆疊高度)以及提升其製造容易度。Various embodiments provide 3D memory arrays having multiple vertically stacked memory cells. Each memory cell includes a TFT having a word line region as a gate electrode, a bit line region as a first source/drain electrode, and a source line region as a second source/drain electrode. Each TFT further includes an insulating memory film (eg, gate dielectric) and an OS channel region. In some embodiments, the word lines may be laid out in a horizontal direction (e.g., parallel to the main surface of the semiconductor substrate), while the source lines and bit source lines may be laid out in a vertical direction (e.g., perpendicular to the main surface of the semiconductor substrate). laying. The advantages that can be achieved by 3D memory arrays include, but are not limited to, the size of the memory cells, increasing their density, reducing the footprint of the memory array (eg, stacking height), and improving the ease of manufacturing.

在一些實施例中,一種元件包含半導體基材;第一字元線位於半導體基材上方,第一字元線為第一電晶體提供第一閘極電極;第二字元線位於第一字元線上方。第二字元線與第一字元線藉由第一介電材料絕緣,第二字元線為位於第一電晶體上方之第二電晶體提供第二閘極電極。元件進一步包含來源線與第一字元線及第二字元線相交;位元線與第一字元線及第二字元線相交,位元線與來源線藉由第二介電材料絕緣;記憶膜位於第一字元線與來源線之間,記憶膜進一步設置在第一字元線與位元線之間;以及第一半導體材料位於記憶膜與來源線之間,第一半導體材料進一步設置在第一字元線與來源線之間。可選地,在一些實施例中,來源線為第一電晶體提供第一源極/汲極區並且為第二電晶體提供第二源極/汲極區,並且其中位元線為第一電晶體提供第三源極/汲極區並且為第二電晶體提供第四源極/汲極區。可選地,在一些實施例中,元件進一步包含第二來源線與第一字元線及第二字元線相交,其中第二來源線為第三電晶體提供第五源極/汲極區並且第二位元線與第一字元線與第二字元線相交,其中第二位元線為第三電晶體提供第六源極/汲極區,並且其中第一字元線為第三電晶體提供第三閘極電極。可選地,在一些實施例中,元件進一步包含介於第一字元線及第二來源線的第二半導體材料,其中第二半導體材料藉由第三介電材料與第一半導體材料絕緣。可選地,在一些實施例中,記憶膜設置在第一字元線及第二記憶體材料之間,並且其中記憶膜自第一半導體材料連續延伸至第二半導體材料。可選地,在一些實施例中,記憶膜為鐵電材料。可選地,在一些實施例中,第一字元線長於第二字元線。In some embodiments, a device includes a semiconductor substrate; a first word line is located above the semiconductor substrate, and the first word line provides a first gate electrode for a first transistor; and a second word line is located above the first word line. Above the dollar line. The second word line and the first word line are insulated by the first dielectric material, and the second word line provides a second gate electrode for the second transistor located above the first transistor. The device further includes a source line intersecting the first word line and a second word line; a bit line intersecting the first word line and the second word line; the bit line and the source line being insulated by a second dielectric material ; The memory film is located between the first word line and the source line, and the memory film is further disposed between the first word line and the bit line; and the first semiconductor material is located between the memory film and the source line, the first semiconductor material It is further arranged between the first character line and the source line. Optionally, in some embodiments, the source line provides a first source/drain region for the first transistor and a second source/drain region for the second transistor, and wherein the bit line is the first The transistor provides a third source/drain region and the second transistor provides a fourth source/drain region. Optionally, in some embodiments, the element further includes a second source line intersecting the first word line and the second word line, wherein the second source line provides a fifth source/drain region for the third transistor. And the second bit line intersects the first word line and the second word line, wherein the second bit line provides a sixth source/drain region for the third transistor, and wherein the first word line is the third transistor. A three-transistor provides a third gate electrode. Optionally, in some embodiments, the device further includes a second semiconductor material between the first word line and the second source line, wherein the second semiconductor material is insulated from the first semiconductor material by a third dielectric material. Optionally, in some embodiments, the memory film is disposed between the first word line and the second memory material, and wherein the memory film continuously extends from the first semiconductor material to the second semiconductor material. Optionally, in some embodiments, the memory film is a ferroelectric material. Optionally, in some embodiments, the first word line is longer than the second word line.

在一些實施例中,一種元件包含半導體基材;第一記憶單元位於半導體基材上方,第一記憶單元包含第一薄膜電晶體,其中第一薄膜電晶體包含:一閘極電極,包含第一字元線的一部分,其中第一字元線沿平行半導體基材的頂表面的方向延伸;鐵電材料的第一部位,其中鐵電材料的第一部位位於第一字元線的側壁上;以及第一通道區位於鐵電材料的側壁上;來源線,其中來源線的一第一部分為第一薄膜電晶體提供第一源極/汲極電極,並且其中來源線沿垂直半導體基材的頂表面的方向延伸;位元線,其中位元線的第一部分為第一薄膜電晶體提供第二源極/汲極電極,並且其中位元線沿垂直半導體基材的頂表面的方向延伸;以及第二記憶單元位於第一記憶單元上方。可選地,在一些實施例中,第二記憶單元包含第二薄膜電晶體,其中來源線的第二部分為第二薄膜電晶體提供第一源極/汲極電極,並且其中位元線的第二部分為第二薄膜電晶體提供第二源極/汲極電極。可選地,在一些實施例中,元件進一步包含位於第一字元線上方的第二字元線,其中第二薄膜電晶體的閘極電極包含第二字元線的一部分,並且其中第一字元線長於第二字元線。可選地,在一些實施例中,第一字元線電性連接至設置於第二記憶單元上的第二字元線。可選地,在一些實施例中,第一字元線電性連接至設置於第一記憶單元下的第二字元線。可選地,在一些實施例中,來源線電性連接至設置於第二記憶單元上的第二來源線。可選地,在一些實施例中,來源線電性連接至設置於第一記憶單元下的第二來源線。In some embodiments, a component includes a semiconductor substrate; a first memory unit is located above the semiconductor substrate, and the first memory unit includes a first thin film transistor, wherein the first thin film transistor includes: a gate electrode including a first a portion of a word line, wherein the first word line extends in a direction parallel to the top surface of the semiconductor substrate; a first portion of ferroelectric material, wherein the first portion of ferroelectric material is located on a sidewall of the first word line; and a first channel region located on the sidewall of the ferroelectric material; a source line, wherein a first portion of the source line provides a first source/drain electrode for the first thin film transistor, and wherein the source line is along the top of the vertical semiconductor substrate extending in the direction of the surface; a bit line, wherein a first portion of the bit line provides a second source/drain electrode for the first thin film transistor, and wherein the bit line extends in a direction perpendicular to the top surface of the semiconductor substrate; and The second memory unit is located above the first memory unit. Optionally, in some embodiments, the second memory cell includes a second thin film transistor, wherein the second portion of the source line provides a first source/drain electrode for the second thin film transistor, and wherein the bit line The second portion provides a second source/drain electrode for the second thin film transistor. Optionally, in some embodiments, the element further includes a second word line located above the first word line, wherein the gate electrode of the second thin film transistor includes a portion of the second word line, and wherein the first The character line is longer than the second character line. Optionally, in some embodiments, the first word line is electrically connected to the second word line provided on the second memory unit. Optionally, in some embodiments, the first word line is electrically connected to the second word line disposed under the first memory unit. Optionally, in some embodiments, the source line is electrically connected to the second source line provided on the second memory unit. Optionally, in some embodiments, the source line is electrically connected to a second source line disposed under the first memory unit.

在一些實施例中,一種方法包含:形成第一導電線以及第二導電線在半導體基材上方,其中第二導電線設置於第一導電線上方並且與第一導電線絕緣,並且其中第二導電線短於第一導電線;圖案化第一溝槽,第一溝槽延伸穿過第一導電線及第二導電線;沉積記憶膜,記憶膜沿第一溝槽的複數個側壁及底表面;沉積氧化半導體層在記憶膜上方,氧化半導體層沿第一溝槽的側壁及底表面延伸;沉積第一介電材料在氧化半導體層上方並接觸氧化半導體層;圖案化第二溝槽及第三溝槽,第二溝槽及第三溝槽各別延伸穿過第一介電材料;以及形成第三導電線在第二溝槽中及形成第四導電線在第三溝槽中。可選地,在一些實施例中,方法進一步包含:在圖案化第二溝槽及第三溝槽之前,圖案化第四溝槽穿過第一介電材料;以及以第二介電材料填充第四溝槽,其中圖案化第二溝槽及第三溝槽包含蝕刻製程,蝕刻製程選擇性將第一介電材料蝕刻至第二介電材料。可選地,在一些實施例中,方法進一步包含形成字元線在第一導電線上方並電性連接第一導電線。可選地,在一些實施例中,第一導電線電性連接至位於第一導電線下的字元線。可選地,在一些實施例中,方法,進一步包含:形成來源線在第三導電線上方並電性連接至第三導電線;以及形成位元線在第四導電線上方並電性連接至第四導電線。可選地,在一些實施例中,第三導電線電性連接至位於第一導電線下的來源線,並且其中第四導電線電性連接至位於第一導電線下的位元線。In some embodiments, a method includes forming a first conductive line and a second conductive line over a semiconductor substrate, wherein the second conductive line is disposed over the first conductive line and insulated from the first conductive line, and wherein the second conductive line The conductive line is shorter than the first conductive line; patterning the first trench extending through the first conductive line and the second conductive line; depositing a memory film along a plurality of sidewalls and bottom of the first trench surface; deposit an oxidized semiconductor layer above the memory film, and the oxidized semiconductor layer extends along the sidewalls and bottom surface of the first trench; deposit a first dielectric material above the oxidized semiconductor layer and contact the oxidized semiconductor layer; pattern the second trench and The third trench, the second trench and the third trench each extend through the first dielectric material; and forming a third conductive line in the second trench and a fourth conductive line in the third trench. Optionally, in some embodiments, the method further includes: before patterning the second trench and the third trench, patterning the fourth trench through the first dielectric material; and filling it with the second dielectric material The fourth trench, wherein patterning the second trench and the third trench includes an etching process, and the etching process selectively etches the first dielectric material to the second dielectric material. Optionally, in some embodiments, the method further includes forming a word line above the first conductive line and electrically connecting the first conductive line. Optionally, in some embodiments, the first conductive line is electrically connected to a word line located under the first conductive line. Optionally, in some embodiments, the method further includes: forming a source line above the third conductive line and electrically connected to the third conductive line; and forming a bit line above the fourth conductive line and electrically connected to The fourth conductive line. Optionally, in some embodiments, the third conductive line is electrically connected to the source line under the first conductive line, and wherein the fourth conductive line is electrically connected to the bit line under the first conductive line.

前文概述了若干實施例之特徵,使得熟習此項技術者可較佳地理解本揭露之態樣。熟習此項技術者應瞭解,他們可容易地使用本揭露作為設計或修改用於實現相同目的及/或達成本文中所介紹之實施例之相同優勢的其他製程及結構的基礎。熟習此項技術者亦應認識到,此些等效構造不脫離本揭露之精神及範疇,且他們可在不脫離本揭露之精神及範疇的情況下於本文作出各種改變、代替及替換。The foregoing summarizes the features of several embodiments so that those skilled in the art can better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent structures do not depart from the spirit and scope of the disclosure, and they can make various changes, substitutions and substitutions herein without departing from the spirit and scope of the disclosure.

50:基材 52,52A,52B,52C:介電層 54,54A,54B,54C,54D:導電層 56:光阻 58:多層堆疊 60,62,64:區域 61:開口 68:階梯結構 70:金屬間介電質 72,106,108:導電線 80:硬遮罩層 82:光阻 84:硬遮罩 86:溝槽 90:記憶膜 92:氧化半導體層 98,98A,98B,102:介電材料 100,104:溝槽 110,112,114:接點 118,120:光阻 122:開口 200,250,300:記憶體陣列 201:閘極介電層 202:記憶單元 203:閘極電極 204:薄膜電晶體 205:箭頭 206:源極/汲極區 208:閘極間隔物 210:第一層間介電質 212:第二層間介電質 214:源極/汲極接點 216:閘極接點 220:內部互連結構 222:導電特徵 224:介電層 B-B’,C-C’,D-D’,28C-28C’:線 50:Substrate 52,52A,52B,52C: dielectric layer 54,54A,54B,54C,54D: conductive layer 56: Photoresist 58: Multi-layer stacking 60,62,64:Area 61:Open your mouth 68:Ladder structure 70:Metallic dielectric 72,106,108: Conductive thread 80: Hard mask layer 82: Photoresist 84:Hard mask 86:Trench 90:Memory film 92: Oxidized semiconductor layer 98,98A,98B,102: Dielectric materials 100,104:Trench 110,112,114:Contact 118,120: Photoresist 122:Open your mouth 200,250,300: memory array 201: Gate dielectric layer 202:Memory unit 203: Gate electrode 204:Thin film transistor 205:Arrow 206: Source/drain area 208: Gate spacer 210: First interlayer dielectric 212: Second interlayer dielectric 214: Source/drain contact 216: Gate contact 220: Internal interconnection structure 222: Conductive characteristics 224:Dielectric layer B-B’,C-C’,D-D’,28C-28C’: line

當結合隨附諸圖閱讀時,得以自以下詳細描述最佳地理解本揭露之態樣。應注意,根據行業上之標準實務,各種特徵未按比例繪製。事實上,為了論述清楚,可任意地增大或減小各種特徵之尺寸。 第1A圖、第1B圖及第1C圖為根據本揭露之一或更多個實施例的記憶體陣列之中間步驟的透視圖、電路圖及俯視圖。 第2圖、第3A圖、第3B圖、第4圖、第5圖、第6圖、第7圖、第8圖、第9圖、第10圖、第11圖、第12A圖、第12B圖、第13圖、第14圖、第15圖、第16圖、第17A圖、第17B圖、第18A圖、第18B圖、第19A圖、第19B圖、第20圖、第21圖、第22圖、第23A圖、第23B圖、第23C圖、第24A圖、第24B圖、第24C圖、第25A圖、第25B圖、第25C圖、第26A圖、第26B圖、第26C圖、第27A圖、第27B圖、第27C圖、第28A圖、第28B圖、第28C圖及第28D圖為根據本揭露之一或更多個實施例的製造半導體陣列的多個視角之示意圖。 第29圖為根據本揭露之一或更多個實施例的記憶體陣列的多個視角的示意圖。 第30圖為根據本揭露之一或更多個實施例的記憶體陣列的多個視角的示意圖。 Aspects of the present disclosure are best understood from the following detailed description when read in conjunction with the accompanying figures. It should be noted that in accordance with standard industry practice, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion. Figures 1A, 1B, and 1C are perspective views, circuit diagrams, and top views of intermediate steps of a memory array according to one or more embodiments of the present disclosure. Figure 2, Figure 3A, Figure 3B, Figure 4, Figure 5, Figure 6, Figure 7, Figure 8, Figure 9, Figure 10, Figure 11, Figure 12A, Figure 12B Figure, Figure 13, Figure 14, Figure 15, Figure 16, Figure 17A, Figure 17B, Figure 18A, Figure 18B, Figure 19A, Figure 19B, Figure 20, Figure 21, Figure 22, Figure 23A, Figure 23B, Figure 23C, Figure 24A, Figure 24B, Figure 24C, Figure 25A, Figure 25B, Figure 25C, Figure 26A, Figure 26B, Figure 26C 27A, 27B, 27C, 28A, 28B, 28C and 28D are multiple views of fabricating a semiconductor array according to one or more embodiments of the present disclosure. Schematic diagram. Figure 29 is a schematic diagram of a memory array from multiple views according to one or more embodiments of the present disclosure. Figure 30 is a schematic diagram of a memory array from multiple views according to one or more embodiments of the present disclosure.

58:多層堆疊 58: Multi-layer stacking

90:記憶膜 90:Memory film

92:氧化半導體層 92: Oxidized semiconductor layer

98,102:介電材料 98,102:Dielectric materials

106,108:導電線 106,108: Conductive thread

200:記憶體陣列 200:Memory array

Claims (10)

一種形成記憶體元件的方法,包含: 形成一第一導電線以及一第二導電線在一半導體基材上方,其中該第二導電線設置於該第一導電線上方並且與該第一導電線絕緣,並且其中該第二導電線短於該第一導電線; 圖案化一第一溝槽,該第一溝槽延伸穿過該第一導電線及該第二導電線; 沉積一記憶膜,該記憶膜沿該第一溝槽的複數個側壁及一底表面; 沉積一氧化半導體層在該記憶膜上方,該氧化半導體層沿該第一溝槽的該些側壁及該底表面延伸; 沉積一第一介電材料在該氧化半導體層上方並接觸該氧化半導體層; 圖案化一第二溝槽及一第三溝槽,該第二溝槽及該第三溝槽各別延伸穿過該第一介電材料;以及 形成一第三導電線在該第二溝槽中及形成該第四導電線在該第三溝槽中。 A method of forming a memory element comprising: Forming a first conductive line and a second conductive line above a semiconductor substrate, wherein the second conductive line is disposed above the first conductive line and insulated from the first conductive line, and wherein the second conductive line is short on the first conductive line; Patterning a first trench extending through the first conductive line and the second conductive line; Depositing a memory film along a plurality of sidewalls and a bottom surface of the first trench; Depositing an oxide semiconductor layer above the memory film, the oxide semiconductor layer extending along the sidewalls and the bottom surface of the first trench; depositing a first dielectric material over and contacting the oxidized semiconductor layer; Patterning a second trench and a third trench, the second trench and the third trench respectively extending through the first dielectric material; and A third conductive line is formed in the second trench and a fourth conductive line is formed in the third trench. 如請求項1所述之形成記憶體元件的方法,進一步包含: 在圖案化該第二溝槽及該第三溝槽之前,圖案化一第四溝槽穿過該第一介電材料;以及 以一第二介電材料填充該第四溝槽,其中圖案化該第二溝槽及該第三溝槽包含一蝕刻製程,該蝕刻製程選擇性將該第一介電材料蝕刻至該第二介電材料。 The method of forming a memory device as described in claim 1 further includes: prior to patterning the second trench and the third trench, patterning a fourth trench through the first dielectric material; and Filling the fourth trench with a second dielectric material, wherein patterning the second trench and the third trench includes an etching process that selectively etches the first dielectric material to the second trench. dielectric materials. 如請求項1所述之形成記憶體元件的方法,進一步包含形成一字元線在該第一導電線上方並電性連接該第一導電線。The method of forming a memory device as claimed in claim 1 further includes forming a word line above the first conductive line and electrically connecting the first conductive line. 如請求項1所述之形成記憶體元件的方法,其中該第一導電線電性連接至位於該第一導電線下的一字元線。The method of forming a memory device as claimed in claim 1, wherein the first conductive line is electrically connected to a word line located under the first conductive line. 如請求項1所述之形成記憶體元件的方法,進一步包含: 形成一來源線在該第三導電線上方並電性連接至該第三導電線;以及 形成一位元線在該第四導電線上方並電性連接至該第四導電線。 The method of forming a memory device as described in claim 1 further includes: Forming a source line above the third conductive line and electrically connected to the third conductive line; and A bit line is formed above the fourth conductive line and electrically connected to the fourth conductive line. 如請求項1所述之形成記憶體元件的方法,其中該第三導電線電性連接至位於該第一導電線下的一來源線。The method of forming a memory device as claimed in claim 1, wherein the third conductive line is electrically connected to a source line located under the first conductive line. 如請求項1所述之形成記憶體元件的方法,其中該第四導電線電性連接至位於該第一導電線下的一位元線。The method of forming a memory device as claimed in claim 1, wherein the fourth conductive line is electrically connected to a bit line located under the first conductive line. 如請求項1所述之形成記憶體元件的方法,其中形成該第一導電線與該第二導電線在該半導體基材上方包含形成該第一導電線與該第二導電線在該半導體基材的複數個主動元件上方。The method of forming a memory element according to claim 1, wherein forming the first conductive line and the second conductive line over the semiconductor substrate includes forming the first conductive line and the second conductive line over the semiconductor substrate. Above several active components of the material. 如請求項1所述之形成記憶體元件的方法,其中沉積該第一介電材料在該氧化半導體層上方並接觸該氧化半導體層包含: 沉積該第一介電材料的一第一部分在該第一溝槽中且在該氧化半導體層的側壁上; 使用該第一介電材料的該第一部分當作一遮罩,延伸該第一溝槽至穿過該氧化半導體層;以及 沉積該第一介電材料的一第二部分至該第一介電材料的該第一部分上。 The method of forming a memory element as claimed in claim 1, wherein depositing the first dielectric material over the oxide semiconductor layer and contacting the oxide semiconductor layer includes: depositing a first portion of the first dielectric material in the first trench and on the sidewalls of the oxidized semiconductor layer; Extend the first trench through the oxide semiconductor layer using the first portion of the first dielectric material as a mask; and Depositing a second portion of the first dielectric material onto the first portion of the first dielectric material. 如請求項1所述之形成記憶體元件的方法,其中該記憶膜為鐵電材料。The method of forming a memory element as claimed in claim 1, wherein the memory film is a ferroelectric material.
TW112126261A 2021-02-12 2021-08-23 Methods of forming memory device TW202343763A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163148639P 2021-02-12 2021-02-12
US63/148,639 2021-02-12
US17/186,852 2021-02-26
US17/186,852 US20220262809A1 (en) 2021-02-12 2021-02-26 Memory array and methods of forming same

Publications (1)

Publication Number Publication Date
TW202343763A true TW202343763A (en) 2023-11-01

Family

ID=81991788

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110131165A TWI814065B (en) 2021-02-12 2021-08-23 Memory device
TW112126261A TW202343763A (en) 2021-02-12 2021-08-23 Methods of forming memory device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW110131165A TWI814065B (en) 2021-02-12 2021-08-23 Memory device

Country Status (5)

Country Link
US (3) US20220262809A1 (en)
KR (1) KR102611769B1 (en)
CN (1) CN114649348A (en)
DE (1) DE102021105045A1 (en)
TW (2) TWI814065B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11910617B2 (en) 2020-05-28 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
US11282572B2 (en) * 2020-06-15 2022-03-22 Taiwan Semiconductor Manufacturing Company Limited Multinary bit cells for memory devices and network applications and method of manufacturing the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2988331B1 (en) 2000-08-14 2019-01-09 SanDisk Technologies LLC Semiconductor memory device
US20100140679A1 (en) 2008-12-05 2010-06-10 Walker Andrew J Stacked dual-gate nmos devices with antimony source-drain regions and methods for manufacturing thereof
US9449924B2 (en) 2013-12-20 2016-09-20 Sandisk Technologies Llc Multilevel contact to a 3D memory array and method of making thereof
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US10431596B2 (en) 2017-08-28 2019-10-01 Sunrise Memory Corporation Staggered word line architecture for reduced disturb in 3-dimensional NOR memory arrays
US10777566B2 (en) 2017-11-10 2020-09-15 Macronix International Co., Ltd. 3D array arranged for memory and in-memory sum-of-products operations
US11380709B2 (en) * 2018-09-04 2022-07-05 Sandisk Technologies Llc Three dimensional ferroelectric memory
KR102638794B1 (en) 2018-10-11 2024-02-20 에스케이하이닉스 주식회사 semiconductor device having ferroelectric material and method of fabricating the same
US11282855B2 (en) * 2018-12-07 2022-03-22 Sunrise Memory Corporation Methods for forming multi-layer vertical NOR-type memory string arrays
US11094711B2 (en) * 2019-10-21 2021-08-17 Macronix International Co., Ltd. Memory device

Also Published As

Publication number Publication date
CN114649348A (en) 2022-06-21
US20230389329A1 (en) 2023-11-30
US20220262809A1 (en) 2022-08-18
DE102021105045A1 (en) 2022-08-18
TWI814065B (en) 2023-09-01
KR102611769B1 (en) 2023-12-07
US11856785B2 (en) 2023-12-26
US20220384461A1 (en) 2022-12-01
KR20220115843A (en) 2022-08-19
TW202247429A (en) 2022-12-01

Similar Documents

Publication Publication Date Title
TWI821684B (en) 3d memory array device and method of manufacturing the same
US20220366952A1 (en) Memory Array Staircase Structure
TWI797568B (en) Memory cell, semiconductor device and method of fabricating the same
TWI773164B (en) Memory cell, semiconductor device and method of manufacturing semiconductor device
US20210375936A1 (en) Memory array channel regions
US11856785B2 (en) Memory array and methods of forming same
US20230309315A1 (en) Three-Dimensional Memory Device and Method
US20210407569A1 (en) Memory Array Including Dummy Regions
US20210398568A1 (en) Memory Array Word Line Routing
TWI807270B (en) Memory cell, semiconductor device, and method of forming semiconductor device
US20230063038A1 (en) Memory Device and Method of Forming Thereof
TW202310343A (en) Memory array
TW202303949A (en) Memory device and method of forming the same