TWI508134B - 顯影設備以及形成光阻圖案之方法 - Google Patents

顯影設備以及形成光阻圖案之方法 Download PDF

Info

Publication number
TWI508134B
TWI508134B TW102121296A TW102121296A TWI508134B TW I508134 B TWI508134 B TW I508134B TW 102121296 A TW102121296 A TW 102121296A TW 102121296 A TW102121296 A TW 102121296A TW I508134 B TWI508134 B TW I508134B
Authority
TW
Taiwan
Prior art keywords
developer
polarity
photoresist film
nozzle
pump
Prior art date
Application number
TW102121296A
Other languages
English (en)
Other versions
TW201403665A (zh
Inventor
Ching Yu Chang
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201403665A publication Critical patent/TW201403665A/zh
Application granted granted Critical
Publication of TWI508134B publication Critical patent/TWI508134B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3021Imagewise removal using liquid means from a wafer supported on a rotating chuck
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Description

顯影設備以及形成光阻圖案之方法
本發明主要關於一種半導體設備,尤指一種顯影設備。
半導體積體電路(IC)工業已經歷了指數性的成長。IC設計以及材料上的技術已經歷了數個IC世代的發展,且每一世代均比前代具有更小以及更複雜的電路。IC發展的課題為在功能密度(functional density,例如,每一晶片面積的內連裝置(interconnected device)的數目)增加的情況下同時縮小幾何尺寸(例如,可以被一個製造程序所製造的最小元件(或是導線))。這種尺寸縮小的過程一般提供了增加製造效率、降低相關成本等益處,但尺寸縮小亦會增加IC製程以及生產的複查性。因此,為了實現前述之益處,需進行IC製程以及生產之相關發展。
例如,於IC晶圓製作以及光罩製作之期間,IC微影製程總是伴隨著曝光(exposing)以及顯影(developing)製程以將微小特徵(feature)圖案化。挑戰之一在於,於微影製程中,由曝光製程所形成之潛在的(latent)圖案光阻輪廓(profile)在光阻輪廓之不同的部份具有不同的極性(polarity),其於曝光製程期間,在光阻薄膜之頂部和底部之光散射(scattering) 和反射(reflecting)造成了這些極性差異(polarity difference)。而於顯影製程中所使用之有機溶劑顯影劑(organic solvent developer)對於不同極性的不同部份具有不同的溶解度(solubility),同常導致了具有頂部浮渣(top scum或是T-top)以及底部浮渣(bottom scum或是footing)之光阻圖案輪廓。
因此,需要發展一種顯影設備以及一種形成光阻圖案之方法,以解決前述之缺失。
本發明描述了一種顯影設備。於一實施例中,該顯影設備包括一夾具,用以固定沈積有該光阻薄膜之該基板;一第一儲存槽,用以儲存一具有一第一極性之第一顯影劑;一第二儲存槽,用以儲存一具有一第二極性之第二顯影劑,其中該第二極性不同於該第一極性;一第一泵,耦接於該第一儲存槽;一第二泵,耦接於該第二儲存槽;以及一噴嘴,耦接於該第一泵以及該第二泵,其中該第一泵將該第一顯影劑由該第一儲存槽運送至該噴嘴,且該第二泵將該第二顯影劑由該第二儲存槽運送至該噴嘴,且其中該噴嘴,用以散佈該第一顯影劑以及該第二顯影劑至沈積於該基板之該光阻薄膜。該顯影設備亦包括一管道,分別連接該第一以及第二儲存槽至該第一以及第二泵,且進一步連接該第一以及第二泵至該噴嘴。該顯影設備更包括一第三儲存槽,用以儲存一具有一第三極性之第三顯影劑,其中該第三極性不同於該第一極性以及該第二極性,以及一第三泵,耦接於該第三儲存槽;其中該噴嘴進一耦接該第三 泵,該第三泵將該第三顯影劑由該第三儲存槽傳送至該噴嘴,且該噴嘴用以散佈該第三顯影劑至沈積於該基板之該光阻薄膜。該顯影設備包括一第一噴嘴以及一第二噴嘴,該第一噴嘴耦接於該第一泵以及該第二泵,且該第一噴嘴用以散佈該第一顯影劑以及該第二顯影劑至該光阻薄膜,以及該第二噴嘴耦接於該第三泵,且該第二噴嘴用以散佈該第三顯影劑至該光阻薄膜。該第一泵用以控制該第一顯影劑運送至該噴嘴的流速,且該第二泵用以控制該第二顯影劑運送至該噴嘴的流速。噴嘴用以散佈該第一顯影劑以及該第二顯影劑之一混合物至沈積於該基板之該光阻薄膜。
本發明亦描述了一種顯影設備之應用。於一實施例中,一種形成光阻圖案之方法包括沈積一光阻薄膜至一基板;對沈積於該基板之該光阻薄膜進行曝光;塗布一具有一第一極性之第一顯影劑至已曝光之該光阻薄膜;塗布一具有一第二極性之第二顯影劑至已曝光之該光阻薄膜。該第二極性不同於該第一極性,且該第二極性小於該第一極性。前述之方法包括塗布該具有第一極性之該第一顯影劑用以移除頂部浮渣(頂部光阻浮渣),以及塗布該具有該第二極性之第二顯影劑以對已曝光之該光阻薄膜的一中央部以進行顯影。該方法亦包括同時塗布該第一顯影劑以及該第二顯影劑於已曝光之該光阻薄膜。該方法更包括塗布一具有一第三極性之第三顯影劑至已曝光之該光阻薄膜。該第三極性不同於該第一極性以及該第二極性,且該第三極性大於該第一極性。該方法亦包括同時塗布該第一顯影劑以及該第二顯影劑;以及前述步驟之後,塗布該第 三顯影劑。
於另一實施例中,一種形成光阻圖案之方法包括沈積一光阻薄膜於一基板;對沈積於該基板之該光阻薄膜進行曝光;散佈一具有一第一極性之第一顯影劑至已曝光之該光阻薄膜;散佈一具有一第二極性之第二顯影劑至已曝光之該光阻薄膜,其中該第二極性不同於該第一極性;散佈一具有一第三極性之第三顯影劑至已曝光之該光阻薄膜,且該第三極性不同於該第一極性以及該第二極性。該方法包括同時散佈該第一有機溶劑顯影劑以及該第二有機溶劑顯影劑至已曝光之該光阻薄膜。該方法亦包括使用一顯影設備之一第一噴嘴散佈該第一有機溶劑顯影劑以及該第二有機溶劑顯影劑,以及使用該顯影設備之一第二噴嘴散佈該第三有機溶劑顯影劑至已曝光之該光阻薄膜,用以移除該光阻薄膜之底部浮渣(底部光阻浮渣)。該方法更包括於散佈該第一有機溶劑顯影劑之前施行一曝光後烘烤製程(PEB),且散佈該第一有機溶劑顯影劑、該第二有機溶劑顯影、以及該第三有機溶劑顯影後,實施一顯影後烘烤(PDB)製程。
100‧‧‧形成光阻圖案之方法
102-108‧‧‧步驟
200‧‧‧裝置
202‧‧‧基板
204‧‧‧光阻薄膜
204b‧‧‧光阻圖案
205‧‧‧光阻輪廓
205a‧‧‧中央部
205b‧‧‧頂浮渣部(頂部)
205c‧‧‧底浮渣部(底部)
206‧‧‧光線
207‧‧‧光阻輪廓
208‧‧‧光罩
210‧‧‧顯影劑
210a、210b、210c‧‧‧顯影劑(有機溶劑顯影劑)
300、400‧‧‧顯影設備
302a、302b、302c‧‧‧儲存槽
304a、304b、304c‧‧‧泵
306‧‧‧管道
308a、308b‧‧‧噴嘴
310‧‧‧夾具
本發明可經由下列之實施方式以及配合對應的圖式被良好的瞭解。需強調的是,相對於業界中的標準實施,很多的特徵並未依據尺寸繪製,而只用於說明的目的。事實上,多種特徵的尺寸為了清楚說明之目的,而被增加或是減少。
第1圖為用來實施本發明之一或多個實施例之形成光阻圖案之方法之流程圖。
第2至6圖為根據本發明之一或多個實施例之形成一光阻圖案之剖視圖。
第7圖和第8圖呈現了根據本發明之一或多個實施例,於一顯影製程後之一光阻輪廓之剖視圖。
第9圖以及第10圖為用來實施本發明之一或多個實施例之顯影設備之示意圖。
以下之說明提供了許多不同的實施例、或是例子,用來實施本發明之之不同特徵。以下特定例子所描述的元件和排列方式,僅用來精簡的表達本發明,其僅作為例子,而並非用以限制本發明。例如,第一特徵在一第二特徵上或上方的結構之描述包括了第一和第二特徵之間直接接觸,或是以另一特徵設置於第一和第二特徵之間,以致於第一和第二特徵並不是直接接觸。此外,本說明書於不同的例子中沿用了相同的元件標號及/或文字。前述之沿用僅為了簡化以及明確,並不表示於不同的實施例以及設定之間並定有關聯。
第1圖為用來實施本發明之一或多個實施例之於一晶圓基板(substrate)上一形成光阻圖案之方法100的流程圖。首先,進行方法100之步驟102,提供或是接收一基板。之後,進行方法100之步驟104,例如,經由一旋轉塗布(spin-on coating)製程沈積(depositing)一光阻(photo resist或是resist)薄膜於基板。步驟104可包括於塗布(apply)光阻薄膜於基板之前,實施一脫水(dehydration)製程,其可加強光阻薄膜對於基板之吸附性(adhesion)。脫水製程可包括一段時間內以一 高溫烘烤基板,或是塗布一如六甲基二矽氮烷(hexamethyldisilizane,HMDS)等化學品(chemical)於基板。步驟104亦可包括一軟烤(soft bake,SB)製程,其可增加光阻薄膜之機械強度(mechanical strength)。於步驟104之後,方法100進行到步驟106,經由曝光工具對沈積於基板上光阻薄膜進行曝光,以於光阻薄膜上形成一潛在影像圖案。曝光工具可包括一光學曝光工具,例如I-line(365nm)、深紫外光(DUV)、極紫外光(EUV)、或是X光(X-ray)曝光工具,或是一帶電粒子(charged particle)工具,例如一電子光束編寫器(electron beam writer)。方法100進行到步驟108,對晶圓基板上已曝光之光阻薄膜進行顯影,以形成一光阻圖案。步驟108可包括一曝光後烘烤(PEB),一顯影後烘烤(PDB)、或是其兩者。方法100之其他實施例中,可於方法100之前、之後以及其間增加額外的步驟,且於前述的一些步驟可被置換、刪除或是移動。
第2圖至第6圖為根據本發明之一或多個實施例,經由方法100形成一裝置200之光阻圖案之剖視圖。裝置200之光阻圖案包括一基板202以及一光阻薄膜204。基板202可包括一晶圓以及多層導電和非導電薄膜。晶圓為一半導體基板包括矽(換句話說,為一矽晶圓)。晶圓可選擇性的進一步包括或是可為另一種元素半導體,例如鍺、一種混合半導體、或是合金半導體。混合半導體可包括矽碳化物(silicon carbide)、砷化鎵(gallium arsenic)、砷化磷(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)、及/或銻化銦(indium antimonide)。合金半導體包括SiGe、GaAsP、 AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP。於另一種選擇中,晶圓為一絕緣體上之半導體(semiconductor on insulator,SOI)。多種導電和非導電薄膜可包括一絕緣或一導電材料。例如,導電材料包括一金屬,例如鋁(Al)、銅(Cu)、鎢(W)、鎳(Ni)、鈦(Ti)、金(Au)以及鉑(Pt)、以及前述金屬之合金。絕緣材料可包括氧化矽以及氮化矽。
如第2圖所示,於接收基板202之後,光阻薄膜204沈積於基板202上。光阻薄膜204可包括一正調型(positive tone)光阻或是一負調型(negative tone)光阻。光阻薄膜204可包括一單一光阻薄膜或是多層光阻薄膜。於一實施例中,多層光阻薄膜包括一光阻薄膜上之面塗層(topcoat layer)。面塗層可具有浸入水阻(immersion water resistance)或是極紫外光排氣抑制(outgas reduction)的功能。然後,如第3圖所示,沈積於基板202上之光阻薄膜204經由一光學曝光工具曝光。經由光學曝光工具所產生之光線206投射於一光罩208。光線206包括I-line光、DUV光、EUV光或是X-ray光。光罩208阻擋部份光線206以傳送一IC設計布局之一圖案至光阻薄膜204。光罩(photo mask或是reticle)208包括一二元(binary)光罩或是一相移光罩(phase shift mask,PSM)。相移光罩可為一間隔相移光罩(alternative phase shift mask,alt.PSM)或是一衰減式相移光罩(attenuated phase shift mask,att.PSM)。於本實施例中,部份光線206被光罩阻擋,以及部份光線206穿過光罩208並投射於光阻薄膜204,以使光阻薄膜204中之光敏化學材料(photo sensitive chemical)反應以形成一潛在影像(latent image)。舉例而言,敏化學材料可為一於一DUV光阻中的光酸發生劑(photo acid generator,PAG)。光酸發生劑於光線206之照射下釋放酸,以形成潛在影像。於光阻內之光酸發生劑於光線206之照射下釋放酸,且酸於一曝光區域引發一化學放大反應(chemical amplify reaction,CAR),例如,於一PEB製程的期間中。由於化學放大反應於曝光區域中的光阻極性由斥水性(hydrophobic polarity)改變為親水性(hydrophilic polarity)。
如第4圖所示,一顯影劑210塗布於沈積於基板202上之已曝光之光阻薄膜204,以顯影一光阻圖案。前述之實施例中,最後光阻圖案會依據顯影劑之調性。例如,顯影劑210為一正調型顯影劑(PTD),如四甲基氢氧化铵(tetramethylammonium hydroxide,TMAH)塗布於已曝光之光阻薄膜204,於顯影製程期間,光阻薄膜204已曝光(親水性)的部份經由正調型顯影劑溶解,而光阻薄膜204未曝光(斥水性)的部份保留,以形成如第5圖所示之圖案化光阻薄膜(最後光阻圖案)204a。於另一例子中,如果顯影劑210為一負調型顯影劑(NTD),例如一斥水性有機溶劑塗布於已曝光之光阻薄膜204,光阻薄膜204之未曝光(斥水性)的部份經由負調型顯影劑溶解,且於顯影製程後,光阻薄膜204已曝光(親水性)的部份保留,以形成如第6圖所示之圖案化光阻薄膜(最後光阻圖案)204b。
根據本發明之一或多個實施例,第7圖繪製了當光阻薄膜204經由一負調型顯影劑(NTD)顯影後之一典型的光阻輪廓205。於本實施例中,一負調型顯影劑可為一有機溶劑顯 影劑。光阻輪廓205包括一直的中央部205a、一頂浮渣部(T-top)205b、以及一基板202上之底浮渣部(footing)205c。頂浮渣部205b以及底浮渣部205c於曝光製程期間經由光阻薄膜204之非均勻曝光所導致,例如第1圖所示之方法100之步驟106之曝光製程中,以及第3圖。例如,於曝光製程中,由於光線於光阻薄膜204之表面散射,光阻薄膜204之一頂部區域(於一非曝光區域內)可能被散射光線4部份曝光。因此,於顯影製程中,部份已曝光的頂部區域(於一非曝光區域內)不能被負調型顯影劑(NTD)所移除,且頂浮渣部205b形成於中直部205a之頂部。於另一個例子,於曝光製程中由於光線可於基板202和光阻薄膜204之介面反射和散射,光阻薄膜204之一底部區域(於一非曝光區域內)可能被散射光線或反射光線部份的曝光。因此,於顯影製程中,部份之已曝光底部區域不能被溶解,進而形成了底浮渣部205c。因為在光阻薄膜204之頂部或是底部之光線的散射或是反射,光阻薄膜204在不同的部份接收不同的能量劑量(energy doses),因此光阻薄膜204形成一在光阻薄膜204之頂部、中央、和底部具有不同極性之光阻潛在的輪廓。對於相同的負調型顯影劑(NTD),不同的極性可具有不同的溶解度,造成如第7圖所示,光阻輪廓205具有頂浮渣部(頂部)205b以及底浮渣部(底部)205。
如第8圖所示,根據本發明之一或多個實施例,一改進的光阻輪廓207於顯影製程中經由多(multiple)負調型顯影劑所形成,其中頂浮渣部205b以及底浮渣部205c已減少。經由使用多負調型顯影劑(NTD)可於基板202上形成光阻輪廓207。 於顯影製程中,一第一負調型顯影劑(NTD)塗布於沈積於基板202上之已曝光之光阻薄膜204。第一負調型顯影劑(NTD)以已曝光之光阻薄膜204之中央部為目標。然後,一第二負調型顯影劑(NTD),比第一負調型顯影劑(NTD)具有更多極(polar)以及更強溶解度,以部份已曝光之頂部(頂浮渣部)以及底部(底浮渣部)為目標塗布。之後,一第三負調型顯影劑,具有不同的極性(例如,不同於第一以及第二負調型顯影劑之極性),以部份已曝光之底部(底浮渣部)為目標塗布,或是如同一清洗劑(rinse)般用來減少缺陷(defect)。多負調型顯影劑之極性可以為多種具有不同極性之有機溶劑,以控制光阻輪廓207。例如,假使具有更多極(polar)之有機溶劑使用於第三負調型顯影劑(NTD),可圓滑(rounding)光阻輪廓205b之頂浮渣部或是頂部之浮渣。
第9圖為根據本發明之一或多個實施例之顯影設備300之示意圖,其中顯影設備300用來去除多有機溶劑顯影劑以於一基板202上形成一光阻圖案。顯影設備300包括多個儲存槽(tanks)302a、302b、302c、多個泵(pump)304a、304b、304c、一管道(tubing)306、一噴嘴(nozzle)308a、以及一夾具(chuck)310。然而,顯影設備300可為其他的設定以及包括其他的元件,亦或是刪除部分上述的元件。於本實施例中,一泵可為一閥(valve)。每一儲存槽302a、302b、302c儲存一具有不同極性的有機溶劑顯影劑。例如,儲存槽302a儲存一有機溶劑顯影劑210a,儲存槽302b儲存一有機溶劑顯影劑210b、以及儲存槽302c儲存一有機溶劑顯影劑210c。於一例子 中,有機溶劑顯影劑210a之極性少於(less than)有機溶劑顯影劑210b、210c,且有機溶劑顯影劑210b之極性少於有機溶劑顯影劑210c。儲存槽302a至302c透過管道306分別連接於泵304a至304c。儲存槽302a連接於泵304a、儲存槽302b連接於泵304b、且儲存槽302c連接於泵304c。於前述實施例中,所有之泵304a、304b、304c透過管道306連接於噴嘴308a。管道306包括幫助有機溶劑顯影劑210a、210b、210c由儲存槽302a、302b、302c傳送至噴嘴308a之任何元件或是元件之結合。噴嘴308a位於夾具310上方。噴嘴308a噴灑(spray)有機溶劑顯影劑210a、有機溶劑顯影劑210b、有機溶劑顯影劑210c、或是混合有機溶劑顯影劑210a、有機溶劑顯影劑210b、及/或有機溶劑顯影劑210c於沈積於基板202上之已曝光之光阻薄膜204,前述之基板202被夾具310固定。夾具310於顯影製程中用以固定具有沉積光阻薄膜204之基板202。如第9圖所示,個別的有機溶劑顯影劑210a、210b、210c具有不同的極性可於一顯影製程中分別地運送(deliver)至沉積於基板202上之光阻薄膜204,或是有機溶劑顯影劑210a、210b、210c之混合物運送於沉積於基板202之光阻薄膜204。泵304a、304b、304c控制一顯影製程之顯影製程程序(recipe),例如每一有機溶劑顯影劑210a、210b、210c經由管道30運送至噴嘴308a的流動(flow)以及量(amount)。需注意的是,於前述實施例中,顯影設備300設定了噴嘴308a可散佈三種不同的有機溶劑顯影劑。顯影設備300亦可設定為噴嘴308a可散佈兩種不同的有機溶劑顯影劑,或是超過三種不同的有機溶劑顯影劑,其中以一泵配合每一有機溶劑顯影劑以經 由噴嘴308a來散佈。更者,儲存槽可儲存非有機溶劑顯影劑之顯影劑。
於另一例子中,於光阻薄膜204沈積於基板202上,且光阻薄膜204已被曝光後,已曝光之光阻薄膜204傳送於顯影設備300之夾具310來進行顯影製程。首先,第一有機溶劑顯影劑210a,例如甲基戊基酮(methyl a-amyl ketone,MAK),透過運轉泵304a由儲存槽302a運送至噴嘴308a,且有機溶劑顯影劑210a經由噴嘴308a噴灑於已曝光之光阻薄膜204,以已曝光之光阻薄膜204之頂部為目標進行顯影。然後,泵304a被關閉,且第二有機溶劑顯影劑210b,例如正乙酸丁酯(n-butyl acetate,NBA),透過運轉泵304b由儲存槽302b運送至噴嘴308a,且有機溶劑顯影劑210b經由噴嘴308a噴灑於已曝光之光阻薄膜204,以已曝光之光阻薄膜204之中央部為目標進行顯影。於本實施例中,有機溶劑顯影劑210a之極性大於有機溶劑顯影劑210b。於另一例子中,假使泵304a以及泵304b同時運轉,有機溶劑顯影劑210a和有機溶劑顯影劑210b之混合物(例如,MAK和NBA的混合物)可經由管道306運送至噴嘴308a,且有機溶劑顯影劑210a、210b之混合物噴灑於已曝光之光阻薄膜204以對已曝光之光阻薄膜204進行顯影。混合物之比例(例如50% NBA以及50% MAK)可經由控制泵304a對於泵304b的流量比(flow rate ratio)來進行控制。最後,泵304a以及泵304b均關閉,且第三有機溶劑顯影劑210c,例如酒精(alcohol)、甲基异丁基甲醇(tmethyl isobutyl carbinol,MIBC)或是界面活性劑(surfactant)混合物,由儲存槽302c經由管道306運送至噴嘴 308a,且第三有機溶劑顯影劑210c噴灑至已曝光之光阻薄膜204以進一步對已曝光之光阻薄膜204進行顯影。第三有機溶劑顯影劑210c可為有機(organic)或是水性(water base)顯影劑,可作為一最後清洗(final rinse),以減少顯影殘渣缺陷。如第8圖所示,最後光阻輪廓207形成於基板202上。
第10圖為根據本發明之一或多個實施例之顯影設備400之示意圖,其中顯影設備400用來去除多有機溶劑顯影劑以於一基板202上形成一光阻圖案。顯影設備400包括儲存槽302a、302b、302c、泵304a、304b、304c、管道306、一噴嘴308b、308c、以及一夾具310。然而,顯影設備400可為其他的設定以及包括其他的元件,亦或是刪除部分的元件。每一儲存槽302a、302b、302c儲存一具有不同極性的有機溶劑顯影劑。例如,儲存槽302a儲存一有機溶劑顯影劑210a,儲存槽302b儲存一有機溶劑顯影劑210b、以及儲存槽302c儲存一有機溶劑顯影劑210c。儲存槽302a至302c透過管道306分別連接於泵304a至304c。儲存槽302a連接於泵304a、儲存槽302b連接於泵304b、且儲存槽302c連接於泵304c。泵304a、304b透過管道306連接於噴嘴308b。泵304c透過管道306連接於噴嘴308c。管道306包括幫助有機溶劑顯影劑210a、210b、210c由儲存槽302a、302b傳送至噴嘴308b以及由儲存槽302c傳送至噴嘴308c之任何元件或是元件之結合。噴嘴308b和噴嘴308c均位於夾具310上方。噴嘴308b噴灑有機溶劑顯影劑210a、有機溶劑顯影劑210b、或是混合有機溶劑顯影劑210a及/或有機溶劑顯影劑210b於沈積於基板202上之已曝光之光阻薄膜204,前述之基板 202被夾具310固定。噴嘴308c噴灑有機溶劑顯影劑210c於沈積於基板202上之已曝光之光阻薄膜204,前述之基板202被夾具310固定。夾具310於顯影製程中用以固定具有沉積光阻薄膜204之基板202。如第10圖所示,個別的有機溶劑顯影劑210a、210b、210c具有不同的極性可於一顯影製程中分別地運送(deliver)至沉積於基板202上之光阻薄膜204,or有機溶劑顯影劑210a、210b、及/或210c之混合物經由製程程序的控制運送至光阻薄膜204。需注意的是,於前述實施例中,顯影設備400設定了噴嘴308b可散佈兩種不同的有機溶劑顯影劑,且噴嘴308c可散佈一種有機溶劑顯影劑。顯影設備400亦可設定為噴嘴308c可散佈超過一種有機溶劑顯影劑顯影設備400,其中以一泵配合每一有機溶劑顯影劑以經由噴嘴308c來散佈。更者,儲存槽可儲存非有機溶劑顯影劑之顯影劑。
於一例子中,於光阻薄膜204沈積於基板202上,且光阻薄膜204被曝光後,已曝光之光阻薄膜204傳送於顯影設備300之夾具310來進行顯影製程。有機溶劑顯影劑210a,例如正乙酸丁酯(n-butyl acetate,NBA),透過運轉泵304a由儲存槽302a運送至噴嘴308b,且之後有機溶劑顯影劑210a經由噴嘴308a噴灑於已曝光之光阻薄膜204,以針對已曝光之光阻薄膜204之中央部為目標進行顯影。然後,泵304a被關閉,且第二有機溶劑顯影劑210b,例如甲基戊基酮(methyl a-amyl ketone,MAK),透過運轉泵304b由儲存槽302b運送至噴嘴308b,且之後有機溶劑顯影劑210b經由噴嘴308b噴灑於已曝光之光阻薄膜204,以針對已曝光之光阻薄膜204之頂部(頂浮渣部)以及底 部(底浮渣部)為目標進行顯影。於另一例子中,假使泵304a以及泵304b同時運轉,有機溶劑顯影劑210a和有機溶劑顯影劑210b之混合物(例如,NBA和MAK的混合物)可經由管道306運送至噴嘴308b,且有機溶劑顯影劑210a、210b之混合物噴灑於已曝光之光阻薄膜204以對已曝光之光阻薄膜204之頂部以及頂部進行顯影。混合物之比例(例如,50% NBA以及50% MAK)可經由控制泵304a對於泵304b的流量比(flow rate ratio)來進行控制。最後,泵304a以及泵304b均關閉,且第三有機溶劑顯影劑210c,例如酒精(alcohol),由儲存槽302c經由管道306運送至噴嘴308c,且第三有機溶劑顯影劑210c噴灑至已曝光之光阻薄膜204以對已曝光之光阻薄膜204之底部進行顯影。第三有機溶劑顯影劑210c可作為一最後清洗,以減少顯影殘渣缺陷。最後,如第8圖所示,光阻輪廓207形成於基板202上。
因此,本發明描述了一種顯影設備。於一實施例中,該顯影設備包括一夾具,用以固定沈積有該光阻薄膜之該基板;一第一儲存槽,用以儲存一具有一第一極性之第一顯影劑;一第二儲存槽,用以儲存一具有一第二極性之第二顯影劑,其中該第二極性不同於該第一極性;一第一泵,耦接於該第一儲存槽;一第二泵,耦接於該第二儲存槽;以及一噴嘴,耦接於該第一泵以及該第二泵,其中該第一泵將該第一顯影劑由該第一儲存槽運送至該噴嘴,且該第二泵將該第二顯影劑由該第二儲存槽運送至該噴嘴,且其中該噴嘴,用以散佈該第一顯影劑以及該第二顯影劑至沈積於該基板之該光阻薄膜。該顯影設備亦包括一管道,分別連接該第一以及第二儲存槽至該第 一以及第二泵,且進一步連接該第一以及第二泵至該噴嘴。該顯影設備更包括一第三儲存槽,用以儲存一具有一第三極性之第三顯影劑,其中該第三極性不同於該第一極性以及該第二極性,以及一第三泵,耦接於該第三儲存槽;其中該噴嘴進一耦接該第三泵,該第三泵將該第三顯影劑由該第三儲存槽傳送至該噴嘴,且該噴嘴用以散佈該第三顯影劑至沈積於該基板之該光阻薄膜。該顯影設備包括一第一噴嘴以及一第二噴嘴,該第一噴嘴耦接於該第一泵以及該第二泵,且該第一噴嘴用以散佈該第一顯影劑以及該第二顯影劑至該光阻薄膜,以及該第二噴嘴耦接於該第三泵,且該第二噴嘴用以散佈該第三顯影劑至該光阻薄膜。該第一泵用以控制該第一顯影劑運送至該噴嘴的流速,且該第二泵用以控制該第二顯影劑運送至該噴嘴的流速。噴嘴用以散佈該第一顯影劑以及該第二顯影劑之一混合物至沈積於該基板之該光阻薄膜。
本發明亦描述了一種顯影設備之應用。於一實施例中,一種形成光阻圖案之方法包括沈積一光阻薄膜至一基板;對沈積於該基板之該光阻薄膜進行曝光;塗布一具有一第一極性之第一顯影劑至已曝光之該光阻薄膜;塗布一具有一第二極性之第二顯影劑至已曝光之該光阻薄膜。該第二極性不同於該第一極性,且該第二極性小於該第一極性。前述之方法包括塗布該具有第一極性之該第一顯影劑用以移除頂部浮渣(頂部光阻浮渣),以及塗布該具有該第二極性之第二顯影劑以對已曝光之該光阻薄膜的一中央部以進行顯影。該方法亦包括同時塗布該第一顯影劑以及該第二顯影劑於已曝光之該光阻薄 膜。該方法更包括塗布一具有一第三極性之第三顯影劑至已曝光之該光阻薄膜。該第三極性不同於該第一極性以及該第二極性,且該第三極性大於該第一極性。該方法亦包括同時塗布該第一顯影劑以及該第二顯影劑;以及前述步驟之後,塗布該第三顯影劑。
於另一實施例中,一種形成光阻圖案之方法包括沈積一光阻薄膜於一基板;對沈積於該基板之該光阻薄膜進行曝光;散佈一具有一第一極性之第一顯影劑至已曝光之該光阻薄膜;散佈一具有一第二極性之第二顯影劑至已曝光之該光阻薄膜,其中該第二極性不同於該第一極性;散佈一具有一第三極性之第三顯影劑至已曝光之該光阻薄膜,且該第三極性不同於該第一極性以及該第二極性。該方法包括同時散佈該第一有機溶劑顯影劑以及該第二有機溶劑顯影劑至已曝光之該光阻薄膜。該方法亦包括使用一顯影設備之一第一噴嘴散佈該第一有機溶劑顯影劑以及該第二有機溶劑顯影劑,以及使用該顯影設備之一第二噴嘴散佈該第三有機溶劑顯影劑至已曝光之該光阻薄膜,用以移除該光阻薄膜之底部浮渣(底部光阻浮渣)。該方法更包括於散佈該第一有機溶劑顯影劑之前施行一曝光後烘烤製程(PEB),且散佈該第一有機溶劑顯影劑、該第二有機溶劑顯影、以及該第三有機溶劑顯影後,實施一顯影後烘烤(PDB)製程。
於前述多種實施例所提出之特徵,可讓於此領域中具有技術之人能更加的瞭解本發明之實施方式。於此領域中具有技術之人可瞭解到,他們可輕易的以本發明為一基礎設計 或是修正其他製程以及結構,以實現本發明相同之目的及/或達到前述實施例的一些功效。於此領域中具有技術之人可以相等之組件(equivalent construction)針對本發明進行改變、替代與修改,並不超出本發明之精神和範圍。
202‧‧‧基板
204‧‧‧光阻薄膜
210a、210b、210c‧‧‧顯影劑
300‧‧‧顯影設備
302a、302b、302c‧‧‧儲存槽
304a、304b、304c‧‧‧泵
306‧‧‧管道
308a‧‧‧噴嘴
310‧‧‧夾具

Claims (12)

  1. 一種顯影設備,用以於沈積於一基板上之一光阻薄膜進行顯影,該顯影設備包括:一夾具,用以固定沈積有該光阻薄膜之該基板;一第一儲存槽,用以儲存一具有一第一極性之第一顯影劑;一第二儲存槽,用以儲存一具有一第二極性之第二顯影劑,其中該第二極性不同於該第一極性;一第一泵,耦接於該第一儲存槽;一第二泵,耦接於該第二儲存槽;以及一噴嘴,耦接於該第一泵以及該第二泵;其中該第一泵將該第一顯影劑由該第一儲存槽運送至該噴嘴,且該第二泵將該第二顯影劑由該第二儲存槽運送至該噴嘴;其中該噴嘴,用以散佈該第一顯影劑以及該第二顯影劑至沈積於該基板之該光阻薄膜。
  2. 如申請專利範圍第1項所述之顯影設備,更包括一管道,分別連接該第一以及第二儲存槽至該第一以及第二泵,且進一步連接該第一以及第二泵至該噴嘴。
  3. 如申請專利範圍第1項所述之顯影設備,更包括:一第三儲存槽,用以儲存一具有一第三極性之第三顯影劑,其中該第三極性不同於該第一極性以及該第二極性;以及一第三泵,耦接於該第三儲存槽;其中該噴嘴進一步耦接該第三泵,該第三泵將該第三顯影 劑由該第三儲存槽傳送至該噴嘴,且該噴嘴用以散佈該第三顯影劑至沈積於該基板之該光阻薄膜。
  4. 如申請專利範圍第3項所述之顯影設備,其中該噴嘴包括一第一噴嘴以及一第二噴嘴,該第一噴嘴耦接於該第一泵以及該第二泵,且該第一噴嘴用以散佈該第一顯影劑以及該第二顯影劑至該光阻薄膜,以及該第二噴嘴耦接於該第三泵,且該第二噴嘴用以散佈該第三顯影劑至該光阻薄膜。
  5. 如申請專利範圍第1項所述之顯影設備,其中該第一泵用以控制該第一顯影劑運送至該噴嘴的流速,且該第二泵用以控制該第二顯影劑運送至該噴嘴的流速。
  6. 如申請專利範圍第1項所述之顯影設備,其中該噴嘴用以散佈該第一顯影劑以及該第二顯影劑之一混合物至沈積於該基板之該光阻薄膜。
  7. 一種形成光阻圖案之方法,包括:沈積一光阻薄膜至一基板;對沈積於該基板之該光阻薄膜進行曝光;塗布一具有一第一極性之第一顯影劑至已曝光之該光阻薄膜之一頂部以進行顯影;以及塗布一具有一第二極性之第二顯影劑至已曝光之該光阻薄膜,其中該第二極性不同於該第一極性。
  8. 如申請專利範圍第7項所述之形成光阻圖案之方法,其中塗布該具有該第二極性之第二顯影劑至已曝光之該光阻薄膜的一中央部以進行顯影。
  9. 如申請專利範圍第7項所述之形成光阻圖案之方法,更包 括同時塗布該第一顯影劑以及該第二顯影劑於已曝光之該光阻薄膜。
  10. 如申請專利範圍第7項所述之形成光阻圖案之方法,更包括塗布一具有一第三極性之第三顯影劑至已曝光之該光阻薄膜,且該第三極性不同於該第一極性以及該第二極性。
  11. 一種形成光阻圖案之方法,包括:沈積一光阻薄膜至一基板;對沈積於該基板之該光阻薄膜進行曝光;塗布一具有一第一極性之第一顯影劑至已曝光之該光阻薄膜;塗布一具有一第二極性之第二顯影劑至已曝光之該光阻薄膜,其中該第二極性不同於該第一極性;以及塗布一具有一第三極性之第三顯影劑至已曝光之該光阻薄膜,且該第三極性不同於該第一極性以及該第二極性。
  12. 如申請專利範圍第11項所述之形成光阻圖案之方法,更包括同時塗布該第一顯影劑以及該第二顯影劑於已曝光之該光阻薄膜。
TW102121296A 2012-07-13 2013-06-17 顯影設備以及形成光阻圖案之方法 TWI508134B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/548,557 US9256133B2 (en) 2012-07-13 2012-07-13 Apparatus and method for developing process

Publications (2)

Publication Number Publication Date
TW201403665A TW201403665A (zh) 2014-01-16
TWI508134B true TWI508134B (zh) 2015-11-11

Family

ID=49781609

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102121296A TWI508134B (zh) 2012-07-13 2013-06-17 顯影設備以及形成光阻圖案之方法

Country Status (4)

Country Link
US (1) US9256133B2 (zh)
KR (1) KR101401695B1 (zh)
DE (1) DE102013101608B4 (zh)
TW (1) TWI508134B (zh)

Families Citing this family (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9046785B2 (en) 2009-12-30 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of patterning a semiconductor device
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US20160064239A1 (en) 2014-08-28 2016-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Integrated Circuit Patterning
US9678422B2 (en) 2014-09-30 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoacid generator bound to floating additive polymer
US10082734B2 (en) 2015-02-13 2018-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Composition and method for lithography patterning
US9958779B2 (en) 2015-02-13 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist additive for outgassing reduction and out-of-band radiation absorption
US9412649B1 (en) 2015-02-13 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US9543165B2 (en) 2015-02-13 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US9864275B2 (en) 2015-02-26 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic resist with floating protectant
US10421867B2 (en) 2015-03-16 2019-09-24 Taiwan Semiconductor Manufacturing Company, Ltd. Priming material for substrate coating
US9810990B2 (en) 2015-03-16 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical treatment for lithography improvement in a negative tone development process
US9704711B2 (en) 2015-03-27 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-based middle layer composition
US9543159B2 (en) 2015-03-27 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process of a semiconductor structure with a wet strippable middle layer
US9570285B2 (en) 2015-04-17 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning composition and methods thereof
US9772559B2 (en) 2015-05-18 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Patterned photoresist removal
US9891522B2 (en) 2015-05-18 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a chemically amplified copolymer resist
US10655019B2 (en) 2015-06-30 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Priming material for substrate coating
US10394126B2 (en) 2015-07-17 2019-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography process and materials
US10466593B2 (en) 2015-07-29 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of patterning a semiconductor device
US10007177B2 (en) 2015-08-21 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns using double exposures
US9612536B2 (en) 2015-08-31 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Developer for lithography
US9941125B2 (en) 2015-08-31 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9927707B2 (en) 2015-08-31 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Developer for lithography
US9983474B2 (en) 2015-09-11 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist having sensitizer bonded to acid generator
US9892914B2 (en) 2015-10-20 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd Orientation layer for directed self-assembly patterning process
US10794872B2 (en) 2015-11-16 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Acoustic measurement of fabrication equipment clearance
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US10090357B2 (en) 2015-12-29 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of using a surfactant-containing shrinkage material to prevent photoresist pattern collapse caused by capillary forces
US9711604B1 (en) 2015-12-31 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Loading effect reduction through multiple coat-etch processes
US9768022B2 (en) 2016-01-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced cross-linkable layer over a substrate
US10036957B2 (en) 2016-01-29 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Post development treatment method and material for shrinking critical dimension of photoresist layer
US10438948B2 (en) 2016-01-29 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device of preventing merging of resist-protection-oxide (RPO) between adjacent structures
US11822251B2 (en) 2016-02-09 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with polar-acid-labile-group
US9921480B2 (en) 2016-02-10 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10114291B2 (en) 2016-03-04 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Grafting agent for forming spacer layer
US10056256B2 (en) 2016-03-16 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of priming photoresist before application of a shrink material in a lithography process
US9768244B1 (en) 2016-03-17 2017-09-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device
US9857684B2 (en) 2016-03-17 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-containing photoresist for lithography
US10825684B2 (en) 2016-03-18 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US9891528B2 (en) 2016-05-02 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography with reduced exposure dose and negative tone development
US10177001B2 (en) 2016-05-31 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Surface modifying material for semiconductor device fabrication
US9711367B1 (en) 2016-06-01 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor method with wafer edge modification
US10622211B2 (en) 2016-08-05 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10101659B2 (en) 2016-08-12 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd Lithography method with surface modification layer
US9978594B1 (en) 2016-11-15 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure using patterning stacks
US11112698B2 (en) 2016-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with gradient composition for improved uniformity
US10520821B2 (en) 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process with enhanced etch selectivity
US10453713B2 (en) 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling temperature of furnace in semiconductor fabrication process
US10042252B2 (en) 2016-11-30 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photoresist and method
US10649339B2 (en) 2016-12-13 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Resist material and method for forming semiconductor structure using resist layer
US10866511B2 (en) 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
US10517179B2 (en) 2016-12-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10658184B2 (en) 2016-12-15 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement with directional patterning technology
US10115585B2 (en) 2016-12-15 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Hardmask composition and methods thereof
US10163632B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and process for substrate modification
US10672619B2 (en) 2016-12-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10115592B2 (en) 2016-12-15 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning process with silicon mask layer
US11022890B2 (en) * 2017-02-23 2021-06-01 International Business Machines Corporation Photoresist bridging defect removal by reverse tone weak developer
US10079178B1 (en) 2017-03-17 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd Formation method of semiconductor device structure using multilayer resist layer
US10083832B1 (en) 2017-03-24 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Under layer composition and method of manufacturing semiconductor device
US10312108B2 (en) 2017-04-06 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using modified resist layer
US10741410B2 (en) 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10394123B2 (en) 2017-05-17 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Blocking layer material composition and methods thereof in semiconductor manufacturing
US11022886B2 (en) 2017-05-17 2021-06-01 Taiwan Semiconductor Manufacturing Co,, Ltd. Bottom-up material formation for planarization
US10163648B2 (en) 2017-05-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor device fabrication having application of material with cross-linkable component
US10672610B2 (en) 2017-05-19 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Grafting design for pattern post-treatment in semiconductor manufacturing
US10520820B2 (en) 2017-05-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative tone developer for extreme ultraviolet lithography
US10527941B2 (en) 2017-05-30 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photoresist and method
US10032640B1 (en) 2017-06-20 2018-07-24 Taiwan Semiconductor Manufacturing Co., Inc. Formation of semiconductor structure with a photoresist cross link and de-cross link process
US10520822B2 (en) 2017-06-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography techniques for reducing resist swelling
US10186542B1 (en) 2017-07-18 2019-01-22 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning for substrate fabrication
US11014103B2 (en) 2017-07-26 2021-05-25 Taiwan Semiconductor Manufacturing Company Ltd. Substrate processing apparatus and substrate processing method
US10073347B1 (en) 2017-08-24 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor method of protecting wafer from bevel contamination
US10691023B2 (en) 2017-08-24 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing lithography process with post treatment
US10121811B1 (en) 2017-08-25 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of high-aspect ratio pattern formation with submicron pixel pitch
US10861698B2 (en) 2017-08-29 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement
US10274847B2 (en) 2017-09-19 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control in EUV lithography
US11222783B2 (en) 2017-09-19 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Using cumulative heat amount data to qualify hot plate used for postexposure baking
US11004679B2 (en) 2017-09-27 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for supplying chemical liquid in semiconductor fabrication
US11086211B2 (en) 2017-11-08 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Masks and methods of forming the same
US11300878B2 (en) 2017-11-13 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
US11029602B2 (en) 2017-11-14 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern
US10529552B2 (en) 2017-11-29 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device and a coating material
US10522349B2 (en) 2017-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective coating by ion implantation for lithography patterning
US10698317B2 (en) 2018-02-23 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Underlayer material for photoresist
US10381481B1 (en) 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist
US10631392B2 (en) 2018-04-30 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. EUV collector contamination prevention
US10845698B2 (en) 2018-05-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Mask, method of forming the same and method of manufacturing a semiconductor device using the same
US11127592B2 (en) 2018-05-31 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive groups in resist layer
US11054742B2 (en) 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
US10867839B2 (en) 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices
US11016386B2 (en) 2018-06-15 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern
US11287740B2 (en) 2018-06-15 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern
US11069526B2 (en) 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
US11442364B2 (en) 2018-06-28 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Materials and methods for forming resist bottom layer
US10867805B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Selective removal of an etching stop layer for improving overlay shift tolerance
US10867872B2 (en) 2018-07-27 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Method and apparatus for manufacturing semiconductor structure
US10838304B2 (en) 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
US10768527B2 (en) 2018-08-13 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Resist solvents for photolithography applications
US11106138B2 (en) * 2018-08-14 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process and material for negative tone development
US11022885B2 (en) 2018-08-31 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive middle layer
US10978301B2 (en) 2018-08-31 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Morphology of resist mask prior to etching
US11215924B2 (en) 2018-08-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist, developer, and method of forming photoresist pattern
US11226562B2 (en) 2018-09-20 2022-01-18 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10859915B2 (en) 2018-09-21 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Adhesion layer for multi-layer photoresist
DE102019120765B4 (de) 2018-09-27 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum bilden eines halbleiterbauelements
US10867840B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device
US11971659B2 (en) 2018-10-08 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern
US10691020B2 (en) 2018-10-25 2020-06-23 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus for dispensing liquid material and method for fabricating semiconductor device
US10845704B2 (en) 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
US11215929B2 (en) 2018-10-30 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US11307500B2 (en) 2018-10-30 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing photoresistor layer, method of forming a pattern and method of manufacturing a package
US10867793B2 (en) 2018-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of fabricating the same
US11605538B2 (en) 2018-10-31 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Protective composition and method of forming photoresist pattern
US11079681B2 (en) 2018-11-21 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography method for positive tone development
JPWO2020179428A1 (zh) * 2019-03-04 2020-09-10
US10811270B2 (en) 2019-03-15 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra narrow trench patterning using plasma etching
US11289376B2 (en) 2019-07-31 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd Methods for forming self-aligned interconnect structures
US11367616B2 (en) 2019-07-31 2022-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning material layer
US11067898B2 (en) 2019-07-31 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Gamma ray generator, gamma ray lithography system and method of performing gamma ray lithography
US11651961B2 (en) 2019-08-02 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning process of a semiconductor structure with enhanced adhesion
US11163235B2 (en) 2019-08-22 2021-11-02 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus for forming a photoresist layer, method for forming a masking layer, and method for forming a photoresist layer
US11681221B2 (en) 2019-08-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
US11694896B2 (en) 2019-10-31 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
US11934101B2 (en) 2019-11-27 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist composition and method of forming photoresist pattern
US11411033B2 (en) 2019-12-20 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor device and manufacturing method thereof
DE102020108040A1 (de) 2019-12-20 2021-06-24 Taiwan Semiconductor Manufacturing Co. Ltd. Bildsensorvorrichtung und deren herstellungsverfahren
US11664213B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Bevel edge removal methods, tools, and systems
US11392036B2 (en) 2020-01-31 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist and method
US11502005B2 (en) 2020-02-19 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
TW202136327A (zh) 2020-02-27 2021-10-01 台灣積體電路製造股份有限公司 製造半導體裝置的方法
US11703765B2 (en) 2020-02-27 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of manufacturing a semiconductor device
US11784046B2 (en) 2020-03-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US11705332B2 (en) 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US11942322B2 (en) 2020-05-22 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and pattern formation method
US11714355B2 (en) 2020-06-18 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern
US11935746B2 (en) 2021-03-10 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation through mask stress management and resulting structures
US11887851B2 (en) 2021-07-29 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming and using mask
US11892774B2 (en) 2021-08-30 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100261122A1 (en) * 2009-04-08 2010-10-14 Tokyo Electron Limited Resist coating and developing apparatus, resist coating and developing method, resist-film processing apparatus, and resist-film processing method

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3943741B2 (ja) * 1999-01-07 2007-07-11 株式会社東芝 パターン形成方法
JP4369325B2 (ja) 2003-12-26 2009-11-18 東京エレクトロン株式会社 現像装置及び現像処理方法
US8148055B2 (en) 2006-06-30 2012-04-03 Infineon Technologies Ag Method for developing a photoresist
US8603733B2 (en) 2007-04-13 2013-12-10 Fujifilm Corporation Pattern forming method, and resist composition, developer and rinsing solution used in the pattern forming method
US8048616B2 (en) * 2008-03-12 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US8197996B2 (en) 2008-09-19 2012-06-12 Tokyo Electron Limited Dual tone development processes
JP5107329B2 (ja) * 2009-10-14 2012-12-26 東京エレクトロン株式会社 現像処理方法
JP5729171B2 (ja) 2010-07-06 2015-06-03 信越化学工業株式会社 パターン形成方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100261122A1 (en) * 2009-04-08 2010-10-14 Tokyo Electron Limited Resist coating and developing apparatus, resist coating and developing method, resist-film processing apparatus, and resist-film processing method

Also Published As

Publication number Publication date
US20140017616A1 (en) 2014-01-16
KR101401695B1 (ko) 2014-05-29
KR20140008986A (ko) 2014-01-22
US9256133B2 (en) 2016-02-09
DE102013101608B4 (de) 2022-02-17
DE102013101608A1 (de) 2014-01-16
TW201403665A (zh) 2014-01-16

Similar Documents

Publication Publication Date Title
TWI508134B (zh) 顯影設備以及形成光阻圖案之方法
US20140017615A1 (en) Apparatus and method for resist coating and developing
CN108227392B (zh) 微影图案化的方法
JP7058019B2 (ja) 順次シャドウ・マスク蒸着によって作り出される2つの膜の間の重なり面積を補正するための方法および接合を形成する方法
US8053368B2 (en) Method for removing residues from a patterned substrate
CN107204281A (zh) 材料组合物及其方法
TW201214508A (en) Methods of forming photolithographic patterns
TW201621467A (zh) 負型顯像劑可相容之光阻組成物及其使用方法
KR20100111612A (ko) 이중 노광 공정에서 레지스트 패턴 크리티컬 디멘젼 편차를 줄이는 방법
US20210341844A1 (en) Lithography method for positive tone development
US20180174830A1 (en) Material composition and methods thereof
CN106168737B (zh) 化学增幅光阻材料、共聚物及微影方法
TWI566047B (zh) 製作半導體裝置的方法與光敏材料
US9081306B2 (en) Method of optimizing lithography tools utilization
US8703392B2 (en) Method and apparatus for developing process
Nakagawa et al. Ultra-thin-film EUV resists beyond 20nm lithography
US10649336B2 (en) Method and system for fabricating semiconductor device
CN108231550B (zh) 半导体装置的制作方法
US8138059B2 (en) Semiconductor device manufacturing method
US11822251B2 (en) Photoresist with polar-acid-labile-group
JP2008066467A (ja) パターン形成方法
US9202662B2 (en) Charged particle lithography system with a long shape illumination beam
US20240030029A1 (en) Patterning Method Using Secondary Resist Surface Functionalization for Mask Formation
JP2009098395A (ja) バリア膜形成用材料及びパターン形成方法
US20230056958A1 (en) Photoresist developer and methods of use