CN107204281A - 材料组合物及其方法 - Google Patents

材料组合物及其方法 Download PDF

Info

Publication number
CN107204281A
CN107204281A CN201710140003.9A CN201710140003A CN107204281A CN 107204281 A CN107204281 A CN 107204281A CN 201710140003 A CN201710140003 A CN 201710140003A CN 107204281 A CN107204281 A CN 107204281A
Authority
CN
China
Prior art keywords
photoresist
photoresist layer
layer
euv
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710140003.9A
Other languages
English (en)
Inventor
张书豪
陈建志
高国璋
陈政宏
贾丕业
陈启任
林英智
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN107204281A publication Critical patent/CN107204281A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76892Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

本发明实施例提供了一种材料组合物和方法,所述方法包括提供衬底并在衬底上形成光刻胶层。在各个实施例中,光刻胶层包括包含极紫外(EUV)吸收元件和桥接元件的多金属配合物。举例来说,EUV吸收元件包括第一金属类型,桥接元件包括第二金属类型。在一些实施例中,对光刻胶层执行曝光工艺。在执行曝光工艺之后,对曝光的光刻胶显影以形成图案化的光刻胶层。本发明实施例涉及材料组合物及其方法。

Description

材料组合物及其方法
技术领域
本发明实施例涉及材料组合物及其方法。
背景技术
电子工业经历了对更小和更快的电子器件的不断增长的需求,这些电子器件同时能够支持更多数量的日益复杂且精细的功能。因此,在半导体工业中存在制造低成本、高性能和低功率集成电路(IC)的持续趋势。迄今为止,这些目标在很大程度上是通过缩小半导体IC尺寸(例如,最小部件尺寸)而实现的,从而提高生产效率并降低相关成本。然而,这种缩放还给半导体制造工艺带来了增加的复杂性。因此,实现半导体IC和器件的持续发展要求半导体制造工艺和技术中的类似发展。
通常,给定半导体IC的最小部件尺寸是在光刻工艺中使用的辐射源的波长以及光刻胶组成和光刻胶选择性等因素的函数。随着半导体光刻技术的进步,所使用的辐射源的波长已经减小,并且辐射源本身可能相对较弱,使得光刻胶已经被设计为尽可能有效地利用辐射源。作为一个示例,已经引入化学放大光刻胶(CAR)组合物,以努力增加光刻胶对曝光光源的敏感性。然而,CAR系统遇到了难以克服的限制,例如薄膜中的光子吸收差、适度的蚀刻选择性和有限的分辨率增益。此外,对具有高分辨率、低线宽粗糙度(LWR)和高敏感性的光刻胶的需求比由这种CAR系统提供的能力增加地更快。因此,化学放大光刻胶可能不能满足半导体技术的持续发展所需要的下一代光刻要求。
因此,现有技术在所有方面都没有被证明是完全令人满意的。
发明内容
根据本发明的一些实施例,提供了一种制造半导体器件的方法,包括:提供衬底;在所述衬底上方形成光刻胶层,其中,所述光刻胶层包括包含极紫外(EUV)吸收元件和桥接元件的多金属配合物,并且其中,所述极紫外吸收元件包括第一金属类型,所述桥接元件包括第二金属类型;对所述光刻胶层执行曝光工艺;以及在执行所述曝光工艺之后,显影曝光的所述光刻胶层以形成图案化的光刻胶层。
根据本发明的另一些实施例,还提供了一种制造半导体器件的方法,包括:在半导体衬底上沉积第一材料层;在所述第一材料层上形成第二材料层,其中,所述第二材料层包括硅硬掩模;在所述第二材料层上形成第三材料层,其中,所述第三材料层包括光刻胶,并且其中,所述光刻胶包括多金属配合物;和通过光刻工艺形成光刻胶图案。
根据本发明的又一些实施例,还提供了一种制造半导体器件的方法,包括:提供衬底;在所述衬底上方形成光刻胶层,其中,所述光刻胶层包括第一金属类型和第二金属类型的多金属配合物,并且其中,所述第一金属类型包含极紫外(EUV)吸收元件,和所述第二金属类型包含桥接元件;对所述光刻胶层执行曝光工艺,其中,所述曝光工艺使用极紫外光源执行,并且通过包括电路图案的中间掩模投射到所述光刻胶层上;和在执行所述曝光工艺之后,显影曝光的光刻胶层以形成图案化的光刻胶层,其中,所述图案化的光刻胶层包括所述电路图案。
附图说明
当结合附图阅读时,从下面的详细描述可以最好地理解本公开的各方面。注意,根据工业中的标准实践,各个部件没有按比例绘制。实际上,为了清楚讨论起见,可以任意地增加或减小各个部件的尺寸。
图1示出根据各个实施例的用于图案化衬底的方法的流程图;
图2A-2E提供根据图1的方法构造的在各个制造阶段的半导体结构200的横截面图;
图3-14示出了根据一些实施例的用于光刻胶层的第一类型的多金属配合物的金属和配体官能团以及交联和置换反应;
图15-25示出了根据一些实施例的用于光刻胶层的第二类型的多金属配合物的金属和配体官能团以及交联和置换反应;
图26-32示出了根据一些实施例的用于光刻胶层的第三类型的多金属配合物的金属和配体官能团以及交联和置换反应;
图33-44示出了根据一些实施例的用于光刻胶层的第四类型的多金属配合物的金属和配体官能团以及交联和置换反应;
图45-48示出了根据一些实施例的用于光刻胶层的第五类型的多金属配合物的金属和配体官能团以及交联和置换反应;和
图49-56示出了根据一些实施例的用于光刻胶层的多金属配合物的附加的实施例。
发明详述
以下公开提供了用于实现所提供主题的不同部件的许多不同的实施例或示例。下面描述构件和布置的具体示例以简化本公开。当然,这些仅是示例而不旨在限制。例如,在下面的描述中,第一部件形成在第二部件上方或之上可以包括其中第一部件和第二部件以直接接触形成的实施例,并且还可以包括其中附加部件可以形成在第一部件和第二部件之间,使得第一部件和第二部件可以不直接接触。另外,本公开可以在各种示例中重复参考数字和/或字母。这种重复是为了简化和清楚的目的,并且本身不指示所讨论的各个实施例和/或配置之间的关系。
此外,为在本文中可以使用诸如“下面”、“下方”、“下部”、“上方”和“上部”等空间相对术语以便于描述一个元件或部件征与另一个元件或部件的关系,如图中所示。空间相对术语旨在涵盖除了图中所示的方位之外使用或操作中的器件的不同方位。该设备可以以其他方式定向(旋转90度或为其他取向),并且同样可以对本文中使用的空间相对描述词进行相应地解释。
本公开总体上涉及用于半导体器件制造的方法,更具体地涉及在极紫外(EUV)光刻中的光刻胶(光刻胶)材料组合物和/或配合物以及使用它们的方法。在一些情况下,本文示出和描述的实施例也可用于深UV(DUV)和电子束(e-束)光刻。另外,本文提出的各个实施例可以用于增加光刻胶的敏感性(例如,对于DUV、UV或电子束辐射源)。通常,光刻图案化包括用光刻胶膜涂覆衬底、将光刻胶膜暴露于辐射源(例如,DUV、UV或电子束辐射源)以及在显影剂(化学溶液)中显影曝光的光刻胶。显影剂除去部分曝光的光刻胶,例如正性光刻胶的曝光部分或负性光刻胶的未曝光部分,从而形成图案化的光刻胶层。然后可以在随后的蚀刻工艺中将图案化的光刻胶层用作蚀刻掩模,将图案化的光刻胶层的图案转印到下面的材料层。或者,光刻胶图案可用作在施加到下面的材料层(例如外延半导体层)的后续离子注入工艺中的离子注入掩模。
一般来说,给定半导体IC的最小部件尺寸是在光刻工艺中使用的辐射源的波长以及光刻胶组成和光刻胶选择性等因素的函数。随着半导体光刻技术的进步,所使用的辐射源的波长已经减小,例如对于DUV光刻从248nm(例如,对于KrF激光器)减小到193nm(例如,对于ArF激光器),对于EUV光刻波长减小到13.5nm。用于产生这些波长的光的辐射源(光源)可能相对较弱,使得光刻胶已经被设计为尽可能有效地利用这些光源。通常,这个目标部分地通过使用化学放大光刻胶来实现,其中这种化学放大增加了光刻胶对曝光光源的敏感性。目前,大多数半导体公司使用化学放大光刻胶(CAR)用于大批量制造(HVM)。化学放大光刻胶已经用于248nm(例如,对于KrF激光器)和193nm(例如对用于ArF激光器)DUV光刻以及用于13.5nm EUV光刻。然而,CAR系统遇到了难以克服的限制,例如薄膜中的光子吸收差、适度的蚀刻选择性和有限的分辨率增益。此外,对具有高分辨率、低线宽粗糙度(LWR)和高敏感性的光刻胶的需求比由这种光刻胶体系提供的能力增加得更快。考虑到EUV化学放大光刻胶的示例,在EUV CAR的组成中没有高EUV吸收元件。因此,EUV CAR的敏感性可能较低。虽然仍然可能存在提高CAR系统的敏感性的途径,但是LWR和分辨率可能由于“RLS权衡”而受损,这表明同时提高分辨率、LWR和敏感性是非常困难的。因此,化学放大光刻胶可能不能满足半导体技术的持续发展所需要的下一代光刻要求。
本公开的实施例提供了优于现有技术的优点,但是应当理解,其他实施例可以提供不同的优点,并非所有优点都必须在本文中讨论,并且没有特别的优点是所有实施例必需的。通常,根据本文公开的实施例,提供了更有效地通过使用光刻光源(例如,EUV光)改善光刻胶性能的更好的方法。例如,在一些实施例中,提供非CAR多金属光刻胶(例如,诸如EUV光刻胶),其中多金属光刻胶提供改善的光吸收(例如,EUV光吸收),同时还增加多金属光刻胶的蚀刻选择性。在一些实施例中,多金属配合物用作光刻胶中心以增加光刻胶的EUV吸收。已经描述了含金属和/或非CAR EUV光刻胶的一些示例,例如Passarelli等人在“Organometallic carboxylate resists for extreme ultraviolet with highsensitivity”,J.Micro/Nanolith.MEMS MOEMS.14(4),043503(2015年10月14日)中描述的;Trikeriotis等人在“Development of an inorganic photoresist for DUV,EUV,andelectron beam imaging”,Proc.SPIE 7639,Advances in Resist Materials andProcessing Technology XXVII,76390E(2010年3月26日);以及Toriumi等人在“Metalresist for extreme ultraviolet lithography characterized by scanningtransmission electron microscopy”,Applied Physics Express 9,031601(2016)中所述的,其全部内容通过引用并入本文。然而,本文公开的实施例提供了包括多于一个金属原子(例如,多于一个Sb原子)的光刻胶组合物,以增加非CAR多金属EUV光刻胶的EUV光吸收,从而提高光刻胶的敏感性。特别是,本公开提供了用于光刻胶形成(例如,EUV光刻胶形成)的至少五种类型的多金属配合物,如下所述。在一些实施例中,多金属配合物包括彼此连接的金属原子。在一些情况下,多金属配合物包括通过离子键彼此连接的金属原子。在一些实施例中,多金属配合物包括彼此连接的一些金属原子和通过配体分离的一些金属原子。在一些情况下,多金属配合物包括通过配体分离的所有金属原子。如上所述,本文公开的实施例的至少一些优点包括EUV光刻胶敏感性的提高和高的蚀刻选择性。此外,在一些实施例中,由EUV吸收产生的二次电子可通过化学键和空间传递。
还应注意,本公开的材料组合物、金属配合物和方法不限于特定的衬底类型、掩模类型、光刻胶类型、辐射源(例如,辐射波长)和/或光刻系统类型。例如,可以将材料组合物、金属配合物和方法应用于在各种衬底材料(诸如硅、锗、碳化硅(SiC)、硅锗(SiGe)、金刚石、化合物半导体和合金半导体)上的图案化的部件和/器件,衬底可以可选地包括一个或多个外延层(外延层),其可以被应变以用于性能增强,可以包括绝缘体上硅(SOI)结构,和/或具有其他合适的强化部件。本公开的实施例还可适用于采用反射掩模(例如,用于极紫外(EUV)光刻)、透射掩模、二元强度掩模、相移掩模以及本领域已知的其他掩模类型的工艺。在一些示例中,本文公开的实施例可适用于采用各种类型的光刻胶的工艺,光刻胶例如聚甲基丙烯酸甲酯(PMMA)、SU-8、EUV光刻胶、正性光刻胶、负性光刻胶或本领域已知的其他类型的光刻胶。另外,本公开的实施例可适用于各种光刻系统/对准器类型,例如接触对准器、接近度对准器、投影对准器或EUV光刻系统。因此,本公开的实施例还可适用于采用诸如UV光、深UV(DUV)光、EUV光或本领域已知的其他辐射源的各种辐射源(辐射波长)中的任何辐射源的系统。
首先参照图1,其中示出了根据各个实施例的用于图案化衬底(例如,半导体晶圆)的方法100的流程图。方法100可以全部或部分地通过采用诸如深紫外(DUV)光刻、极紫外(EUV)光刻、电子束(e-束)光刻、X射线光刻和/或其他光刻工艺的高级光刻工艺的系统来实现,以改善图案尺寸精度。在本实施例中,EUV和/或电子束光刻用作主要示例。应当理解,可以在方法100之前、期间和之后提供附加操作,并且对于该方法的另外的实施例可以替换、消除或移动所描述的一些操作。还应注意,方法100是示例性的,而不旨在将本公开限制在所附权利要求中明确记载的内容之外。下面将结合图2A-2E进一步描述方法100。
具体而言,图2A-2E提供了根据一些实施例构造的在各个制造阶段的半导体结构200的横截面图。下面结合图2A-2E描述方法100,其中通过使用方法100的实施例制造半导体结构200。半导体器件200可以是在IC加工期间制造的中间器件或其一部分,其可以包括逻辑电路,存储器结构,诸如电阻器、电容器和电感器的无源组件,以及诸如二极管、场效应晶体管(FET)、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、双极晶体管、高压晶体管、高频晶体管、鳍式FET(FinFET)、其他三维(3D)FET、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、双极晶体管、高压晶体管、高频晶体管、其他存储器单元及其组合的有源器件。
方法100开始于框102,其中,提供衬底。参照图2A的示例,在框102的实施例中,其中示出了包括衬底202的半导体结构200的横截面图。在一些实施例中,衬底202可以包括如上所述的半导体衬底,并且衬底202可以包括多个层,包括形成在衬底202上的导电层或绝缘层,有机层和/或多个强化部件,如前所述。根据本领域中已知的设计要求,衬底202还可以包括各种掺杂配置。
在一些实施例中,衬底202包括待处理例如待图案化或待注入的底层(或材料层)204。例如,底层204可以包括待图案化的硬掩模层。在一些情况下,底层204可以包括待被离子注入的外延半导体层。然而,在一些实施例中,衬底202可以不包括底层,并且可选地在衬底202上方形成底层(例如,204)。在一个实施例中,底层204可以包括硬掩模层,其包括诸如氧化硅、氮化硅(SiN)、氮氧化硅、氮化钛或其他合适的材料或组合物的材料。在一些实施例中,底层204可以包括抗反射涂层(ARC),例如包括诸如氧化硅、碳氧化硅或等离子体增强化学气相沉积的氧化硅的材料的无氮抗反射涂层(NFARC)。在各个实施例中,底层204可以包括高k介电层、栅极层、硬掩模层、界面层、覆盖层、扩散/阻挡层、介电层、导电层、其他合适的层和/或其组合。
在一些实施例中,结构200可以可选地包括用于图案化半导体晶圆的掩模。因此,在一些实施例中,衬底202可以包括掩模衬底,掩模衬底可以包括透明材料(例如石英)或诸如氧化硅-氧化钛复合物的低热膨胀材料。掩模衬底202可以进一步包括待图案化的材料层。在该示例的进一步中,衬底202可以包括用于制造深紫外(DUV)掩模、极紫外(EUV)掩模或其他类型的掩模的掩模衬底。因此,在一些实施例中,底层204可以包括待被图案化以限定电路图案的材料层。例如,底层204可以包括吸收层,例如铬层。
方法100进行到框104,其中在衬底202上方或可选地在底层204上方形成光刻胶层(或简单的光刻胶层)206(图2A)。在各种示例中,根据本文所述的实施例,光刻胶层206包括多金属配合物。另外,光刻胶层206可以包括非CAR层。光刻胶层206对在光刻曝光工艺中使用的辐射敏感,并且对蚀刻工艺(或离子注入工艺)具有耐受性。与至少一些当前光刻胶组合物相比,如下文更详细描述的,包含多金属配合物的光刻胶层206提供具有提高的敏感性和蚀刻选择性的光刻胶(例如,EUV光刻胶)。在一些实施例中,光刻胶层206可以通过旋涂工艺形成。在一些示例中,在形成光刻胶层206之前,在衬底202上方或可选地在底层204上方形成粘附层(例如,HMDS层)。在一些实施例中,在形成光刻胶层206之后,并且在执行曝光工艺之前,可以执行预烘烤工艺,例如,以蒸发溶剂和致密化光刻胶层206。在各个实施例中,光刻胶层206对各种类型的辐射敏感,诸如DUV辐射(例如,来自KrF激光器的248nm辐射或来自ArF激光器的193nm辐射)、EUV辐射(例如13.5nm辐射)、电子束(e-束)或离子束。为了本示例的目的,光刻胶层206对EUV辐射敏感。用于光刻胶层206的各种光刻胶组合物和多金属配合物的细节在下面结合图3-56更详细地讨论和/或说明。在一些示例中,光刻胶层206在通过EUV辐射曝光之后可溶于正性显影剂或负性显影剂。
方法100进行到框106,其中将图案曝光到涂覆有光刻胶的衬底上。参照图2B的示例,在框106的实施例中,其中示出了结构200的横截面图,其中光刻胶层206(图2A)已经通过中间掩模曝光(例如通过光刻成像系统)。在一些实施例中,光刻胶层206通过EUV辐射(例如13.5nm)曝光。或者,在一些实施例中,光刻胶层206可以通过DUV辐射(例如,来自248nmKrF准分子激光器或193nm ArF准分子激光器)、X射线辐射、电子束、离子束和/或其他合适的辐射源。在各种示例中,框106的曝光可以在空气中、在液体(例如,浸没式光刻)中或在真空中(例如,对于EUV光刻和电子束光刻)执行。在一些实施例中,用诸如透射掩模或反射掩模的掩模对辐射束进行图案化,掩模可以包括诸如相移部件和/或光学邻近校正(OPC)的分辨率增强部件,并且可以使用离轴照明(OAI)执行。在一些其他实施例中,在不使用掩模(例如使用数字图案产生器或直接写入模式)的情况下,以预定图案(例如IC布局)直接调制辐射束。在本示例中,辐射束包括EUV辐射,并且在EUV光刻系统中执行框106的曝光。
在一些实施例中,在框106的曝光之后,可以执行烘烤工艺。例如,在一些实施例中,在光刻胶层206曝光之后,并且在执行光刻胶显影处理之前,可以执行后烘烤工艺以稳定和硬化显影的光刻胶层。在一些示例中,作为框106的曝光工艺的结果,在光刻胶层206中形成潜在图案。作为示例,潜在图案是指光刻胶层206上的曝光图案,其随后在显影工艺之后将变为物理光刻胶图案。在各个实施例中,光刻胶层206的潜在图案可以包括未曝光部分206a和曝光部分206b。在各个实施例中,光刻胶层206的曝光部分206b可以作为框106的曝光工艺的结果被物理或化学地改变。考虑到框106的曝光,下面讨论了光刻胶层206如何改变的额外细节(例如,参照图3-56)。在一些实施例中,如果使用正性光刻胶,则曝光部分206b将在随后的显影工艺中溶解。在一些情况下,如果使用负性光刻胶,则曝光部分206b将变得不溶,并且随后的显影工艺可以代替溶解未曝光部分206a。
方法100进行到框108,其中执行显影工艺以形成图案化的光刻胶层。参照图2B和2C的示例,在框108的实施例中,在形成包括未曝光部分和曝光部分206a/206b的潜在图像之后,执行光刻胶显影工艺,产生图案化的光刻胶层206'。在一些实施例中,光刻胶显影工艺包括本领域已知的湿化学显影工艺。如上所述,如果使用负性光刻胶,则曝光部分206b将变得不溶。因此,参照图2C的示例,其示出了在显影工艺之后的结构200,示出了使用负性光刻胶。
方法100进行到框110,其中通过图案化的光刻胶层的开口对衬底执行制造工艺。例如,可以使用图案化的光刻胶层206'作为掩模对半导体结构200进行制造工艺,使得制造工艺应用于图案化的光刻胶层206'的开口(例如,底层204的曝光区域)内的半导体结构200的部分,而被图案化的光刻胶层206'覆盖的其他部分被保护免于制造工艺。在一些实施例中,框110的制造工艺可以包括使用图案化的光刻胶层206'作为蚀刻掩模对底层204施加蚀刻工艺,从而将图案从图案化的光刻胶层206'转移到底层204。或者,在一些实施例中,框110的制造工艺可以包括使用图案化的光刻胶层206'作为离子注入掩模对半导体结构200施加离子注入工艺,从而在半导体结构200(例如,在底层204)中形成各种掺杂部件。
如上所述,在本示例中,底层204可以包括硬掩模层。在该示例的进一步中,图案化的光刻胶层206'的图案可以首先被转移到底层204(例如,硬掩模层204),形成图案化的硬掩模层204'(图2D),然后转移到衬底202的其他层。例如,可以使用干(等离子体)蚀刻工艺、湿蚀刻工艺、其组合和/或其他蚀刻方法,通过图案化的光刻胶层206'的开口蚀刻硬掩模层204。例如,干蚀刻工艺可以包括含氧气体、含氟气体、含氯气体、含溴气体、含碘气体、其他合适的气体和/或等离子体和/或其组合。在一些实施例中,图案化的光刻胶层206'可以在蚀刻硬掩模层204和形成图案化的硬掩模层204'的期间被部分地或完全地消耗。在一个实施例中,可以剥离在蚀刻工艺之后剩余的图案化的光刻胶层206'的任何部分,在衬底202上方留下图案化的硬掩模层204',如图2E所示。
方法100可以包括在上述步骤之前、期间或之后的其他步骤。在一个实施例中,衬底202是半导体衬底,并且方法100继续形成鳍式场效应晶体管(FinFET)器件。在这样的示例中,方法100还可以包括在半导体衬底202中形成多个有源鳍。另外,在进一步的示例中,框110还可以包括穿过图案化的硬掩模204'的开口蚀刻衬底202以在衬底202中形成沟槽;用介电材料填充该沟槽;执行化学机械抛光(CMP)工艺以形成浅沟槽隔离(STI)部件;使STI部件外延生长和/或凹进STI部件以形成鳍状有源区。在一些实施例中,方法100包括形成多个栅电极、栅极间隔件、掺杂源极/漏极区、用于栅极/源极/漏极部件的接触件等的其他步骤。在一些实施例中,后续处理可在衬底上形成各种接触件/通孔/线和多层互连部件(例如,金属层和层间电介质),其被配置为连接各个部件以形成可以包括一个或多个器件(例如,一个或多个FinFET器件)的功能电路。在进一步的示例中,多层互连件可以包括垂直互连件,例如通孔或接触件,以及水平互连件,例如金属线。各种互连部件可以采用各种导电材料,包括铜、钨和/或硅化物。在一个示例中,镶嵌和/或双镶嵌工艺用于形成铜相关的多层互连结构。此外,可以在方法100之前、期间和之后实施额外的工艺步骤,并且根据方法100的各个实施例,可以替换或消除上述一些工艺步骤。
现参照图3-48,其中示出了用于光刻胶层206的多金属配合物的一些实施例,其在一些情况下可被称为多金属光刻胶配合物。在各个实施例中,多金属光刻胶配合物提供EUV光刻胶,因此对诸如EUV辐射的辐射敏感。特别是,应注意,尽管在图3-48的实施例中所示的反应显示为由EUV辐射催化,但在一些情况下,反应可类似地响应于其他类型的辐射,例如DUV、电子束、X射线、离子束或其他类型的辐射。在一些实施例中,本公开的光刻胶可以包括金属氧化物纳米颗粒、有机和/或无机分子、分子有机金属材料或本领域已知和/或本文所述的其他材料。首先参照图3-14,其中示出了用于第一类型的多金属配合物的金属和配体官能团以及交联和置换反应。参照图15-25,其中示出了用于第二类型的多金属配合物的金属和配体官能团以及交联和置换反应。图26-32示出了用于第三类型的多金属配合物的金属和配体官能团以及交联和置换反应。图33-44示出了用于第四类型的多金属配合物的金属和配体官能团以及交联和置换反应。图45-48示出了用于第五类型的多金属配合物的金属和配体官能团以及交联和置换反应。
在进一步的上述示例中,考虑图3的多金属配合物,图3示出第一金属'M1'(例如第一金属原子)、第二金属'M2'(例如第二金属原子)和多个称为L、L'的配体,和配位体。在各个实施例中,第一金属'M1'可包括用于吸收体(例如EUV吸收体)或反应位点的活化剂。在至少一个示例中,第一金属'M1'可包括钌,但是如下所述预期其他金属。在一些实施例中,第二金属'M2'可以包括高EUV吸收体。在至少一个示例中,第二金属'M2'可包括锑,但如下所述预期其他金属。在本文所述的各个实施例中,第一金属'M1'可以充当金属之间(例如,两个第二金属'M2'原子之间)、金属和配体之间、和/或配体之间的桥。在一些情况下,尽管第二金属'M2'可以包括高EUV吸收体,但是第一金属'M1'可以不包括高EUV吸收体。然而,除了充当桥以外,第一金属'M1'可以进一步催化反应性位点(例如,如图3所示的反应性配体位点)的形成,从而使得多金属配合物参与交联反应和/或使得参与交联反应更容易。在一些情况下,反应性位点的形成包括形成适于双键的反应性位点和/或形成含自由基的位点。换句话说,本文公开的实施例可以包括具有桥接元件(例如第一金属)和EUV吸收元件(例如第二金属)的多金属配合物,其中桥接元件具有有利的催化性能以使得双键交联反应更容易。
还应注意,图3-48中所示的不同的第一、第二、第三、第四和第五类型的多金属配合物可以作为合成多金属配合物的不同方法的结果而形成。此外,如上所述,所示的五种类型的多金属配合物中的每种仍然包括桥接元件(例如,第一金属)和EUV吸收元件(例如,第二金属)。另外,在本文示出和描述的各个实施例中,可以产生(例如,通过EUV吸收)二次电子,其中这种二次电子可以被转移(例如,通过化学键和/或空间)并与配体相互作用以进一步帮助活化用于随后参与交联反应的配体。在图3-48所示的示例中,至少一些配体(例如,标记为L’和L”的配体)可以是非常稳定的配体,因此可能非常难以活化,因此这样的配体可能不直接参与交联反应。例如,还注意到,置换反应(例如,如图8和其他所示)可以包括用第二配体置换第一配体,其中例如第二配体包括适于参与随后的交联反应的活化配体。还应注意,上文提供的讨论和示例可同样适用于图3-48所示的各种多金属配合物类型,以及图49-56的多金属配合物。
现参照图49-56,其中示出了用于光刻胶层206的多金属配合物的另外的实施例。在一些情况下,图49-56所示的示例可以包括图3-48的示例的各个实施例。如上面类似地指出的,虽然图49-56的示例中示出的反应显示为由EUV辐射催化,但在一些情况下,反应可响应于其他类型的辐射,例如DUV、电子束、X射线、离子束或其他类型的辐射。如前所述,本公开的实施例可以包括非CAR多金属EUV光刻胶。在一些光刻胶的实施例中,Ru用作通过配位化学键与两个高EUV吸收金属Sb结合的中心。在一些情况下,苯乙烯将连接到Sb或Ru用于交联反应。在一些实施例中,另外的苯乙烯使得交联反应更有效。在一些情况下,Sb阴离子可用于通过含有环戊二烯基和Sb(R)3配体的阳离子钌亚乙烯基配合物增加EUV吸收。图49-56特别示出了根据各个实施例的含Ru和Sb的光刻胶配合物。此外,图49-56示出了示例性反应(例如,其可以包括烯烃、环丙烷等的反应),并且其中由EUV吸收产生的二次电子可以通过化学键和空间转移。
现在讨论用于光刻胶层206的各种光刻胶组合物和多金属配合物的其他细节以及可以根据本公开的实施例制造的各种方法和结构(例如,结构200的材料)的其他方面的额外细节。
例如,本公开的一些实施例提供了一种形成半导体图案的方法,其中该方法包括在半导体衬底上形成第一材料层,在第一材料层的顶部上形成第二材料层(例如,其中第二材料层是硅硬掩模),在第二材料层的顶部上形成第三材料层(例如,其中第三材料层是光刻胶),以及通过光刻工艺形成光刻胶图案。在一些示例中,光刻胶图案可以在随后的蚀刻工艺中用作蚀刻掩模,例如用于将图案化的光刻胶层的图案转印到下面的材料层(例如,以形成半导体图案)。或者,光刻胶图案可以在随后施加到下面的材料层的离子注入工艺中用作离子注入掩模。在一些实施例中,与硅硬掩模和光刻胶相比,第一材料层具有不同的光学性质。在一些情况下,与光刻胶相比,第一材料层具有实质上不同的n、k或T值。在各个实施例中,第一材料层包括不同的聚合物结构、酸不稳定分子、光致产酸剂(PAG)负载、淬灭剂负载、发色团、交联剂或溶剂中的至少一种,其导致与光刻胶不同的n值。在一些实施例中,第一材料层、第二材料层(例如,硅硬掩模)和光刻胶具有不同的耐蚀刻性。在一些情况下,第一材料层或光刻胶包含至少一个耐蚀刻分子。例如,耐蚀刻分子可以包括低onishi数结构、双键、三键、硅、氮化硅、Ti、TiN、Al、氧化铝或SiON等。在一些实施例中,半导体衬底基本上是导电的或半导电的,其电阻小于103欧姆米。在一些示例中,半导体衬底可以包括金属、金属合金和/或具有式MXa的金属氮化物/硫化物/硒化物/氧化物/硅化物,其中M是金属,X是N、S、Se、O或Si,a=0.4~2.5。例如,Ti、Al、Co、Ru、TiN、WN2、TaN。在一些实施例中,半导体衬底包括介电常数在约1-40的范围内的电介质。在各个实施例中,半导体衬底根据式MXb可以包括Si、金属氧化物和金属氮化物,其中M是金属或Si,X是N或O,b=0.4~2.5。例如,SiO2、氮化硅、氧化铝、氧化铪、氧化镧。
另外,在一些示例中,本公开的实施例提供了一种形成半导体图案的方法,其中该方法包括在半导体衬底上形成第一材料层,在第一材料层的顶部上形成第二材料层(例如,其中第二材料层是硅硬掩模),在第二材料层的顶部上形成第三材料层(例如,其中第三材料层是光刻胶),以及通过光刻形成光刻胶图案。在一些示例中,光刻胶图案可以在随后的蚀刻工艺中用作蚀刻掩模,例如用于将图案化的光刻胶层的图案转印到下面的材料层(例如,以形成半导体图案)。或者,光刻胶图案可以在随后施加到下面的材料层的离子注入工艺中用作离子注入掩模。在一些示例中,第二材料层可以包括含硅聚合物、交联剂、发色团、PAG、淬灭剂(碱)、氟添加剂和/或溶剂。在一些实施例中,与第一材料层和光刻胶相比,第二材料层可以具有不同的光学性质。在一些情况下,与第一材料层和光刻胶相比,第二材料层具有基本上不同的n、k或T值。在各个实施例中,第二材料层可以包括不同的含硅聚合物结构、酸不稳定分子、PAG负载、淬灭剂负载、发色团、交联剂和/或溶剂中的至少一种,其可导致与光刻胶相比不同的n值。在一些实施例中,第一材料层、第二材料层(例如,硅硬掩模)和光刻胶具有不同的耐蚀刻性。在一些情况下,第二层包括至少一个耐蚀刻分子。例如,耐蚀刻分子可以包括低onishi数结构、双键、三键、硅、氮化硅、Ti、TiN、Al、氧化铝或SiON等。
此外,本公开的实施例提供了一种用于形成半导体图案的方法,其中该方法包括在半导体衬底上形成第一材料层,在第一材料层的顶部上形成第二材料层(例如,其中第二材料层是硅硬掩模),在第二材料层的顶部上形成第三材料层(例如,其中第三材料层是光刻胶),以及通过光刻形成光刻胶图案。在一些示例中,光刻胶图案可以在随后的蚀刻工艺中用作蚀刻掩模,例如用于将图案化的光刻胶层的图案转印到下面的材料层(例如,以形成半导体图案)。或者,光刻胶图案可以在随后施加到下面的材料层的离子注入工艺中用作离子注入掩模。在一些情况下,光刻胶可以包括聚合物、金属配合物、多金属配合物、PAG、淬灭剂(碱)和/或溶剂。在一些示例中,多金属光刻胶是负性光刻胶。例如,负性多金属光刻胶配合物可以包括至少一个可交联基团或反应性位点和至少两种不同种类的金属。在一些实施例中,一些金属(例如,多金属光刻胶的)将在光学曝光后吸收EUV光子,而其他金属将增强EUV吸收或活化可交联基团或反应性位点。在一些情况下,一些金属还可以帮助产生更多的二次电子并且用作吸收体和反应性位点之间的连通体。在各个实施例中,激发的(例如,EUV曝光的)金属配合物将催化交联反应。在各种示例中,在金属配合物交联之后,金属配合物可以变成非常大(巨大)的聚合物。在一些实施例中,在聚合物变成较大MW的聚合物之后,聚合物可能不能被有机溶剂例如乙酸正丁酯或水溶液溶解。
在一些实施例中,多金属配合物是有机金属配合物。在一些情况下,多金属配合物具有至少一种能够吸收EUV光的金属原子(例如,第二金属'M2'),例如Ce、La、Sb、Bi、Pb、Hf、Zr、Ti、Cr、W、Mo、Fe、Ru、Os、Co、Rh、Ir、Ni、Pd、Pt、Cu、Ag、Au、Zn、Cd、Al、Ga、Tl、Ge、Sn、Pb和/Bi。在一些示例中,多金属配合物具有至少一种能够增强EUV吸收或活化可交联基团或反应位点(例如,第一金属'M1')并且还可以帮助产生更多的二次电子并充当吸收体和反应性位点之间的连通体的金属原子,诸如Ce、La、Sb、Bi、Pb、Hf、Zr、Ti、Cr、W、Mo、Fe、Ru、Os、Co、Rh、Ir、Ni、Pd、Pt、Cu、Ag、Au、Zn、Cd、Al、Ga、Tl、Ge、Sn、Pb和/Bi。在一些实施例中,光刻胶可以进一步包括表面活性剂、发色团和/或交联剂。举例来说,多金属配合物具有约100~10000的分子量(MW)。在一些实施例中,该MW值可以对应于上述“较大MW的聚合物”。
本公开的又一些实施例提供了一种用于形成半导体图案的方法,其中该方法包括在半导体衬底上形成第一材料层,在第一材料层的顶部上形成第二材料层(例如,其中第二材料层是硅硬掩模),在第二材料层的顶部上形成第三材料层(例如,其中第三材料层是光刻胶),以及通过光刻形成光刻胶图案。在一些示例中,光刻胶图案可以在随后的蚀刻工艺中用作蚀刻掩模,例如用于将图案化的光刻胶层的图案转印到下面的材料层(例如,以形成半导体图案)。或者,光刻胶图案可以在随后施加到下面的材料层的离子注入工艺中用作离子注入掩模。在一些实施例中,光刻胶包括至少聚合物、金属配合物、多金属配合物、PAG、淬灭剂(碱)和/或溶剂。在一些情况下,用于光刻胶中的多金属配合物含有交联基团,其中交联基团可以是有机的、无机的或有机/无机基团的共混物。在一些实施例中,有机和无机交联基团可以通过酸催化反应在约80℃至约300℃下在曝光区域中交联。在一些示例中,该结构(例如,交联基团)可以包括非环状结构和/或环状结构,并且环状结构可以是芳族环和非芳族环。在一些示例中,该结构可含有官能化基团,例如-I、-Br、-Cl、-NH2、-COOH、-OH、-SH、-N3、-S(=O)-、烯烃、炔烃、亚胺、醚、乙烯基醚、缩醛、半缩醛、酯、醛、酮、酰胺、砜、乙酸、氰化物和/丙二烯。在一些情况下,交联基团是光敏基团,并且交联键可以通过曝光区域中的辐射工艺形成。交联基团可以包括具有至少一个光敏官能团如环氧基、偶氮化合物、烷基卤、亚胺、烯烃、炔烃、过氧化物、酮、醛、丙二烯、芳族基团或杂环基团的C3-C20烷基。在一些实施例中,芳族结构可以包括苯基、萘基、菲基、蒽基、非那烯基(phenalenyl)和/或含有一至五元环的其他芳族衍生物。在一些实施例中,可以交联(例如,暴露于EUV光下)的多金属配合物包含螯合配体。例如,螯合配体可以是特定类型的,例如M-OR、M-OOCR、M-OC(=O)OR、M-Cl、M-Br、M-NR3、M-PR3、M-AsR3、M-SbR3、M-CN、M-SR、MC(=O)R、MN(R)-C(=O)R、M-CR=CRR、MR、EDTA、二齿、三齿、六齿和/或多齿配体。
本文所述的各个实施例提供了优于现有技术的几个优点。将理解,并非所有的优点都必须在本文中讨论,没有特别的优点是所有实施例必需的,并且其他实施例可以提供不同的优点。作为一个示例,本文讨论的实施例包括通过使用光刻光源(例如,EUV光)更有效地改善光刻胶性能的方法。例如,在一些实施例中,提供非CAR多金属光刻胶,其中多金属光刻胶提供改善的光吸收(例如,EUV光吸收),同时还增加多金属光刻胶的蚀刻选择性。在一些实施例中,多金属配合物用作光刻胶中心以增加光刻胶的EUV吸收。此外,本文公开的实施例提供了包含多于一个EUV吸收金属原子(例如,多于一个Sb原子)的光刻胶组合物,以增加非CAR多金属EUV光刻胶的EUV光吸收,从而提高光刻胶的敏感性。特别是,如上所述,本发明提供了用于光刻胶形成(例如,EUV光刻胶形成)的至少五种类型的多金属配合物。在一些实施例中,多金属配合物包括彼此连接的金属原子。在一些情况下,多金属配合物包括通过离子键彼此连接的金属原子。在一些实施例中,多金属配合物包括彼此连接的一些金属原子和通过配体分离的一些金属原子。在一些情况下,多金属配合物包括通过配体分离的所有金属原子。如上所述,本文公开的实施例的至少一些优点包括EUV光刻胶敏感性的提高和高蚀刻选择性。此外,在一些实施例中,通过EUV吸收产生的二次电子可以通过化学键和空间转移,进一步改善本文公开的多金属配合物的效力。因此,本公开的实施例有助于克服至少一些当前光刻胶组合物和方法的多种缺点。
因此,本公开的实施例之一描述了一种方法,其包括提供衬底并在衬底上形成光刻胶层。在各个实施例中,光刻胶层包括包含极紫外(EUV)吸收元件和桥接元件的多金属配合物。举例来说,EUV吸收元件包括第一金属类型,桥接元件包括第二金属类型。在一些实施例中,对光刻胶层执行曝光工艺。在执行曝光工艺之后,曝光的光刻胶层被显影以形成图案化的光刻胶层。
在另一实施例中,讨论了一种方法,其中在半导体衬底上沉积第一材料层。此后,在一些实施例中,在第一材料层上形成第二材料层。在一些情况下,第二材料层包括硅硬掩模。在各种示例中,然后在第二材料层上形成第三材料层,其中第三材料层包括光刻胶。在一些实施例中,光刻胶包括多金属配合物。在一些实施例中,然后例如通过光刻工艺形成光刻胶图案。
在另一个实施例中,讨论了一种半导体器件制造的方法,包括提供衬底并在衬底上方形成光刻胶层,其中光刻胶层包括多金属配合物。在各个实施例中,多金属配合物包括第一金属类型和第二金属类型,其中第一金属类型包括极紫外(EUV)吸收元件,第二金属类型包括桥接元件。在一些情况下,对光刻胶层执行曝光工艺,其中曝光工艺使用EUV光源执行,并且通过包括电路图案的中间掩模投射到光刻胶层上。在一些情况下,电路图案包括一个或多个FinFET器件电路图案。在各个实施例中,在执行曝光工艺之后,对曝光的光刻胶层显影以形成图案化的光刻胶层,其中图案化的光刻胶层包括电路图案。
根据本发明的一些实施例,提供了一种制造半导体器件的方法,包括:提供衬底;在所述衬底上方形成光刻胶层,其中,所述光刻胶层包括包含极紫外(EUV)吸收元件和桥接元件的多金属配合物,并且其中,所述极紫外吸收元件包括第一金属类型,所述桥接元件包括第二金属类型;对所述光刻胶层执行曝光工艺;以及在执行所述曝光工艺之后,显影曝光的所述光刻胶层以形成图案化的光刻胶层。
在上述方法中,所述极紫外吸收元件包括所述第一金属类型的多个原子。
在上述方法中,所述桥接元件执行可交联基团或反应位点的EUV吸收增强和活化中的至少一种。
在上述方法中,所述极紫外吸收元件和所述桥接元件中的至少一个用于产生用来活化可交联基团或反应性位点的二次电子。
在上述方法中,所述极紫外吸收元件包括至少两个锑原子,并且其中,所述桥接元件包括桥接所述至少两个锑原子的钌原子。
在上述方法中,所述桥接元件充当两个极紫外吸收元件原子之间、极紫外吸收元件原子和配体之间和/或两个配体之间的桥。
在上述方法中,还包括:作为对所述光刻胶层执行曝光处理的结果,将所述多金属配合物交联到另一多金属配合物。
在上述方法中,还包括:在形成所述图案化的光刻胶层之后,通过所述图案化的光刻胶层的开口对所述衬底执行制造工艺。
根据本发明的另一些实施例,还提供了一种制造半导体器件的方法,包括:在半导体衬底上沉积第一材料层;在所述第一材料层上形成第二材料层,其中,所述第二材料层包括硅硬掩模;在所述第二材料层上形成第三材料层,其中,所述第三材料层包括光刻胶,并且其中,所述光刻胶包括多金属配合物;和通过光刻工艺形成光刻胶图案。
在上述方法中,所述第一材料层包括与所述光刻胶相比不同的聚合物结构、酸不稳定分子、光致产酸剂(PAG)负载、淬灭剂负载、发色团、交联剂和溶剂中的至少一种。
在上述方法中,所述第一材料层、所述第二材料层和所述光刻胶具有不同的耐蚀刻性。
在上述方法中,所述第一材料层和所述光刻胶中的至少一种包含至少一个耐蚀刻分子,所述耐蚀刻分子包括低onishi数结构、双键、三键、硅、氮化硅、Ti、TiN、Al、氧化铝和SiON中的至少一种。
在上述方法中,所述第二材料层包括含硅聚合物、交联剂、发色团、PAG、淬灭剂(碱)、氟添加剂和溶剂中的一种或多种。
在上述方法中,所述第二材料层包括与所述光刻胶相比不同的含硅聚合物结构、酸不稳定分子、PAG负载、淬灭剂负载、发色团、交联剂和溶剂中的至少一种。
在上述方法中,所述光刻胶进一步包括聚合物、金属配合物、PAG、淬灭剂(碱)和溶剂中的一种或多种。
在上述方法中,所述光刻胶是负性光刻胶,并且其中,所述负性光刻胶包括可交联基团和反应性位点中的至少一种,以及至少两种不同种类的金属。
根据本发明的又一些实施例,还提供了一种制造半导体器件的方法,包括:提供衬底;在所述衬底上方形成光刻胶层,其中,所述光刻胶层包括第一金属类型和第二金属类型的多金属配合物,并且其中,所述第一金属类型包含极紫外(EUV)吸收元件,和所述第二金属类型包含桥接元件;对所述光刻胶层执行曝光工艺,其中,所述曝光工艺使用极紫外光源执行,并且通过包括电路图案的中间掩模投射到所述光刻胶层上;和在执行所述曝光工艺之后,显影曝光的光刻胶层以形成图案化的光刻胶层,其中,所述图案化的光刻胶层包括所述电路图案。
在上述方法中,所述多金属配合物包括交联基团,并且其中,所述交联基团是有机基团、无机基团或有机/无机基团的共混物。
在上述方法中,所述多金属配合物包括一种或多种配体类型的螯合配体,所述配体类型包括M-OR、M-OOCR、M-OC(=O)OR、M-Cl、M-Br、M-NR3、M-PR3、M-AsR3、M-SbR3、M-CN、M-SR、MC(=O)R、M-N(R)-C(=O)R、M-CR=CRR、M-R、EDTA、二齿、三齿、六齿和/或多齿。
在上述方法中,所述电路图案包括一个或多个FinFET器件电路图案。
前文概述了几个实施例的特征,使得本领域技术人员可以更好地理解本公开的方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改用于实现本文所介绍的实施例的相同目的和/或实现相同优点的其他工艺和结构的基础。本领域技术人员还应当认识到,这样的等同结构不脱离本公开的精神和范围,并且在不脱离本公开的精神和范围的情况下,他们可以进行各种改变、替换和变更。

Claims (1)

1.一种制造半导体器件的方法,包括:
提供衬底;
在所述衬底上方形成光刻胶层,其中,所述光刻胶层包括包含极紫外(EUV)吸收元件和桥接元件的多金属配合物,并且其中,所述极紫外吸收元件包括第一金属类型,所述桥接元件包括第二金属类型;
对所述光刻胶层执行曝光工艺;以及
在执行所述曝光工艺之后,显影曝光的所述光刻胶层以形成图案化的光刻胶层。
CN201710140003.9A 2016-03-18 2017-03-10 材料组合物及其方法 Pending CN107204281A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662310421P 2016-03-18 2016-03-18
US62/310,421 2016-03-18
US15/400,842 2017-01-06
US15/400,842 US10825684B2 (en) 2016-03-18 2017-01-06 Material composition and methods thereof

Publications (1)

Publication Number Publication Date
CN107204281A true CN107204281A (zh) 2017-09-26

Family

ID=59847181

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710140003.9A Pending CN107204281A (zh) 2016-03-18 2017-03-10 材料组合物及其方法

Country Status (3)

Country Link
US (1) US10825684B2 (zh)
CN (1) CN107204281A (zh)
TW (1) TW201734667A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114488718A (zh) * 2022-02-22 2022-05-13 南京大学 一种基于负性光刻胶极紫外光曝光的方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10381481B1 (en) 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist
US10845704B2 (en) * 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
JP6950662B2 (ja) * 2018-10-30 2021-10-13 信越化学工業株式会社 基板保護膜形成用材料及びパターン形成方法
US11720022B2 (en) 2019-02-12 2023-08-08 Samsung Electronics Co., Ltd. Resist compound, method of forming pattern using the same, and method of manufacturing semiconductor device using the same
JP2022533126A (ja) * 2019-05-16 2022-07-21 ラム リサーチ コーポレーション 二次電子発生のために様々な平均自由行程を有する介在層または多層積層を用いる極端紫外線(euv)リソグラフィ
TW202424665A (zh) 2019-06-26 2024-06-16 美商蘭姆研究公司 利用鹵化物化學品的光阻顯影
US11176995B2 (en) * 2019-07-18 2021-11-16 International Business Machines Corporation Cross-point array of polymer junctions with individually-programmed conductances
CN114223050A (zh) 2019-08-01 2022-03-22 应用材料公司 图案化金属氧化物光刻胶的剂量减量
US11651961B2 (en) 2019-08-02 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning process of a semiconductor structure with enhanced adhesion
US11681221B2 (en) * 2019-08-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
US11550220B2 (en) * 2019-10-31 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Negative tone photoresist for EUV lithography
CN115516603A (zh) * 2020-03-10 2022-12-23 富士胶片电子材料美国有限公司 金属沉积方法
TWI781629B (zh) * 2020-05-22 2022-10-21 台灣積體電路製造股份有限公司 半導體裝置的製造方法
US11942322B2 (en) * 2020-05-22 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and pattern formation method
CN115699255A (zh) * 2020-07-02 2023-02-03 应用材料公司 用于光刻应用的光刻胶层上的碳的选择性沉积
US20220334474A1 (en) * 2021-04-16 2022-10-20 Samsung Electronics Co., Ltd. Photoresist composition and method of fabricating semiconductor device
US20230154750A1 (en) 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co.,Ltd. Photoresist and Method
US20230280644A1 (en) * 2022-03-03 2023-09-07 International Business Machines Corporation Method of making euv mask with an absorber layer

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US9442365B2 (en) * 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Mask for extreme ultraviolet lithography and method of fabricating same
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
GB201413924D0 (en) * 2014-08-06 2014-09-17 Univ Manchester Electron beam resist composition
US10741410B2 (en) * 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114488718A (zh) * 2022-02-22 2022-05-13 南京大学 一种基于负性光刻胶极紫外光曝光的方法

Also Published As

Publication number Publication date
US10825684B2 (en) 2020-11-03
TW201734667A (zh) 2017-10-01
US20170271150A1 (en) 2017-09-21

Similar Documents

Publication Publication Date Title
CN107204281A (zh) 材料组合物及其方法
TWI827718B (zh) 微影圖案化之方法
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
CN108807150B (zh) 半导体装置的制作方法
CN107153326A (zh) 用于光刻图案化的方法
TWI706224B (zh) 微影圖案化方法與光阻
CN106325002B (zh) 光刻技术显影成分及用于光刻技术图案化的方法
CN110416068A (zh) 半导体装置的形成方法
US11809080B2 (en) Extreme ultraviolet photoresist with high-efficiency electron transfer
CN108231548B (zh) 半导体装置的制作方法
US11003076B2 (en) Extreme ultraviolet photoresist and method
CN108231550B (zh) 半导体装置的制作方法
TWI761987B (zh) 負光阻及其顯影的方法
CN110941148B (zh) 半导体装置的制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20170926

WD01 Invention patent application deemed withdrawn after publication