TWI477797B - Test module generation device, test sequence generation device, generation method, program and test device - Google Patents

Test module generation device, test sequence generation device, generation method, program and test device Download PDF

Info

Publication number
TWI477797B
TWI477797B TW101139825A TW101139825A TWI477797B TW I477797 B TWI477797 B TW I477797B TW 101139825 A TW101139825 A TW 101139825A TW 101139825 A TW101139825 A TW 101139825A TW I477797 B TWI477797 B TW I477797B
Authority
TW
Taiwan
Prior art keywords
test
module
condition
test module
unit
Prior art date
Application number
TW101139825A
Other languages
English (en)
Other versions
TW201333504A (zh
Inventor
Hiroya Korogi
Kuniaki Isohata
Naohiro Fujikake
Takuya Toyoda
Original Assignee
Advantest Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advantest Corp filed Critical Advantest Corp
Publication of TW201333504A publication Critical patent/TW201333504A/zh
Application granted granted Critical
Publication of TWI477797B publication Critical patent/TWI477797B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/263Generation of test inputs, e.g. test vectors, patterns or sequences ; with adaptation of the tested hardware for testability with external testers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Description

試驗模組生成裝置、試驗次序生成裝置、生成方法、程式及試驗裝置
本發明關於試驗模組生成裝置、試驗次序生成裝置、生成方法、程式及試驗裝置。
以往,在利用試驗裝置來試驗被試驗元件之場合,使用者是使用該試驗裝置用的程式語言,作成試驗所對應的試驗程式,且將試驗程式除錯後才在試驗中使用(例如,參照專利文獻1)。
專利文獻1:日本特開2006-323706號公報
然而,要作成這樣的試驗程式,使用者必須具有試驗裝置的硬體規格和試驗裝置用的程式語言的知識、及程式設計經驗等,想簡單地作成試驗程式是有困難的。
在本發明的第一態樣中,提供一種試驗模組生成裝置、試驗次序生成裝置、生成方法、程式及試驗裝置,該試驗模組生成裝置,是生成被試驗元件的試驗模組之試驗模組生 成裝置,該試驗模組生成裝置具備:條件檔案生成部,其被輸入試驗條件,以生成用以定義已被輸入的試驗條件之條件檔案;試驗方式記憶部,其記憶試驗方式;試驗方式選擇部,其從使用者接收應該生成的試驗模組所對應的試驗方式的選擇指示;條件檔案選擇部,其從使用者接收已被選擇的試驗方式所要求的參數所對應的條件檔案的選擇指示;及試驗模組生成部,其生成試驗模組,該試驗模組利用由已被選擇的條件檔案所定義的參數,來實行已被選擇的試驗方式所對應的試驗。
另外,上述的發明概要,並非將本發明的必要特徵全部列舉,又,這些特徵群的子組合,也能作為發明。
10‧‧‧試驗模組
20‧‧‧試驗次序
30‧‧‧被試驗元件
100‧‧‧試驗模組生成裝置
110‧‧‧條件檔案生成部
112‧‧‧編輯部
120‧‧‧條件檔案記憶部
122‧‧‧條件檔案
130‧‧‧試驗方式記憶部
132‧‧‧試驗方式
140‧‧‧試驗方式選擇部
150‧‧‧條件檔案選擇部
160‧‧‧試驗模組生成部
200‧‧‧試驗次序生成裝置
210‧‧‧試驗模組記憶部
220‧‧‧表格表示部
230‧‧‧試驗指定部
300‧‧‧試驗流程表格
312‧‧‧表示模式切換部
314‧‧‧行追加/刪除部
316‧‧‧行操作部
320‧‧‧實行指定列
322‧‧‧核取方塊
330‧‧‧試驗模組列
332‧‧‧下拉式清單
342‧‧‧後處理指定列
344‧‧‧分歧條件指定列
346‧‧‧條件成立時分歧去處指定列
348‧‧‧條件不成立時分歧去處指定列
350‧‧‧試驗方式列
360‧‧‧試驗條件列
500‧‧‧試驗裝置
510‧‧‧試驗次序記憶部
520‧‧‧試驗程式生成部
530‧‧‧試驗程式記憶部
540‧‧‧試驗實行部
1900‧‧‧電腦
2000‧‧‧CPU
2010‧‧‧ROM
2020‧‧‧RAM
2030‧‧‧通信介面
2040‧‧‧硬碟機
2050‧‧‧軟碟機
2060‧‧‧DVD驅動器
2070‧‧‧輸入輸出晶片
2075‧‧‧圖形控制器
2080‧‧‧顯示裝置
2082‧‧‧主機控制器
2084‧‧‧輸入輸出控制器
2090‧‧‧軟碟
2095‧‧‧DVD-ROM
第1圖是一起表示關於本實施形態之試驗模組生成裝置100的構成例和試驗模組10。
第2圖是表示關於本實施形態之試驗模組生成裝置100的動作流程。
第3圖是一起表示關於本實施形態之試驗次序生成裝置200的構成例和試驗次序20。
第4圖是表示關於本實施形態之試驗流程表格300的第一構成例。
第5圖是表示關於本實施形態之試驗流程表格300的第二構成例。
第6圖是一起表示關於本實施形態之試驗裝置500的構成例和被試驗元件30。
第7圖是表示電腦1900的硬體構成的一例。
以下,雖然透過發明的實施形態來說明本發明,但是以下實施形態並非用以限定關於發明的申請專利範圍,又,並非所有的在實施形態中說明的特徵的組合都是發明所必要的解決手段。
第1圖是表示關於本實施形態之試驗模組生成裝置100的構成例。試驗模組生成裝置100,為了試驗被試驗元件而生成在試驗裝置上實行的試驗模組10。
試驗模組生成裝置100,例如,將各種的試驗條件,組合至試驗方式132,以生成各種的試驗模組10,該試驗方式132,是對於被試驗元件的條件設定、或被稱為測定方法這樣的已被定型化的演算法。試驗模組生成裝置100,具備:條件檔案生成部110、條件檔案記憶部120、試驗方式記憶部130、試驗方式選擇部140、條件檔案選擇部150、及試驗模組生成部160。
條件檔案生成部110,被輸入試驗條件,以生成用以定義已被輸入的試驗條件之條件檔案122。此處,試驗條件,例如是電源電壓值、測定對象接腳、電壓測定範圍、及通過速率(through rate)等之試驗實行所使用的設定值。條件檔案生成部110,使用編輯部112,讓使用者輸入試驗條件,以生成條件檔案122。
編輯部112,是對應使用者所輸入的試驗條件以生成條件檔案122之圖形使用者介面(GUI)工具。例如,編輯部 112,一起表示「電源電壓值」、「電壓測定範圍」、或「通過速率」等設定項目和輸入值的單位、及輸入控制器或輸入成分(component),且藉由使用者輸入的數值來輸入試驗條件。
又,編輯部112,使用選擇鈕、核取方塊、浮動塊、旋轉式按鈕、列表盒、下拉式清單、及文字盒等GUI,以便使用者輸入試驗條件。又,編輯部112,可以依照使用者的指定而讀出過去已生成的條件檔案122,以藉由使用者的輸入來編輯試驗條件。
在條件檔案生成部110中,具備有複數個編輯部112,以對應於生成的條件檔案122。例如,編輯部112a,作為電源供給條件編輯部進行動作以生成條件檔案122a,該條件檔案122a,記載有將電源供給至被試驗元件之場合的電壓值、電流值、及極限值等電源供給條件。例如,編輯部112b,作為接腳條件編輯部進行動作以生成條件檔案122b,該條件檔案122b,記載有被試驗元件的各個信號接腳的連接等接腳條件。例如,編輯部112c,作為電源程序(sequence)條件編輯部進行動作以生成條件檔案122c,該條件檔案122c,記載有將信號電壓供給至被試驗元件之程序等電源程序條件。
又,條件檔案122,可以對應於試驗以生成複數個條件檔案122。例如,作為電源供給條件編輯部進行動作之編輯部112a,生成記載有電源供給條件之條件檔案122a、122d、122e等。
條件檔案記憶部120,記憶編輯部112所生成的條件檔案122。條件檔案記憶部120,可以是記憶資料之記憶體 裝置,也可以是外部記憶裝置等。
試驗方式記憶部130,記憶試驗方式132。試驗方式記憶部130,可以記憶預先生成的複數個試驗方式132。試驗方式記憶部130,可以是記憶資料之記憶體裝置,也可以是外部記憶裝置等。
試驗方式132,指定基本試驗程式的呼叫順序。又,試驗方式132,包含:定義部,其記載有試驗條件的定義;變換部,其記載有定義部所定義的試驗條件與該試驗條件應該設定的硬體模組之對應關係;及實行處理部,其記載有該試驗方式132中的試驗的程序。
試驗方式132,記載有條件檔案122的條件設定與硬體模組的條件設定之對應關係。藉此,試驗方式132,能夠將在條件檔案122已記載的使用者想要實行的試驗條件,變換成試驗裝置的硬體模組的設定。
又,試驗方式132,一起記載基本試驗程式的呼叫順序也就是試驗程序、及條件檔案122的條件設定與硬體模組的條件設定之對應關係。藉此,使用者能夠藉由組合條件檔案122和試驗方式132,利用使用者輸入的試驗條件來實行該試驗方式132的實行處理部已記載的程序。
亦即,使用者,不須意識到試驗條件與硬體模組的條件設定之對應關係,便能夠輸入該試驗條件。試驗方式132,記載有程序,該程序,依序呼叫基本試驗程式,以實行對於被試驗元件的條件設定的程序、或被試驗元件的測定方法等。
例如,試驗方式132a,記載有程序,以實行使被試驗元件的全部的信號接腳開路(open),以利用條件檔案122a已指定的電源供給條件來進行電壓測定處理;和如果測定結果是在條件檔案122a已設定的極限範圍內就合格(pass),如果是在極限範圍外就淘汰(fail)。
又,例如,試驗方式132b,記載有程序,以實行將條件檔案122d已指定的電源供給條件的電源電壓,施加至被試驗元件之處理;依照條件檔案122c的電源程序條件,將信號電壓施加至條件檔案122b已記載的接腳條件所指定的信號接腳之處理;和利用條件檔案122d已指定的極限值來判斷測定結果的合格/淘汰判定之處理。這樣,試驗方式132,是以沒有記載試驗條件的設定值之方式,來記載已定型化的試驗的程序。
試驗方式選擇部140,從使用者接收應該生成的試驗模組10所對應的試驗方式132的選擇指示。試驗方式選擇部140,可以將試驗方式記憶部130已記憶的複數個試驗方式132所附加的檔名或標籤等一覽表示,以便使用者選擇試驗方式132。
條件檔案選擇部150,從使用者接收已被選擇的試驗方式132要求的參數所對應的條件檔案122的選擇指示。此處,條件檔案選擇部150,可以將試驗方式132對應的複數個條件檔案122所附加的檔名或標籤等一覽表示,以便使用者選擇條件檔案122。例如,在試驗方式132a使用電源供給條件作為條件檔案122之場合,條件檔案選擇部150, 將記載有電源供給條件之條件檔案122a、122d、122e等一覽表示,以便使用者進行選擇指示。
又,在試驗方式132b,使用電源供給條件、接腳條件、及電源程序條件來作為條件檔案122之場合,條件檔案選擇部150,可以將記載有各個試驗條件之條件檔案122,以每個試驗條件一覽表示的方式,以便使用者進行選擇指示。此時,使用者可以針對試驗方式132a所對應的條件檔案122a進行選擇指示,亦可針對試驗方式132b所對應的條件檔案122b、122c、122d進行選擇指示。
試驗模組生成部160生成試驗模組10,該試驗模組10,利用由已被選擇的條件檔案122所定義的參數,實行已被選擇的試驗方式132所對應的試驗。例如,試驗模組生成部160生成試驗模組10,該試驗模組10,利用條件檔案122a來實行試驗方式132a,接著,利用條件檔案122b、122c、及122d來實行試驗方式132b。
第2圖是表示關於本實施形態之試驗模組生成裝置100的動作流程。首先,條件檔案生成部110,被使用者輸入應該實行試驗的試驗條件,來生成記載有試驗條件之條件檔案(S200)。在此,使用者使用已對應於條件檔案之編輯部112的GUI來輸入試驗條件。
接著,試驗模組生成部160,使用試驗方式選擇部140,將應該實行試驗所對應的試驗方式132,供使用者進行選擇(S210)。此處,試驗模組生成部160,在使用者於已被選擇的前述試驗方式內132內指定要進行參數輸入之場合,讓 該使用者輸入參數。
藉此,試驗模組生成部160,能夠讓使用者輸入在條件檔案122中沒有記載的例如被試驗元件的測定對象接腳等的參數。此時,試驗模組生成裝置100,不是針對每個測定對象接腳來生成條件檔案122,而是有效率的生成對於被試驗元件的不同的複數個接腳實行約略相同實驗之試驗模組10。
又,試驗模組生成部160,在參數輸入的輸入畫面中的詳細按鈕被壓下時,表示設定畫面,以設定關於對應的硬體模組之其他參數。這樣,試驗模組生成部160,在使用者想要操作詳細的參數之場合,利用詳細按鈕的壓下而表示設定詳細參數的畫面。因此,試驗模組生成部160,能夠在不讓使用者意識到詳細參數的情況下生成試驗模組10。此場合,試驗模組生成部160,可以將詳細參數設定成預定值。
接著,試驗模組生成部160,使用條件檔案選擇部150,將使用者選擇的試驗方式132所對應的條件檔案122,供使用者進行選擇(S220)。亦即,試驗模組生成部160,在使用者於已被選擇的前述試驗方式132內指定要進行檔案輸入之場合,讓該使用者指定條件檔案122。
試驗模組生成部160,重複進行步驟S210至步驟S220,直到使用者對於應該生成的試驗模組10所包含的試驗方式132之選擇結束為止(S230)。試驗模組生成部160,在使用者對於試驗方式132的選擇,和已選擇的試驗方式132所對應的條件檔案122的指定結束之後,生成試驗模組10(S240)。
這樣,使用者,選擇想要實行的試驗所對應的試驗方式132、及利用與已選擇的試驗方式132的對應關係來生成試驗方式132的試驗條件也就是條件檔案122,藉此生成能夠在試驗裝置中實行該試驗方式132所記載的試驗之試驗模組10。因此,使用者,不須意識到試驗裝置的硬體式樣及試驗裝置用的程式語言等,就能夠生成試驗模組10。
試驗模組生成裝置100,能夠生成試驗模組10來實行例如試驗被試驗元件的電性連接之接觸試驗、待機時的電源電流試驗、動作時的電源電流試驗、輸入電流試驗、輸出電流試驗、功能試驗、及時序參數試驗等。又,試驗模組生成裝置100,也能生成試驗模組10,來實行特殊的試驗。此場合,試驗模組生成裝置100,可以藉由使用者的輸入來生成特殊的試驗方式132。
亦即,使用者,能夠藉由一同記載基本試驗程式的呼叫順序也就是試驗程序、及條件檔案122的條件設定與硬體模組的條件設定之對應關係,以生成試驗方式132。這樣,對於懂得試驗程式的程式設計之使用者,能夠使用試驗模組生成裝置100來生成試驗方式132,以生成能夠實行特殊的試驗之試驗模組10。
第3圖表示關於本實施形態之試驗次序生成裝置200的構成例。試驗次序生成裝置200,生成被試驗元件的試驗次序20。此處,試驗次序20,將複數個試驗模組10作為一個試驗流程來進行管理。試驗次序生成裝置200,具備在第1圖及第2圖說明的試驗模組生成裝置100、試驗模組記憶部 210、表格表示部220、及試驗指定部230。
試驗模組記憶部210,記憶試驗模組生成裝置100所生成的試驗模組10。試驗模組記憶部210,可以記憶已預先生成的複數個試驗模組10。試驗模組記憶部210,可以是記憶資料之記憶體裝置,也可以是外部記憶裝置。
表格表示部220,將試驗次序20中所包含的試驗模組10分配至各行(橫行,以下簡稱為「行」),以表示試驗流程表格。表格表示部220,可以將該試驗模組10、試驗模組10所包含的試驗方式132、和與該試驗方式132組合之條件檔案122,一起分配至在試驗流程表格中分配給該試驗模組10之行,以進行表示。
試驗指定部230,對應於已接收的在試驗流程表格中指定試驗模組10之試驗指定列的操作,而將試驗模組10的名稱表示在試驗流程表格的檢索對象的單元格(cell)中。此處,試驗模組10的名稱,可以是試驗模組10被給予的檔名。取代這個,也可以是試驗模組被給予的標籤。試驗次序生成裝置200,將表格表示部220及試驗指定部230所生成的試驗流程表格,作為試驗次序20進行輸出。
第4圖表示關於本實施形態之試驗流程表格300的第一構成例。試驗流程表格300,將試驗模組10依照實施順序排列,以利用表形式來表示。
試驗流程表格300,可以具有動作表示模式、和狀態表示模式。在本圖中,說明試驗流程表格300的動作表示模式。試驗流程表格300,具備表示模式切換部312、行追加 /刪除部314、行操作部316、實行指定列(直列,以下簡稱為「列」)320、試驗模組列330、後處理指定列342、分歧條件指定列344、條件成立時分歧去處指定列346、及條件不成立時分歧去處指定列348。
表示模式切換部312,切換試驗流程表格300的表示模式。表示模式切換部312,可以具有被給予標籤「Action」之按鈕、和被給予標籤「Condition」之按鈕。例如,表示模式切換部312,對應於使用者壓下「Action」按鈕,而將表示模式設定為動作表示模式;對應於壓下「Condition」按鈕,而將表示模式設定為狀態表示模式。本圖表示的例子,是表示模式被設定為動作表示模式之試驗流程表格300的一例。
行追加/刪除部314,追加或刪除被表示的表的行。行追加/刪除部314,可以具有被給予標籤「Add」之按鈕、和標籤「Del」之按鈕。例如,行追加/刪除部314,對應於使用者壓下「Add」按鈕,而在表上追加一行;對應於壓下「Del」按鈕,而從表上刪除一行。
行操作部316,將使用者已指定的行,與上一行或下一行交換。行操作部316,可以具有被給予上方向箭頭圖標之按鈕、和被給予下方向箭頭圖標之按鈕。例如,行操作部316,在使用者已選擇已指定第3行之後,對應於壓下上箭頭按鈕,而將第3行與第2行交換。此處,使用者可以藉由滑鼠、軌跡球、手寫板、或游標輸入,以指定並選擇行。
實行指定列320,指定是否實行對應的試驗模組10。實行指定列320,是表示行號碼的列。實行指定列320, 可以從表的第1行,順序分配從1開始的號碼。在本圖中,表示實行指定列320,已將行號碼分配至從第1行至第6行之例子。實行指定列320,可以具有核取方塊322,而對應於使用者是否在該核取方塊322中放入核取,以將已放入核取之行中的已輸入的試驗模組10作為應該實行的試驗模組10。
試驗模組列330,是在每行表示使用者已輸入的試驗次序20中所包含的試驗模組10之列。試驗模組列330,可以具有下拉式清單332,以表示試驗模組記憶部210所記憶的試驗模組10的檔名或標籤等名稱。藉此,試驗指定部230,能夠在複數個試驗模組10當中讓使用者選擇一個試驗模組10,且將該試驗模組10的名稱表示在試驗流程表格300的檢索對象的單元格中。
在本圖中,表示使用者在第6行輸入試驗模組10的例子。例如,對應於使用者從表示試驗模組10的名稱也就是「Istb」、「Leakage」、「PGM mode」、「Erase mode」、及「Read」之下拉式清單332當中選擇「Istb」,試驗指定部230,在第6行的試驗模組列330也就是操作對象單元格,表示「Istb」。
後處理指定列342,指定是否要在試驗模組10的實行後,遮斷被試驗元件的電源。後處理指定列342,表示對應於使用者的輸入,試驗模組10被試驗實行後的狀態的指定之列。後處理指定列342,例如在試驗模組10的實行後,將維持電壓電流設定狀態之指示,表示為「-」;且將以預定順序遮斷電壓電流設定狀態之指示,表示為「PWR OFF」。在 本圖中,表示使用者對於全部的試驗模組10,都輸入要在試驗實行後維持電壓電流設定狀態之例子。
分歧條件指定列344,指定分歧條件。亦即,分歧條件指定列344,表示對應於使用者的輸入,試驗模組10被試驗實行後的分歧條件之列。例如,分歧條件指定列344,對應於使用者所輸入的基於試驗模組10的合格/淘汰結果來進行試驗流程的分歧,而將操作對象單元格表示為「P/F」。在本圖中,表示使用者對於全部的試驗模組10,都輸入要在試驗後對應於合格/淘汰結果來進行分歧之例子。
條件成立時分歧去處指定列346,指定在分歧條件指定列344的分歧條件被滿足場合之分歧去處。條件不成立時分歧去處指定列348,指定分歧條件指定列344的分歧條件沒有被滿足場合之分歧去處。條件成立時分歧去處指定列346及條件不成立時分歧去處指定列348,對應於使用者的輸入,可以指定下一行作為分歧去處,取代這個,也可以指定行號碼或試驗模組10的名稱等作為分歧去處。又,條件成立時分歧去處指定列346及條件不成立時分歧去處指定列348,可以指定試驗流程的停止。
例如,條件成立時分歧去處指定列346及條件不成立時分歧去處指定列348,對應於使用者所輸入的將分歧去處設定為下一行,,而將操作對象單元格表示為「next」。又,條件成立時分歧去處指定列346及條件不成立時分歧去處指定列348,對應於使用者所輸入的將分歧去處設定為行號碼或試驗模組10的名稱等,而可以將操作對象單元格表示為該行 號碼或試驗模組10的名稱等。
又,條件成立時分歧去處指定列346及條件不成立時分歧去處指定列348,可以對應於使用者所輸入的試驗流程的停止,而將操作對象單元格表示為「stop」。在本圖中,表示使用者對於全部的試驗模組10,在分歧條件指定列344的分歧條件被滿足或沒有被滿足時,都輸入要將分歧去處設定為下一行之例子。此時,試驗流程表格300,表示從第1行的試驗模組10直到第6行的試驗模組10,依序實行的試驗流程。
第5圖表示關於本實施形態之試驗流程表格300的第二構成例。在本圖中,說明試驗流程表格300的狀態表示模式。在本構成例的試驗流程表格300中,與第4圖所示的關於本實施形態之試驗流程表格300的動作約略相同者,被給予相同的符號而省略說明。試驗流程表格300,具備試驗方式列350、和試驗條件列360。
試驗方式列350,表示試驗模組10使用的試驗方式132。試驗方式列350的各行的單元格,可以列舉試驗模組10使用的試驗方式132。例如,第5個實行的「Read」試驗模組10,實行被稱為「Function」之試驗方式132。
此處,表格表示部220,可以對應於使用者已點選試驗流程表格300中的各行的試驗方式132,而表示要編輯該試驗方式132之編輯畫面。藉此,使用者,能夠一邊確認應該實行的試驗的試驗流程,一邊編輯試驗方式132。
試驗條件列360,表示用以指定試驗所使用的試驗條件之條件檔案122。在試驗條件列360的各行的單元格,可 以列舉在同一行表示的試驗方式132所對應的至少一個條件檔案122。例如,在第3個被實行的「PGM mode」試驗模組10使用的「Function」試驗方式132,是利用被稱為「Function」、「Pattern Editor」、及「Sample」之條件檔案122所記載的試驗條件來實行試驗。
表格表示部220,在試驗流程表格300中的各行的條件檔案122被點選時,表示用以編輯條件檔案122之編輯畫面。此處,表格表示部220,可以通知試驗模組生成裝置100,以在條件檔案生成部110內的條件檔案122所對應的編輯部112中編輯該條件檔案122。藉此,使用者能夠一邊確認應該實行的試驗的試驗流程,一邊編輯條件檔案122。
依照以上的本實施例的試驗次序生成裝置200,藉由使用者的輸入,能夠生成試驗次序,以指定在試驗中實行的試驗模組10、該試驗模組10的實行順序、在該試驗模組10的試驗實行後之被試驗元件的電源狀態、及對應於試驗的合格/淘汰結果之分歧處理。又,試驗次序生成裝置200,能夠讓使用者不須意識到試驗裝置的硬體式樣及程式語言,就能夠生成應該實行的試驗的試驗次序。
第6圖一同表示關於本實施形態之試驗裝置500的構成例及被試驗元件30。在本實施形態之試驗裝置500中,與第3圖所示的關於本實施形態之試驗次序生成裝置200的動作約略相同者,被給予相同的符號而省略說明。試驗裝置500,試驗被試驗元件30。試驗裝置500,具備在第3圖至第5圖中已說明的試驗次序生成裝置200、試驗次序記憶部 510、試驗程式生成部520、試驗程式記憶部530、及試驗實行部540。
試驗次序記憶部510,記憶試驗次序生成裝置200所生成的試驗次序20。試驗次序記憶部510,也可以記憶已預先生成的試驗次序20。試驗次序記憶部510,可以是記憶資料之記憶體裝置,也可以是外部記憶裝置等。
試驗程式生成部520,基於試驗次序生成裝置200所生成的試驗次序20來生成試驗程式。試驗程式生成部520,可以生成實行形式的試驗程式。在試驗次序20,具有記載有硬體模組的演算法等之試驗方式132、記載有試驗方式132所使用的試驗條件之條件檔案122、及試驗模組10的試驗流程和分歧處理的資訊,所以試驗程式生成部520,能夠對應於這些資訊而自動生成試驗程式。
試驗程式記憶部530,記憶試驗程式生成部520所生成的試驗程式。試驗程式記憶部530,也可以記憶已經預先生成的複數個試驗程式。試驗程式記憶部530,可以是記憶資料之記憶體裝置,也可以是外部記憶裝置等。
試驗實行部540,實行已被記憶在試驗程式記憶部530中之試驗程式,以試驗被試驗元件30。試驗實行部540,可以對於類比電路、數位電路、類比/數位混合電路、記憶體、及系統單晶片(SOC)等的至少一個,進行試驗。試驗實行部540,將基於試驗程式之試驗信號輸入至被試驗元件30,該試驗程式是用以試驗被試驗元件30,並基於被試驗元件30對應於試驗信號而輸出的輸出信號來判定被試驗元件30的好壞。
又,試驗實行部540,可以直接接受在試驗次序記憶部510所記憶的試驗次序20,並實行對應於試驗次序20之試驗。亦即,試驗實行部540,以依序實行試驗次序20所包含的各個試驗模組10的方式來試驗被試驗元件30。例如,試驗實行部540,對應於使用者在試驗流程表格300中已輸入的試驗次序20的實行,來實行該試驗次序20。
又,試驗實行部540,可以直接接受在試驗模組記憶部210所記憶的試驗模組10,並實行對應於試驗模組10之試驗。例如,試驗實行部540,對應於使用者在試驗流程表格300的操作或試驗模組生成裝置100的試驗模組10生成的操作中已輸入的試驗模組10的實行,來實行該試驗模組10。
這樣,試驗實行部540,能夠實行使用者已利用試驗模組生成裝置100生成的試驗模組10、或使用者已利用試驗次序生成裝置200生成的試驗次序20。
在以上的實施例中,說明試驗實行部540及試驗次序生成裝置200一起設置在試驗裝置500中。取代這個,試驗實行部540,也可以是設置在試驗次序生成裝置200的外部之試驗裝置。此時,例如試驗次序生成裝置200、試驗次序記憶部510、試驗程式生成部520、及試驗程式記憶部530,是試驗程式生成裝置,該試驗程式生成裝置與試驗裝置電性連接。此處,試驗程式生成裝置與試驗裝置,可以藉由有線及/或無線通信的網路連結。
藉此,試驗程式生成裝置,能夠利用個人電腦或工作站等計算機裝置來構築,而能夠在與試驗裝置不同的地方 生成試驗程式。又,能夠在計算機裝置內生成試驗程式,所以該試驗程式的除錯用的應用程式是在該計算機裝置內實行,而能夠有效率地生成試驗程式。又,計算機裝置,利用試驗結果的評價和解析應用程式的實行,試驗裝置在試驗的實行中,使用者能夠進行試驗結果的評價及解析作業。
第7圖表示電腦1900的硬體構成的一例。關於本實施形態之電腦1900,具備:藉由主機控制器2082而互相連結的CPU2000、RAM2020、圖形控制器2075及具有顯示裝置2080之CPU週邊部;藉由輸入輸出控制器2084而要被連結至主機控制器2082之通信介面2030、硬碟機2040及具有DVD-ROM驅動器2060之輸入輸出部;及要被連接至輸入輸出控制器2084之ROM2010、軟碟機2050及具有輸入輸出晶片2070之傳統(legacy)輸入輸出部。
主機控制器2082,連接至RAM2020、利用高傳輸速率而存取RAM2020之CPU2000、及圖形控制器2075。CPU2000,基於儲存在ROM2010及RAM2020中的程式來進行動作,並進行各部的控制。圖形控制器2075,取得CPU2000等在RAM2020內所設置的圖框緩衝器(frame buffer)上所產生的影像資料,並表示在顯示裝置2080上。取代這個,圖形控制器2075,也能夠將用以儲存CPU2000等所產生的影像資料之圖框緩衝器,包含在內部。
輸入輸出控制器2084,連接至主機控制器2082、比較高速的輸入輸出裝置之通信介面2030、硬碟機2040、及CD-ROM驅動器2060。通信介面2030,透過網路而與其 他裝置通信。硬碟機2040,儲存電腦1900內的CPU2000所使用的程式及資料。DVD-ROM驅動器2060,從CD-ROM2095讀取程式或資料,並透過RAM2020而提供至硬碟機2040。
又,輸入輸出控制器2084,連接至ROM2010、軟碟機2050、及輸入輸出晶片2070之比較低速的輸入輸出裝置。ROM2010,儲存電腦1900在啟動時所實行的啟動程式(boot program)、及依存於電腦1900的硬體之程式。軟碟機2050,從軟碟2090讀取程式或資料,並透過RAM2020而提供至硬碟機2040。輸入輸出晶片2070,將軟碟機2050連接至輸入輸出控制器2084,同時例如經由並列埠(parallel port)、序列埠(series port)、鍵盤埠、及滑鼠埠等,將各種輸入輸出裝置,連接至輸入輸出控制器2084。
透過RAM2020而提供至硬碟機2040之程式,儲存在軟碟2090、DVD-ROM2095、或IC卡等記錄媒體而藉由利用者所提供。程式,係從記憶媒體讀出,透過RAM2020而安裝至電腦1900內的硬碟機2040,並在CPU2000中加以實行。
程式,被安裝至電腦1900,且使電腦1900作為試驗模組生成裝置100、試驗次序生成裝置200、或試驗程式生成裝置而發揮機能。
程式所記述的資訊處理,是藉由電腦1900加以讀取,並作為試驗模組生成裝置100、試驗次序生成裝置200、或試驗程式生成裝置而發揮機能。再者,依照這些具體設 備,藉由實現因應於本實施形態中的電腦1900的使用目的之資訊的演算或加工,而構築因應於使用目的之特定的條件檔案生成部110、條件檔案記憶部120、試驗方式記憶部130、試驗方式選擇部140、條件檔案選擇部150、試驗模組生成部160、試驗模組記憶部210、表格表示部220、及試驗指定部230等。
作為一例,在電腦1900與外部裝置等間進行通信之場合,則CPU2000,實行上載至RAM2020之通信程式,並基於通信程式所記述的處理內容,對於通信介面2030來指示通信處理。通信介面2030,接收CPU2000的控制,而讀出被記憶在RAM2020、硬碟機2040、軟碟2090、或DVD-ROM2095等記憶裝置上所設置的傳送緩衝器領域等之中的傳送資料,並傳送至網路、或將從網路所接收的接收資料,寫入記憶裝置上所設置的接收緩衝器領域等。這樣,通信介面2030,也能夠藉由DMA(直接記憶體存取)之方式在記憶裝置間針對傳送接收資料加以傳輸,取代這個,CPU2000也能夠從傳輸來源的記憶裝置或通信介面2030讀出資料,並藉由將資料朝向並寫入傳輸去處的通信介面或記憶裝置來針對傳送接收資料加以傳輸。
又,CPU2000,從硬碟機2040、DVD-ROM驅動器2060(DVD-ROM2095)、軟碟機2050(軟碟2090)等外部記憶裝置所儲存的檔案或資料庫等中,藉由DMA傳輸等,將全部或必要部分讀入至RAM2020,並對RAM2020上的資料進行各種處理。然後,CPU2000,將處理完成的資料,藉由 DMA傳輸而向外部記憶裝置寫回(write back)。在這樣的處理中,因為將RAM2020視為暫時保持外部記憶裝置的內容者,所以在本實施形態中,RAM2020及外部記憶裝置是總稱為記憶體、記憶部、或記憶裝置等。在本實施形態中的各種程式、資料、表格、資料庫等各種資訊,是儲存在這樣的記憶裝置上,並作為資訊處理的對象。另外,CPU2000,將部分的RAM2020保存在快取記憶體,而也能夠在快取記憶體上進行讀寫。即使在這樣的狀態中,因為快取記憶體係擔任部分的RAM2020的機能,所以在本實施形態中,除了以區別方式來表示之場合,快取記憶體也包含在RAM2020、記憶體、及/或記憶裝置中。
又,CPU2000,對於從RAM2020所讀出的資料,進行藉由程式的命令列所指定的含有本實施形態中所記載的各種演算、資訊加工、條件判斷、資訊檢索與置換等之各種處理,並向RAM2020寫回。例如,CPU2000,在進行條件判斷之場合中,則將本實施形態中所表示的各種變數,與其他變數或定數相比較,並判斷是否滿足大於、小於、以上、以下、相等等的條件,且在條件成立之場合(或在不成立之場合),則分歧至不同的命令列,或呼叫副常式(subroutine)。
又,CPU2000,能夠檢索記憶裝置內的檔案或資料庫等所儲存的資訊。例如,對於第一屬性的屬性值,第二屬性的屬性值所分別對應關聯的各個的複數個入口點(entry),是被儲存在記憶裝置之場合,則CPU2000從記憶 裝置所儲存的複數個入口點中,檢索第一屬性的屬性值與指定條件一致之入口點,並藉由讀出該入口點所儲存的第二屬性的屬性值,而能夠得到滿足預定條件之第一屬性所對應關聯的第二屬性的屬性值。
以上所示的程式或模組,也能夠儲存在外部的記憶媒體。除了軟碟2090、DVD-ROM2095之外,能夠使用DVD或CD等光學記錄媒體、MO等光磁記錄媒體、磁帶媒體、IC卡等半導體記憶體,來作為記錄媒體。又,也能夠使用在連接至專用通信網路或網際網路之伺服器系統上所設置的硬碟或RAM等記憶裝置,來作為記憶媒體,並透過網路,將程式提供至電腦1900。
以上,雖然使用實施形態來說明本發明,但是本發明的技術範圍並不受限於上述實施形態所記載的範圍。業者係明白能夠將各種變更或改良施加至上述實施形態中。從申請專利範圍的記載能夠明白,施加有這樣的變更或改良之形態也能構包含在本發明的技術範圍中。
在申請專利範圍、說明書、及圖式中所示的裝置、系統、程式、及方法中的動作、程序、步驟、及階段等各個處理的實行順序,只要不特別明示「更前」、「以前」等,或沒有將前面處理的輸出用在後面處理,則應該留意係能夠以任意順序加以實現。關於在申請專利範圍、說明書、及圖式中的動作流程,即使在方便上係使用「首先」、「接著」等來進行說明,但是並不意味必須以這個順序來實施。
10‧‧‧試驗模組
100‧‧‧試驗模組生成裝置
110‧‧‧條件檔案生成部
112‧‧‧編輯部
120‧‧‧條件檔案記憶部
122‧‧‧條件檔案
130‧‧‧試驗方式記憶部
132‧‧‧試驗方式
140‧‧‧試驗方式選擇部
150‧‧‧條件檔案選擇部
160‧‧‧試驗模組生成部

Claims (18)

  1. 一種試驗模組生成裝置,是生成試驗模組之試驗模組生成裝置,該試驗模組為了試驗被試驗元件而在試驗裝置上實行,該試驗模組生成裝置具備:條件檔案生成部,其被輸入試驗條件,以生成用以定義被輸入的前述試驗條件之條件檔案;試驗方式記憶部,其記憶試驗方式;試驗方式選擇部,其從使用者接收應該生成的前述試驗模組所對應的前述試驗方式的選擇指示;條件檔案選擇部,其從使用者接收已被選擇的前述試驗方式所要求的參數所對應的前述條件檔案的選擇指示;及試驗模組生成部,其生成前述試驗模組,該試驗模組,利用由已被選擇的前述條件檔案所定義的參數,來實行已被選擇的前述試驗方式所對應的試驗;其中,前述試驗模組生成部,在使用者於已被選擇的前述試驗方式內指定要進行參數輸入之場合,讓該使用者輸入參數。
  2. 一種試驗模組生成裝置,是生成試驗模組之試驗模組生成裝置,該試驗模組為了試驗被試驗元件而在試驗裝置上實行,該試驗模組生成裝置具備:條件檔案生成部,其被輸入試驗條件,以生成用以定義被輸入的前述試驗條件之條件檔案;試驗方式記憶部,其記憶試驗方式; 試驗方式選擇部,其從使用者接收應該生成的前述試驗模組所對應的前述試驗方式的選擇指示;條件檔案選擇部,其從使用者接收已被選擇的前述試驗方式所要求的參數所對應的前述條件檔案的選擇指示;及試驗模組生成部,其生成前述試驗模組,該試驗模組,利用由已被選擇的前述條件檔案所定義的參數,來實行已被選擇的前述試驗方式所對應的試驗;其中,前述試驗方式,指定基本試驗程式的呼叫順序。
  3. 如請求項1或2所述之試驗模組生成裝置,其中,前述試驗模組生成部,在使用者於已被選擇的前述試驗方式內指定要進行檔案輸入之場合,讓該使用者指定前述條件檔案。
  4. 如請求項1所述之試驗模組生成裝置,其中,前述試驗模組生成部,在前述參數輸入的輸入畫面中的詳細按鈕被壓下時,表示設定畫面,以設定關於對應的硬體模組之其他參數。
  5. 如請求項2所述之試驗模組生成裝置,其中,前述試驗方式,包含:定義部,其記載有試驗條件的定義;變換部,其記載有前述定義部所定義的試驗條件與該試驗條件應該設定的硬體模組之對應關係;及實行處理部,其記載有該試驗方式中的試驗的程序。
  6. 一種試驗次序生成裝置,是生成被試驗元件的試驗次序之試驗次序生成裝置,該試驗次序生成裝置具備:如請求項1或2所述之試驗模組生成裝置; 試驗模組記憶部,其記憶前述試驗模組生成裝置所生成的前述試驗模組;表格表示部,其將前述試驗次序中所包含的前述試驗模組分配至各行,以表示試驗流程表格;及試驗指定部,其對應於已接收的在前述試驗流程表格中指定前述試驗模組之試驗指定列的操作,而將前述試驗模組的名稱表示在前述試驗流程表格的檢索對象的單元格中。
  7. 如請求項6所述之試驗次序生成裝置,其中,前述試驗指定部,在複數個前述試驗模組當中,讓使用者選擇一個試驗模組,且將該試驗模組的名稱表示在前述試驗流程表格的檢索對象的單元格中。
  8. 如請求項6所述之試驗次序生成裝置,其中,前述試驗流程表格,具備:條件成立時分歧去處指定列,其指定在分歧條件被滿足場合之分歧去處;及條件不成立時分歧去處指定列,其指定在前述分歧條件沒有被滿足場合之分歧去處。
  9. 如請求項8所述之試驗次序生成裝置,其中,前述試驗流程表格,更具備分歧條件指定列,以指定前述分歧條件。
  10. 如請求項6所述之試驗次序生成裝置,其中,前述試驗流程表格,更具備實行指定列,以指定是否實行對應的前述試驗模組。
  11. 如請求項6所述之試驗次序生成裝置,其中,前述試驗流程表格,具備後處理指定列,以指定是否要在前述試驗模 組的實行後,遮斷前述被試驗元件的電源。
  12. 如請求項6所述之試驗次序生成裝置,其中,前述試驗流程表格,具備試驗條件列,以表示用以指定試驗所使用的試驗條件之前述條件檔案。
  13. 如請求項12所述之試驗次序生成裝置,其中,在前述試驗條件列的各行的單元格,列舉至少一個前述條件檔案。
  14. 如請求項13所述之試驗次序生成裝置,其中,前述表格表示部,在前述試驗流程表格中的各行的前述條件檔案被點選時,表示用以編輯前述條件檔案之編輯畫面。
  15. 一種生成方法,是生成試驗程式之生成方法,該試驗程式用以實行被試驗元件的試驗,該生成方法具備:條件檔案生成階段,其被輸入試驗條件,以生成用以定義被輸入的前述試驗條件之條件檔案;試驗方式記憶階段,其記憶試驗方式;試驗方式選擇階段,其從使用者接收應該生成的試驗模組所對應的前述試驗方式的選擇指示;條件檔案選擇階段,其從使用者接收已被選擇的前述試驗方式所要求的參數所對應的前述條件檔案的選擇指示;試驗模組生成階段,其生成前述試驗模組,該試驗模組利用由已被選擇的前述條件檔案所定義的參數,來實行已被選擇的前述試驗方式所對應的試驗;試驗模組記憶階段,其記憶前述試驗模組;表格表示階段,其將試驗次序中所包含的前述試驗模組 分配至各行,以表示試驗流程表格;及試驗指定階段,其對應於已接收的在前述試驗流程表格中指定前述試驗模組之試驗指定列的操作,而將前述試驗模組的名稱表示在前述試驗流程表格的檢索對象的單元格中;其中,前述試驗模組生成部,在使用者於已被選擇的前述試驗方式內指定要進行參數輸入之場合,讓該使用者輸入參數。
  16. 一種生成方法,是生成試驗程式之生成方法,該試驗程式用以實行被試驗元件的試驗,該生成方法具備:條件檔案生成階段,其被輸入試驗條件,以生成用以定義被輸入的前述試驗條件之條件檔案;試驗方式記憶階段,其記憶試驗方式;試驗方式選擇階段,其從使用者接收應該生成的試驗模組所對應的前述試驗方式的選擇指示;條件檔案選擇階段,其從使用者接收已被選擇的前述試驗方式所要求的參數所對應的前述條件檔案的選擇指示;試驗模組生成階段,其生成前述試驗模組,該試驗模組利用由已被選擇的前述條件檔案所定義的參數,來實行已被選擇的前述試驗方式所對應的試驗;試驗模組記憶階段,其記憶前述試驗模組;表格表示階段,其將試驗次序中所包含的前述試驗模組分配至各行,以表示試驗流程表格;及試驗指定階段,其對應於已接收的在前述試驗流程表格 中指定前述試驗模組之試驗指定列的操作,而將前述試驗模組的名稱表示在前述試驗流程表格的檢索對象的單元格中;其中,前述試驗方式,指定基本試驗程式的呼叫順序。
  17. 一種程式,其是藉由電腦來實行如請求項15或16所述之生成方法之程式。
  18. 一種試驗裝置,是試驗被試驗元件之試驗裝置,該試驗裝置具備:如請求項6至14中的任一項所述之試驗次序生成裝置;試驗次序記憶部,其記憶前述試驗次序生成裝置所生成的前述試驗次序;及試驗實行部,其依序實行前述試驗次序所包含的各個試驗模組。
TW101139825A 2011-11-28 2012-10-26 Test module generation device, test sequence generation device, generation method, program and test device TWI477797B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011258903A JP2013113663A (ja) 2011-11-28 2011-11-28 試験モジュール生成装置、試験手順生成装置、生成方法、プログラム、および試験装置

Publications (2)

Publication Number Publication Date
TW201333504A TW201333504A (zh) 2013-08-16
TWI477797B true TWI477797B (zh) 2015-03-21

Family

ID=48467938

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101139825A TWI477797B (zh) 2011-11-28 2012-10-26 Test module generation device, test sequence generation device, generation method, program and test device

Country Status (5)

Country Link
US (1) US8918681B2 (zh)
JP (1) JP2013113663A (zh)
KR (1) KR101477287B1 (zh)
CN (1) CN103135011B (zh)
TW (1) TWI477797B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104683386B (zh) * 2013-11-26 2019-01-04 腾讯科技(深圳)有限公司 可定制响应的桩服务实现方法及装置
US10180440B1 (en) 2015-04-30 2019-01-15 Keysight Technologies, Inc. Method for automating instrument tests
CN106527227A (zh) * 2016-11-24 2017-03-22 广州途道信息科技有限公司 一种实现图像化编程的控制设备
US10496379B2 (en) * 2018-02-07 2019-12-03 Sap Se Facilitated production of code for software testing
CN108984394A (zh) * 2018-06-19 2018-12-11 中国平安人寿保险股份有限公司 一种测试方法、计算机可读存储介质及终端设备
CN111198787B (zh) * 2018-11-16 2021-11-02 长鑫存储技术有限公司 测试程序生成方法、装置、存储介质及电子设备
KR102102547B1 (ko) * 2018-12-06 2020-04-22 한국전력공사 테스트 모델 기반의 ied용 acsi 통신서비스 테스트 방법 및 이를 이용한 acsi 통신서비스 테스트 장치
CN111077468B (zh) * 2019-12-27 2022-10-14 孚能科技(赣州)股份有限公司 电池测试流程的生成方法和生成装置
KR20220007347A (ko) * 2020-07-10 2022-01-18 주식회사 엘지에너지솔루션 진단 정보 생성 장치, 방법 및 이를 포함하는 진단 시스템
CN115343626B (zh) * 2022-10-18 2023-01-03 艾乐德电子(南京)有限公司 一种可自定义测试序列的电池测试系统及方法
JP7433553B1 (ja) 2023-03-13 2024-02-19 三菱電機株式会社 検証プログラム、検証装置、検証システム、及び検証方法
CN116192690B (zh) * 2023-05-04 2023-07-14 华砺智行(武汉)科技有限公司 面向量产的c-v2x设备快速测试方法、系统、设备及介质

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0962530A (ja) * 1995-08-22 1997-03-07 Yokogawa Electric Corp テスト実行フロー作成装置
JP2001156176A (ja) * 1999-11-29 2001-06-08 Hitachi Ltd Lsiテストプログラム生成方法およびそのシステム
JP2001243086A (ja) * 2000-03-01 2001-09-07 Sharp Corp テストプログラム開発装置
US20030149923A1 (en) * 2002-02-05 2003-08-07 Mikio Otaki Semiconductor device testing method and test system
TW201109693A (en) * 2009-09-01 2011-03-16 Advantest Corp Testing apparatus, testing method, program, and interface circuit
TW201140094A (en) * 2009-12-24 2011-11-16 Advantest Corp Test apparatus and test method

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01284779A (ja) * 1988-05-10 1989-11-16 Matsushita Electron Corp 半導体装置の測定プログラム作成方法
JP2004118649A (ja) * 2002-09-27 2004-04-15 Fujitsu Ltd メニュー選択型試験プログラムツールの生成方法及び装置
JP4427002B2 (ja) 2005-05-20 2010-03-03 株式会社アドバンテスト 半導体試験用プログラムデバッグ装置
JP4684183B2 (ja) * 2006-08-09 2011-05-18 株式会社日立ハイテクエンジニアリングサービス 半導体試験装置のテストプログラム生成システム
US20090199160A1 (en) * 2008-01-31 2009-08-06 Yahoo! Inc. Centralized system for analyzing software performance metrics
CN101738550B (zh) * 2008-11-14 2013-03-20 鸿富锦精密工业(深圳)有限公司 电子装置测试装置及测试方法
US20100218168A1 (en) * 2009-02-23 2010-08-26 Gonzales Ii Jesus Orlando System and Method for Generating a Test Environment Script File
CN101777023B (zh) * 2010-03-30 2012-05-02 成都市华为赛门铁克科技有限公司 一种测试用例的生成方法和装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0962530A (ja) * 1995-08-22 1997-03-07 Yokogawa Electric Corp テスト実行フロー作成装置
JP2001156176A (ja) * 1999-11-29 2001-06-08 Hitachi Ltd Lsiテストプログラム生成方法およびそのシステム
JP2001243086A (ja) * 2000-03-01 2001-09-07 Sharp Corp テストプログラム開発装置
US20030149923A1 (en) * 2002-02-05 2003-08-07 Mikio Otaki Semiconductor device testing method and test system
TW201109693A (en) * 2009-09-01 2011-03-16 Advantest Corp Testing apparatus, testing method, program, and interface circuit
TW201140094A (en) * 2009-12-24 2011-11-16 Advantest Corp Test apparatus and test method

Also Published As

Publication number Publication date
CN103135011B (zh) 2016-02-24
KR101477287B1 (ko) 2014-12-29
US20130139004A1 (en) 2013-05-30
TW201333504A (zh) 2013-08-16
US8918681B2 (en) 2014-12-23
JP2013113663A (ja) 2013-06-10
KR20130059267A (ko) 2013-06-05
CN103135011A (zh) 2013-06-05

Similar Documents

Publication Publication Date Title
TWI477797B (zh) Test module generation device, test sequence generation device, generation method, program and test device
CN105209925B (zh) 用于调试自动化器件测试的程序的计算机实现方法及系统
KR101742590B1 (ko) 반도체 장치들의 동시 시험을 위한 시스템
JP3174182B2 (ja) モデルのパターンマッチングに基づくプリント回路基板のための機能テストの生成方法
KR102481257B1 (ko) 시험 프로그램 흐름 제어 기법
US20100023898A1 (en) Circuit design assisting apparatus, computer-readable medium storing circuit design assisting program, and circuit design assisting method
US10557886B2 (en) Test system supporting multiple users using different applications
US8949672B1 (en) Analyzing a dump file from a data storage device together with debug history to diagnose/resolve programming errors
US8904247B2 (en) Test pattern generation apparatus, test program generation apparatus, generation method, program, and test apparatus
Hutchings et al. Designing and debugging custom computing applications
TW201843589A (zh) 利用軟體應用程式介面(api)之自動化測試特徵使用者控制技術
US20140281730A1 (en) Debugging session handover
JP2002016115A (ja) 半導体パラメトリック試験装置
JPH0658925B2 (ja) 集積回路試験装置
US6389381B1 (en) Method and apparatus for calculating delay times in semiconductor circuit
TW200305824A (en) Electronic test program with run selection
TW201435371A (zh) 診斷工具-一種增加良率提升製程之產量的方法
JP5153670B2 (ja) 診断装置、診断方法および試験装置
JP2008516205A (ja) フィーチャ指向型テストプログラムの開発と実行
JP2020041975A (ja) 装置、方法、およびプログラム
Melikov et al. System of designing test programs and modeling of the memory microcircuits
US20030136840A1 (en) Method and system for managing integrated circuit test programs using bar codes
US10733345B1 (en) Method and system for generating a validation test
JPWO2002063473A1 (ja) データ処理システムの開発方法及び評価ボード
JP2002229814A (ja) デバッグ方法及び情報処理システム