TWI451962B - 壓印微影術模板 - Google Patents

壓印微影術模板 Download PDF

Info

Publication number
TWI451962B
TWI451962B TW098136294A TW98136294A TWI451962B TW I451962 B TWI451962 B TW I451962B TW 098136294 A TW098136294 A TW 098136294A TW 98136294 A TW98136294 A TW 98136294A TW I451962 B TWI451962 B TW I451962B
Authority
TW
Taiwan
Prior art keywords
block copolymer
layer
pattern
copolymer layer
substrate
Prior art date
Application number
TW098136294A
Other languages
English (en)
Other versions
TW201024078A (en
Inventor
Gerard M Schmid
Douglas J Resnick
Sidlgata V Sreenivasan
Frank Y Xu
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of TW201024078A publication Critical patent/TW201024078A/zh
Application granted granted Critical
Publication of TWI451962B publication Critical patent/TWI451962B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0017Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor for the production of embossing, cutting or similar devices; for the production of casting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)

Description

壓印微影術模板 參考相關申請案
本申請案係依35 U.S.C.§119(e)(1)對於2008年10月27日提申的美國臨時專利申請案No.61/108,636作權利主張,其整體內容被合併於本文中以供參考。
本發明係有關一壓印微影術模板。
背景資訊
奈米製造係包括製造具有100奈米或更小級數的特徵構造之很小結構。奈米製造已發揮顯著影響之一應用係在於積體電路的加工。半導體加工業不斷致力於更高的生產良率同時增加一基材上所形成之每單位面積的電路數,因此奈米製造變得益加重要。奈米製造係提供更大的製程控制,同時容許繼續降低所形成結構的最小值特徵構造維度。已採用奈米製造的其他發展領域係包括生物科技、光學科技、機械系統及類似領域。
現今採用的一種示範性奈米製造技術常稱為壓印微影術。示範性壓印微影術製程係詳述於眾多公開文件中,諸如美國專利案公告No.2004/0065976、美國專利案公告No.2004/0065252、及美國專利案No.6,936,194,其皆被合併於本文中以供參考。
上述各美國專利案公告及專利案所揭露的一壓印微影術技術係包括形成一浮雕圖案於一可聚合化層中及將一對應於該浮雕圖案之圖案轉移至一下屬基材中。基材可被耦合至一動作階台獲得一所想要定位以利圖案化製程。圖案化製程係使用一與基材分開之模板以及一施加於模板與基材之間的可成形液體。可成形液體係被固體化形成一剛性層,該剛性層係具有一符合於接觸到可成形液體的模板表面形狀之圖案。固體化之後,模板係自剛性層分離以使模板及基材分開。基材及經固體化層隨後接受額外製程以將一與經固體化層中的圖案呈現對應之浮雕影像轉移至基材中。
依據本發明之一實施例,係特地提供一種方法,包含:形成一嵌段共聚物層於一基材上,該自我組裝式嵌段共聚物層具有一第一分域及一第二分域,各該分域具有一不同組成物敏感度以使各該分域對於一第一製程具有一不同反應;在該嵌段共聚物層上進行該第一製程而形成一圖案於該嵌段共聚物層中;及將該嵌段共聚物層中的該圖案轉移至該基材而形成一壓印微影術模板。
圖式簡單說明
參照圖中顯示的實施例以提供本發明實施例的描述,可藉此更詳盡瞭解本發明。然而,請注意圖式只示範本發明的典型實施例,因此不視為限制其範圍。
第1圖顯示根據本發明之一微影性系統的一實施例之簡化側視圖;第2圖顯示其上設有一圖案狀層之第1圖所示的基材之簡化側視圖;第3圖顯示嵌段共聚物層之示範性相型態;第4圖顯示藉由調整一聚合物的容積比例部分組成物之一陣列的圓柱及一陣列的孔之示範性形成;第5A-5B圖顯示一嵌段共聚物層中的一圖案之示範性形成;第6A-6E圖顯示一用於轉移一圖案之示範性方法;第7A-7D圖顯示一用於轉移一圖案之示範性方法;第8A-8G圖顯示一用於轉移一圖案之示範性方法;第9A-9E及10A-10C圖顯示一用於增加一嵌段共聚物層的抗蝕刻性之示範性方法。
詳細描述
參照圖式,且特別參照第1圖,其中顯示一用以形成一浮雕圖案於基材12上之微影性系統10。基材12可被耦合至基材夾盤14。如圖所示,基材夾盤14是一真空夾盤。然而,基材夾盤14可為任何夾盤,包括但不限於真空、銷型、溝槽型、電磁性、及/或類似物。示範性夾盤描述於美國專利案No.6,873,087中,該案被合併於本文中以供參考。
基材12及基材夾盤14可進一步被階台16支撐。階台16可提供沿x、y及z軸之動作。階台16、基材12及基材夾盤14亦可被定位於一基底(未圖示)上。
一模板18與基材12分開。模板18概括包括一自其延伸朝向基材12之台面20,台面20上具有一圖案化表面22。並且,台面20可稱為模具20。模板18及/或模具20可由包括但不限於熔合矽土、石英、矽、有機聚合物、矽氧烷聚合物、硼矽酸鹽玻璃、氟碳聚合物、金屬、硬化藍寶石及/或類似物等材料形成。如圖所示,圖案化表面22包含由複數個分開的凹部24及/或突件26所界定之特徵構造,但本發明的實施例不限於此等組態。圖案化表面22可界定任何原始圖案,其構成一將被形成於基材12上之圖案的基礎。
模板18可被耦合至夾盤28。夾盤28可組構為但不限於真空、銷型、溝槽型、電磁性、及/或其他類似的夾盤類型。示範性夾盤進一步描述於美國專利案No.6,873,087中,該案被合併於本文中以供參考。並且,夾盤28可耦合至壓印頭30,使得夾盤28及/或壓印頭30可被組構為利於模板18的運動。
系統10可進一步包含一流體配送系統32。流體配送系統32可用來沉積可聚合化材料34於基材12上。可聚合化材料34可利用諸如滴配送、旋塗、沾塗、化學氣相沉積(CVD)、物理氣相沉積(PVD)、薄膜沉積、厚膜沉積及/或類似物等技術被定位於基材12上。依據設計考量因素而定,可在模具20與基材12之間界定一所想要容積之前及/或之後,使可聚合化材料34配置於基材12上。可聚合化材料34可包含一單體,如美國專利案No.7,157,036及美國專利案公告No.2005/0187339所描述,其皆被合併於本文中以供參考。
參照第1及2圖,系統10可進一步包含一沿著路徑42被耦合至直接能量40之能量供源38。壓印頭30及階台16可被組構為將模板18及基材12定位成疊置於路徑42。系統10係可藉由一與階台16、壓印頭30、流體配送系統32及/或供源38呈現導通之處理器54所調節、並可以記憶體56所儲存的一電腦可讀式程式進行運作。
壓印頭30、階台16、或兩者係改變模具20與基材12之間的一距離以界定一位於其間由可聚合化材料34所充填之所想要容積。譬如,壓印頭30可施加一力至模板18以使模具20接觸到可聚合化材料34。可聚合化材料34充填所想要容積之後,供源38係產生譬如寬頻紫外輻射等能量40,造成可聚合化材料34符合於基材12的一表面44及圖案化表面22形狀而產生固體化及/或交聯,以界定一圖案狀層46於基材12上。圖案狀層46可包含一殘留層48及複數個顯示為突件50與凹件52之特徵構造,其中突件50具有厚度t1 而殘留層具有厚度t2
上述系統及製程可進一步使用於美國專利案No.6,932,934、美國專利案公告No.2004/0124566、美國專利案公告No.2004/0188381及美國專利案公告No.2004/0211754所提及之壓印微影術製程及系統中,各案被合併於本文中以供參考。
嵌段共聚物材料可使用於壓印微影術模板18的製造中。譬如,含有嵌段共聚物的溶液可被加工形成具有化學圖案的薄膜。形成於薄膜中之圖案的尺寸、形狀及類型可身為聚合物組成物(譬如,一或多個分域)的特徵。部分實行方式中,可能生成用於形成規則陣列覆蓋住大面積之圖案。這些材料可用來製造含有呈現很高解析度圖案的結構之壓印微影術模板18。
嵌段共聚物係為具有兩或更多個此處稱為分域(domain)的化學獨特性重覆單元之聚合物,各獨特性重覆單元在一嵌段中被分組在一起。譬如,二嵌段共聚物可含有兩類型的分域。三嵌段共聚物可含有三類型的分域,而多嵌段共聚物可含有任何數量的共聚物。
具有不同化學組成物之聚合性系統一般係不相混合;取而代之,不同組份係傾向於經歷微相分離以盡量減小多重相之間的介面性面積。譬如,一嵌段共聚物的案例中,由於兩(或更多)個化學組份可被共價聯結於單一聚合物分子內,相分離的製程可能受限。特定加工條件下,可引發這些系統以形成規則陣列的球體、圓柱、疊片或其他複雜圖案。示範性系統進一步描述於美國專利案公告No.2007/0183025、貝茲(Frank S. Bates)及費爵克森(Glenn H. Fredrickson)的“嵌段共聚物熱力學:理論與實驗”,物理化學年度評論(Annu. Rev. Phys. Chem.),vol.41,pp 525-557(1990)以及瑞資(Ruiz)、康(R.,H. Kang)、迪確伏利(F.A. Setcheverry)、多比思(E. Dobisz)、克闕(D.S. Kercher)、阿布芮屈(T.R. Albrechet)、狄帕布洛(J.J. de Pablo)及尼立(P.F. Nealey)的“利用導引式嵌段共聚物組裝件之密度倍乘及改良式微影術”,科學(Science),vol.321,pp 936-939(2008),其整體被合併於本文中以供參考。
第3圖顯示用於嵌段共聚物的薄膜之示範性相型態。圖案的尺寸、形狀及/或均勻性可能與聚合物的化學組成物有關。譬如,一具有均勻組成物(亦即一個分域)的聚合物可概括形成一均勻的膜。然而,一二嵌段共聚物可含有一容積的一第一分域(譬如,一小容積比例部分的一少數組份)及一容積的一第二分域(譬如,一大容積的一多數組份)。譬如,可引發第一分域之規則陣列的球體以形成於一矩陣的第二分域內。當第一分域的容積比例部分增大,膜的型態可能更改。譬如,膜的型態可提供圓柱及/或疊片。相型態可相對於第一分域及/或第二分域的組成物呈現對稱,因此可藉由調整聚合物的容積比例部分組成物來形成一陣列的圓柱及/或一陣列的孔,如第4圖所示。可利用調整聚合物的鏈長度來調整圖案的週期。
第5A-5B圖顯示一利用一嵌段共聚物形成模板18之示範性方法。模板18可由一多層基材60形成。多層基材可包括一基材層62、一黏著層64、及一嵌段共聚物層66。
參照第5A圖,基材層64可由包括但不限於熔合矽土、石英、熔合石英、矽、硼矽酸鹽玻璃及/或類似物等材料形成。可控制基材層64的表面能量以提供嵌段共聚物層66的型態之所想要定向。因此,黏著層64可設置於基材層64的表面上。黏著層64可利用包括但不限於旋塗及烘烤、氣相沉積(譬如接枝)、真空沉積(譬如蒸鍍、濺鍍)及/或類似物等技術被定位於基材層64上。黏著層64可具有厚度t2 。一範例中,黏著層64的厚度t2 可小於約5nm。
黏著層64可朝向嵌段共聚物層66呈現中立或者可與嵌段共聚物層66的至少一分域具有一熱力學上有利的交互作用。一範例中,黏著層64可身為一種具有與嵌段共聚物層66的至少一分域68或70類似的單體性組份之共聚物。另一範例中,黏著層64可為一經接枝聚合物刷。第5A圖顯示兩個分域68及70,但根據本發明可使用額外的分域。
嵌段共聚物層66可被定位於黏著層64上。譬如,嵌段共聚物層66可利用包括但不限於旋塗及烘烤、氣相沉積(譬如接枝)、真空沉積(譬如蒸鍍、濺鍍)、滴粒配送及/或類似物等技術被定位於黏著層64上。
參照第5A圖,嵌段共聚物層66可被加工以引發一化學圖案形成於嵌段共聚物層66中。譬如,可利用一其中使熱性動作引發聚合物鏈達成一熱力學上有利的組態之退火製程藉以提供圖案形成作用。可藉由在升高溫度加工及/或藉由在一含有對於嵌段共聚物層66具有效應(譬如塑化效應)的一分子劑之環境中加工,藉以增加圖案形成。
嵌段共聚物層66可具有厚度t3 。嵌段共聚物層66的厚度t3 係適可提供嵌段共聚物層66在組成物狀態更改期間之所想要型態。
嵌段共聚物層66中的圖案形成期間,可控制相型態的定向及/或所產生維度的均勻度。譬如,嵌段共聚物層66可包括一實質均質性組成物,亦即,聚合物系統可在實質精密性聚合物合成所提供的嵌段組成物及分子量上呈現極度單分散性(mono-disperse)。另一範例中,嵌段共聚物層66可包括一朝向自我組裝的能量性驅力(譬如弗洛瑞-哈更斯X參數(Flory-Huggins X parameter)),其與散佈聚合物分子所需要的能量相關。另一範例中,可利用調控基材層62與嵌段共聚物層66之間、及/或嵌段共聚物層66的經曝露表面72與嵌段共聚物層之間的一介面的交互作用,藉以增強組成物狀態的均勻更改。另一範例中,嵌段共聚物層66可經歷分子位階上的構象性重組而帶領系統更接近熱力學均衡。可以合理時間尺度在實驗室條件中達成組成物狀態的更改。另一範例中,組成物狀態之更改型態係可被定向朝向基材層62上的一預先既存圖案(譬如,表面化學作用的空間性變異及/或拓樸學的一空間性變異)。
參照第5B圖,嵌段共聚物層66可被加工引發至少一分域68或70更改組成物狀態以在嵌段共聚物層66中提供一圖案(譬如,突件及凹件)。譬如,第5B圖中,嵌段共聚物層66可被加工引發分域68更改組成物狀態。可藉由熱反應性及/或化學反應性以發生組成物狀態的更改。
藉由至少一分域68或70組成物狀態的更改而被形成於嵌段共聚物層66中之圖案係可進一步接受額外製程以形成模板18。第6-10圖顯示用於轉移在嵌段共聚物層66中所形成的圖案以形成模板18之方法。
第6A-6E圖顯示嵌段共聚物層66中所形成的圖案經由一掘除製程之圖案轉移。其他研究者已經報告可藉由一掘除製程利用嵌段共聚物自我組裝來生成金屬點的圖案。譬如請見夸銳尼(Guarini,K.W.)、布雷克(C.T. Black)、密柯夫(K.R. Milkove)及桑斯充(R.T. Sandstrom)的“半導體應用之使用自我組裝式聚合物的奈米尺度圖案化”,真空科技期刊(J. Vacuum Sci. Technol. B.),vol.19,no.6,pp. 2784-2788(2001),其整體被合併於本文中以供參考;然而,這些報告顯示掘除製程可能具有限制。本發明的實施例係使用一具有自我組裝嵌段共聚物之先進掘除製程。掘除及圖案轉移製程可以美國編號No.11/856,862及美國編號No.11/943,907中所進一步描述的技術為基礎,兩案皆整體被合併於本文中以供參考。
參照第6A圖,可如對於第5A及5B圖所描述,利用組成物狀態的更改以選擇性移除一分域68。譬如,嵌段共聚物層66可由聚(苯乙烯-嵌段-甲基丙烯酸甲酯)或PS-b-PMMA形成。自我組裝之後,嵌段共聚物層66可曝露於紫外光而使PMMA嵌段劣化及/或使PS嵌段交聯。隨後可能利用被整體合併於本文以供參考之夸銳尼(Guarini,K.W.)、布雷克(C.T. Black)、密柯夫(K.R. Milkove)及桑斯充(R.T. Sandstrom)的“半導體應用之使用自我組裝式聚合物的奈米尺度圖案化”,真空科技期刊(J. Vacuum Sci. Technol. B.),vol.19,no.6,pp. 2784-2788(2001)所進一步詳述的技術,藉由使PMMA部分溶解於一諸如乙酸等極性溶劑中予以移除。至少一分域68或70被選擇性移除之後,可進行一蝕刻製程移除黏著層64的經曝露部分。隨後可以一蝕刻增強式掘除製程來加工基材層66。
參照第6B圖,一罩幕層74(譬如鉻)可沉積於多層基材60上。罩幕層74的沉積可能是一方向性塗覆(譬如水平表面上之沉積)或一確認性塗覆(confirmational coating)(譬如水平及垂直表面上之沉積)。可藉由包括但不限於真空蒸鍍及類似物等技術提供沉積。
參照第6C圖,可移除嵌段共聚物層70的其餘分域、黏著層64、及罩幕層74的部分。譬如,罩幕層74可利用一等向性乾蝕刻製程(譬如含有氯及氧的電漿中之反應性離子蝕刻)被選擇性移除及/或劣化。嵌段共聚物層70的其餘分域70隨後可被移除而導致一圖案狀罩幕層74。譬如,嵌段共聚物層70的其餘分域70可藉由溶解於一溶劑中而被移除,導致具有與嵌段共聚物層66中所提供的圖案呈現對應之罩幕層74的一圖案。
參照第6D及6E圖,可使用罩幕層74上的圖案作為一蝕刻罩幕以圖案化基材層62形成模板18。一實施例中,罩幕層74(譬如Cr)可使用一反應性離子蝕刻製程(譬如採用一氟碳電漿之製程)以蝕刻下屬基材層62(譬如,熔合矽土)。模板18可使用於一壓印微影術系統及製程中,如對於第1及2圖所描述。
第7A-7D圖顯示另一種經由一直接蝕刻製程使得嵌段共聚物層66中所形成的圖案進行圖案轉移之示範性方法。類似於第5A及5B圖,多層基材60a係包括基材層62、黏著層64及具有多重分域68及70之嵌段共聚物層66。多層基材60a亦包括一蝕刻罩幕層80。蝕刻罩幕層80可利於強健的圖案轉移。蝕刻罩幕層80可由包括但不限於鉻、矽、二氧化矽、二氧化氮、鉭、鉬、鎢、鈦及類似物等材料形成。
參照第7A圖,黏著層64可沉積於蝕刻罩幕層80上。嵌段共聚物層66可沉積於黏著層64上並被退火。
參照第7B圖,可藉由黏著層64曝露部分的組成物狀態之更改以選擇性移除嵌段共聚物層66的至少一分域68或70。譬如,嵌段共聚物層66可包含PS-b-PMMA。自我組裝之後,嵌段共聚物層66可曝露於紫外光而使PMMA嵌段劣化及/或使PS嵌段交聯。隨後可能藉由使PMMA部分(譬如分域70)溶解於一諸如乙酸等極性溶劑中予以移除。另一範例中,嵌段共聚物層66可包含聚(苯乙烯-嵌段-二甲基矽氧烷)、或PS-b-PDMS。自我組裝之後,可藉由在一含有氧的電漿中之反應性離子蝕刻來移除PS相。可利用額外的蝕刻加工來移除膜的頂及底介面附近所形成之PDMS的薄層。(先前已報告具有來自PS-b-PDMS之圖案的蝕刻轉移。譬如請見容格(Jung,Y.S.)及洛斯(C.A. Ross)的“使用一苯乙烯-聚二甲基矽氧烷嵌段共聚物之定向控制式自我組裝的奈米微影術”),奈米通訊(Nano Letters),Vol.7,No.7,pp 2046-2050(2007),其整體被合併於本文中以供參考。
參照第7B及7C圖,至少一分域68或70選擇性移除之後,可利用一除渣蝕刻製程來移除黏著層64的經曝露部分。出現於其餘分域(譬如分域68)中之圖案可被蝕刻轉移至蝕刻罩幕層80中(譬如一反應性離子蝕刻轉移製程)。一實施例中,蝕刻罩幕層80係包括Cr,而反應性離子蝕刻製程採用一含有氯及氧的電漿。
參照第7C及7D圖,已將圖案蝕刻至蝕刻罩幕層80中之後,圖案可被轉移至基材層62中(譬如,一反應性離子蝕刻製程)而形成模板18。一實施例中,蝕刻罩幕層80(譬如Cr)可使用一反應性離子蝕刻製程(譬如,採用一氟碳電漿之製程)蝕刻下屬基材層62(譬如,熔合矽土)。
第8A-8G圖顯示另一種用於使嵌段共聚物層66中所形成的圖案進行圖案轉移之示範性方法。類似於第7A-7D圖,蝕刻罩幕層80可被沉積於基材層62上。蝕刻罩幕層80可塗覆有黏著層64,黏著層64具有與嵌段共聚物層66呈現有利交互作用之材料。嵌段共聚物層66可沉積於黏著層64上並被退火如第8A圖所示。
參照第8B圖,可利用此處所描述方法藉由組成物狀態之更改以選擇性移除嵌段共聚物的一分域(譬如分域68)。分域68的選擇性移除可曝露黏著層64的部分。
參照第8C圖,分域68選擇性移除之後,可採用一除渣蝕刻以移除黏著層64的經曝露部分。其餘分域70可塗覆有一平面化層82(亦即,實質平面化之材料層)。可藉由滴粒配送、旋塗、及/或類似物以沉積平面化層82。平面化層82可由對於反應性離子蝕刻製程具有實質抵抗性之材料形成。譬如,平面化層82可含有佔質量>10百分比的矽。
參照第8D圖,可採用一第一蝕刻製程以選擇性移除平面化層82而曝露出嵌段共聚物層66的部分。
參照第8E圖,可使用一第二蝕刻製程以選擇性移除嵌段共聚物層66的其餘部分。可利用其餘平面化層82作為一蝕刻罩幕以圖案化蝕刻罩幕層80如第8F圖所示。參照第8G圖,可利用蝕刻罩幕層80以圖案化基材層62而形成模板18。
第9及10圖顯示另一種使嵌段共聚物層66中所形成的圖案進行圖案轉移之示範性方法。特別來說,第9及10圖顯示利用更改至少一分域68或70的組成物狀態以增高嵌段共聚物層66的抗蝕刻性之示範性方法。譬如,可更改分域68的組成物狀態而提供分域68a。可藉由嵌段共聚物層66的分域68a與70之間的蝕刻選擇性以便利經由反應性離子蝕刻的圖案轉移。譬如,系統PS-b-PDMS中,PDMS分域可具有高濃度的矽,PS分域則不含矽。矽濃度中的化學圖案係對應於一含氧的電漿中之高的PS/PDMS蝕刻選擇性。可藉由自我組裝製程期間及/或之後的反應性修改來提高化學圖案的蝕刻選擇性。
一實施例中,嵌段共聚物層66可製備成具有至少一包含一羥基官能的分域及至少一不含羥基官能的分域。一實施例中,聚合物可由聚(苯乙烯-嵌段-羥乙基丙烯酸甲酯)或PS-b-PHEMA共聚物組成。可經由通常處於升高溫度及降低壓力之來自一蒸氣相矽化劑的反應來加工一羥基基團藉以將矽併入。蒸氣相矽化劑的範例包括六甲基二矽氮烷(HMDS)、二甲基甲矽烷二甲胺(DMSDMA)、二甲基甲矽烷二乙胺(DMSDEA)、及二甲基二甲矽烷二甲胺(DMDSDMA)。
另一實施例中,嵌段共聚物層66可含有至少一具有一烯官能基團之分域,及至少一不含烯官能基團之分域。一範例中,聚合物系統係包含聚(苯乙烯-嵌段-丁二烯),其在蒸氣相會與二硼烷起反應以將硼併入烯相中。
先前對於微影術應用已經提出:藉由從一蒸氣相反應物來併入抗蝕刻性。譬如請見被整體合併於本文以供參考之泰勒(Taylor,G.N.)、司帝威根(L.E. Stillwagon)及凡卡迪森(T. Venkatesan)的“氣相官能化電漿顯影式阻劑:電子束曝光之初始概念及結果”,電化學協會期刊(J. Electrochemical Soc.),vol.131,no.7,pp. 1658-1664(1984)。這些先前的報告已經採用光微影術或電子束微影術途徑在膜內產生反應性組份的初始圖案。此等途徑可能譬如受限於可能由於繞射或電子束散射所造成的模糊。因此,標稱為“反應性”及“非反應性”區之間若具有充分選擇性,可能難以具有官能化:抗蝕刻性物種係稀疏地併入必須被蝕刻的區中。這導致高的圖案化粗度及特徵構造不均勻性。由於可藉由相分離製程自然地形成初始化學圖案、及/或可達成化學組成物的敏銳轉折,嵌段共聚物自我組裝係可避免高的圖案化粗度及特徵構造不均勻性。
參照第10A-10C圖,蝕刻性質的反應性修改係概括使得一抗蝕刻性份額被添加至膜的特定區中。部分實施例中,此反應可能很少會使質量改變,且圖案維度基本上將保持不變,如第10A圖所示。其他實施例中,添加質量可能造成圖案維度的顯著變化,如第10B圖所示。圖案維度的變化可能發生於側向及厚度維度中。其他實施例中,化學反應可能不均勻地分佈於薄膜,如第10C圖所示。
參照第9B圖,可利用此處所描述方法藉由組成物狀態的更改來選擇性移除嵌段共聚物的一分域(譬如分域70)。分域70的選擇性移除係可曝露黏著層64的部分。
參照第9C圖,至少一分域70選擇性移除之後,可使用一除渣蝕刻製程來移除黏著層64的經曝露部分。出現於其餘分域中(譬如分域68a)之圖案可被蝕刻轉移至蝕刻罩幕層80中(譬如一反應性離子蝕刻轉移製程)。一實施例中,蝕刻罩幕層80係包括Cr,且反應性離子蝕刻製程採用一含有氯與氧的電漿。
參照第9D及9E圖,將圖案蝕刻至蝕刻罩幕層80中之後,圖案可被轉移至基材層62中(譬如,一反應離子蝕刻製程)而形成模板18。一實施例中,蝕刻罩幕層80(譬如Cr)可使用一反應性離子蝕刻製程(譬如,採用一氟碳電漿之製程)來蝕刻下屬基材層62(譬如,熔合矽土)。
10...微影性系統
12...基材
14...基材夾盤
16...階台
18...壓印微影術模板
20...台面,模具
22...圖案化表面
24...凹部
26,50...突件
28...夾盤
30...壓印頭
32...流體配送系統
34...可聚合化材料
38...能量供源
40...直接能量
42...路徑
44...基材12的表面
46...圖案狀層
48...殘留層
52...凹件
54...處理器
56...記憶體
60,60a...多層基材
62...基材層
64...黏著層
66...嵌段共聚物層
68,70...嵌段共聚物層66的分域
72...嵌段共聚物層66的經曝露表面
74...罩幕層
80...蝕刻罩幕層
82...平面化層
t1 ...突件50厚度
t2 ...殘留層厚度,黏著層64厚度
t3 ...嵌段共聚物層66厚度
第1圖顯示根據本發明之一微影性系統的一實施例之簡化側視圖;
第2圖顯示其上設有一圖案狀層之第1圖所示的基材之簡化側視圖;
第3圖顯示嵌段共聚物層之示範性相型態;
第4圖顯示藉由調整一聚合物的容積比例部分組成物之一陣列的圓柱及一陣列的孔之示範性形成;
第5A-5B圖顯示一嵌段共聚物層中的一圖案之示範性形成;
第6A-6E圖顯示一用於轉移一圖案之示範性方法;
第7A-7D圖顯示一用於轉移一圖案之示範性方法;
第8A-8G圖顯示一用於轉移一圖案之示範性方法;
第9A-9E及10A-10C圖顯示一用於增加一嵌段共聚物層的抗蝕刻性之示範性方法。
10...微影性系統
12...基材
14...基材夾盤
16...階台
18...壓印微影術模板
20...台面,模具
22...圖案化表面
24...凹部
26...突件
28...夾盤
30...壓印頭
32...流體配送系統
34...可聚合化材料
38...能量供源
40...直接能量
42...路徑
44...基材12的表面
54...處理器
56...記憶體

Claims (10)

  1. 一種製造一壓印微影術模板的方法,該方法包含:形成一嵌段共聚物層於一基材上,該自我組裝式嵌段共聚物層具有一第一分域及一第二分域,各分域具有一不同組成物敏感度以使各分域對於一第一製程具有一不同反應;在該嵌段共聚物層上進行該第一製程而形成一圖案於該嵌段共聚物層中;及將該嵌段共聚物層中的該圖案轉移至該基材而形成一具有一大面積圖案化陣列的壓印微影術模板。
  2. 如申請專利範圍第1項之方法,進一步包含進行一影響該第二分域的組成物狀態之第二製程。
  3. 如申請專利範圍第2項之方法,其中該第二製程係提供該圖案的維度及質量之極小更改。
  4. 如申請專利範圍第2項之方法,其中該第二製程係提供該圖案的維度之顯著更改。
  5. 如申請專利範圍第2項之方法,其中該第二製程係為一不均勻地分佈經過該嵌段共聚物層之化學反應。
  6. 一種製造一壓印微影術模板的方法,該方法包含:形成一嵌段共聚物層於一基材層上,該嵌段共聚物層具有複數個分域,各分域與一組成物狀態相關聯;使該嵌段共聚物層接受一第一製程,該第一製程包括:更改與該複數個分域之子集相關聯之組成物狀態, 根據該更改移除與該複數個分域之子集相關聯之該嵌段共聚物層的部分以提供一或多個凹件,維持與該複數個區域之剩餘區域相關聯之組成物狀態以提供一或多個突件,對應該第一製程之進行,於該嵌段共聚物層中形成一圖案,該圖案包括該一或多個凹件及該一或多個突件;以及將該嵌段共聚物層中的該圖案轉移至該基材層中而形成一具有一大面積圖案化陣列的壓印微影術模板。
  7. 如申請專利範圍第6項之方法,其中該第一製程為一蝕刻製程。
  8. 如申請專利範圍第6項之方法,其中該第一製程為一化學反應。
  9. 如申請專利範圍第6項之方法,其中該第一製程為一曝露製程。
  10. 一種壓印微影術方法,該方法包含:沉積可聚合化材料於一基材上;使該基材接觸於一壓印微影術模板,該壓印微影術模板是如申請專利範圍第1項之方法所形成者;使該可聚合化材料固體化而形成一圖案化層;及使該壓印微影術模板自該圖案化層分離。
TW098136294A 2008-10-27 2009-10-27 壓印微影術模板 TWI451962B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10863608P 2008-10-27 2008-10-27

Publications (2)

Publication Number Publication Date
TW201024078A TW201024078A (en) 2010-07-01
TWI451962B true TWI451962B (zh) 2014-09-11

Family

ID=42116482

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098136294A TWI451962B (zh) 2008-10-27 2009-10-27 壓印微影術模板

Country Status (3)

Country Link
US (1) US8877073B2 (zh)
TW (1) TWI451962B (zh)
WO (1) WO2010062319A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
JP5982386B2 (ja) 2010-11-05 2016-08-31 モレキュラー・インプリンツ・インコーポレーテッド 非凸形ナノ構造のパターン形成
US8512583B2 (en) 2011-09-19 2013-08-20 HGST Netherlands B.V. Method using block copolymers and a hard electroplated mask for making a master disk for nanoimprinting patterned magnetic recording disks
US9236260B2 (en) 2011-12-16 2016-01-12 HGST Netherlands B.V. System, method and apparatus for seedless electroplated structure on a semiconductor substrate
JP2015084396A (ja) * 2013-09-19 2015-04-30 東京エレクトロン株式会社 エッチング方法
US10124529B2 (en) * 2013-12-10 2018-11-13 Canon Nanotechnologies, Inc. Imprint lithography template and method for zero-gap imprinting
EP3528045A1 (en) 2018-02-16 2019-08-21 IMEC vzw Method for forming a cross-linked layer

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134556A1 (en) * 2004-11-22 2006-06-22 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
JP3403136B2 (ja) 1999-12-28 2003-05-06 株式会社東芝 スイッチング素子の製造方法、スイッチング素子及びスイッチング素子アレイ
US6921615B2 (en) * 2000-07-16 2005-07-26 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods for imprint lithography
CN100365507C (zh) * 2000-10-12 2008-01-30 德克萨斯州大学系统董事会 用于室温下低压微刻痕和毫微刻痕光刻的模板
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040124092A1 (en) * 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7357876B2 (en) * 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US20070183025A1 (en) * 2005-10-31 2007-08-09 Koji Asakawa Short-wavelength polarizing elements and the manufacture and use thereof
US7723009B2 (en) * 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
WO2008096335A2 (en) 2007-02-07 2008-08-14 Yeda Research And Development Co. Ltd. Producing an array of nanoscale structures on a substrate surface via a self-assembled template
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
DE102007024653A1 (de) 2007-05-26 2008-12-04 Forschungszentrum Karlsruhe Gmbh Stempel für das Mikrokontaktdrucken und Verfahren zu seiner Herstellung
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
US8012394B2 (en) * 2007-12-28 2011-09-06 Molecular Imprints, Inc. Template pattern density doubling
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US20100015270A1 (en) * 2008-07-15 2010-01-21 Molecular Imprints, Inc. Inner cavity system for nano-imprint lithography

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134556A1 (en) * 2004-11-22 2006-06-22 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films

Also Published As

Publication number Publication date
US8877073B2 (en) 2014-11-04
US20100102029A1 (en) 2010-04-29
WO2010062319A1 (en) 2010-06-03
TW201024078A (en) 2010-07-01

Similar Documents

Publication Publication Date Title
TWI451962B (zh) 壓印微影術模板
John et al. Large-area, continuous roll-to-roll nanoimprinting with PFPE composite molds
JP5281386B2 (ja) 高分子薄膜及びパターン媒体並びにこれらの製造方法
JP5178401B2 (ja) 微細構造を有する高分子薄膜およびパターン基板の製造方法
Korczagin et al. Surface nano-and microstructuring with organometallic polymers
CN102540702B (zh) 压印引导的嵌段共聚物图案化的系统和方法
JP4654280B2 (ja) 微細構造体の製造方法
CN104321700B (zh) 使用化学气相沉积膜控制嵌段共聚物薄膜中的域取向
EP2470956B1 (en) Functional nanoparticles
TWI453106B (zh) 奈米尺寸形狀之大面積圖案化技術
US9005756B2 (en) Block copolymer nanostructure formed on surface pattern with shape different from nanostructure of the block copolymer and method for preparation thereof
EP2635419B1 (en) Patterning of non-convex shaped nanostructures
WO2009108322A2 (en) Critical dimension control during template formation
NL2007161A (en) Lithography using self-assembled polymers.
NL2005865A (en) Imprint lithography.
Han et al. Fabrication of transparent conductive tracks and patterns on flexible substrate using a continuous UV roll imprint lithography
JP5640099B2 (ja) 微細構造を有する高分子薄膜およびパターン基板の製造方法
US8512585B2 (en) Template pillar formation
Jeon et al. Fabrication of complex 3-dimensional patterned structures on a∼ 10 nm scale from a single master pattern by secondary sputtering lithography
Nakamatsu et al. Nanoimprinting using liquid-phase hydrogen silsesquioxane
Shin et al. Nanoimprinting ultrasmall and high-aspect-ratio structures by using rubber-toughened UV cured epoxy resist
Dos Ramos et al. Poly (ferrocenylsilanes) with Controlled Macromolecular Architecture by Anionic Polymerization: Applications in Patterning and Lithography
Vazquez-Mena et al. Stencil lithography
Song et al. Reverse pattern duplication utilizing a two-step metal lift-off process via nanoimprint lithography
Lai et al. Nanofabrication of Polymer Biosensor Structures for Biomedical Applications

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees