TWI405290B - Reduced capacity carrier, transport, load port, buffer system - Google Patents

Reduced capacity carrier, transport, load port, buffer system Download PDF

Info

Publication number
TWI405290B
TWI405290B TW096116737A TW96116737A TWI405290B TW I405290 B TWI405290 B TW I405290B TW 096116737 A TW096116737 A TW 096116737A TW 96116737 A TW96116737 A TW 96116737A TW I405290 B TWI405290 B TW I405290B
Authority
TW
Taiwan
Prior art keywords
loader
interface
transport
tool
conveyor
Prior art date
Application number
TW096116737A
Other languages
Chinese (zh)
Other versions
TW200816351A (en
Inventor
L Bufano Michael
Gilchrist Ulysses
Fosnight William
Hofmeister Christopher
Babbs Daniel
C May Robert
Original Assignee
Brooks Automation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brooks Automation Inc filed Critical Brooks Automation Inc
Publication of TW200816351A publication Critical patent/TW200816351A/en
Application granted granted Critical
Publication of TWI405290B publication Critical patent/TWI405290B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67386Closed carriers characterised by the construction of the closed carrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Abstract

A semiconductor workpiece processing system having at least one processing apparatus for processing workpieces, a primary transport system, a secondary transport system and one or more interfaces between first transport system and second transport system. The primary and secondary transport systems each have one or more sections of substantially constant velocity and in queue sections communicating with the constant velocity sections.

Description

容量縮小之裝載機、輸送機、裝載埠及緩衝系統Reduced loader, conveyor, loader and buffer system

本發明所揭述之實施例係關於基板加工系統,更具體的說,係關於基板輸送系統,裝載機,加工工具介面之輸送機及其配備。Embodiments of the present invention are directed to substrate processing systems and, more particularly, to substrate transport systems, loaders, machine tool interface conveyors, and their equipment.

製造電子裝置之主要壓力為來自消費者對功能更高及體型更小而成本較低之電子裝置之需求。該主要動力形成製造商在微型化及製造效率改良方面之原壓力。於是製造商將在各方面尋求收益。在半導體裝置之場合,傳統製造設施或稱FAB在其本體(或基本組織性結構)設有離散加工工具,諸如群集工具等,用以實行半導體基板之一或多項加工作業。於是傳統之FAB係配置在加工工具周圍,用以將半導體基板轉變成所要之電子裝置。舉例而言,加工工具可以加工灣式的排列於傳統FAB中。在工具之間之基板可由諸如SMF或FOUP等裝載機之支持,使加工中之基板大致保持與工具中之類似乾淨狀況。工具間之聯繫係由可輸送基板裝載機至FAB中之預期加工工具之操持系統(諸如自動化材料操持系統,AMHS)所提供。作為實例說明,操持系統與加工工具之間之介面大致可分成兩個部份,即連接操持系統與工具之間用以裝卸裝載機至加工工具之裝載站,及連接裝載機(即個別或組群)至工具以供在裝載機與工具之間裝卸基板。多種習用之介面系統係連接加工工具至裝載機及至材料操持系統。許多傳統介面系統由於複雜度而導致一或多種加工工具介面,裝載機介面或材料操持系統介面具有增加成本或在加工工具中裝卸基板造成低效率之缺失。以下詳加說明之本案實施例將可克服傳統系統之問題。The main pressure for manufacturing electronic devices is the demand from consumers for electronic devices that are more functional and smaller and less expensive. This major power creates the original pressure on manufacturers for miniaturization and improved manufacturing efficiency. Manufacturers will then seek revenue in all aspects. In the case of a semiconductor device, a conventional manufacturing facility, or FAB, is provided with discrete processing tools, such as cluster tools, in its body (or basic organizational structure) for performing one or more processing operations on the semiconductor substrate. The conventional FAB is then placed around the processing tool to convert the semiconductor substrate into the desired electronic device. For example, processing tools can be processed in a bay-like arrangement in a traditional FAB. The substrate between the tools can be supported by a loader such as an SMF or FOUP to maintain the substrate in process substantially similar to that in the tool. The tool-to-tool relationship is provided by a handling system (such as an automated material handling system, AMHS) that transports the substrate loader to the intended processing tool in the FAB. As an example, the interface between the operating system and the processing tool can be roughly divided into two parts, that is, a loading station between the handling system and the tool for loading and unloading the loader to the processing tool, and a connection loader (ie, individual or group). Group) to the tool for loading and unloading the substrate between the loader and the tool. A variety of custom interface systems connect processing tools to loaders and to material handling systems. Many conventional interface systems result in one or more processing tool interfaces due to complexity, loader interface or material handling system interface with increased cost or lack of efficiency in loading and unloading substrates in processing tools. The embodiments of the present invention, which are described in detail below, will overcome the problems of conventional systems.

工業趨勢顯示未來的IC裝置設計係大約45nm或更小。為了增加效率及降低製造成本,此種尺度之IC裝置須採用愈大之半導體基板或晶圓予以製造。傳統FAB一般係可操持200mm或300mm之晶圓。工業趨勢顯示在未來之FAB須足以操持超過300mm之晶圓,諸如450mm之晶圓。須知使用較大晶圓將導致每一晶圓需要更長之加工時間。因此在採用諸如300mm或更大之晶圓等較大晶圓時,最好係使用較小批量尺寸以進行晶圓加工藉以減少FAB中之加工工作量(WIP)。同時較小之晶圓批量尺寸可適用於任何尺寸之晶圓之特殊批量加工,或適用於諸如平面屏幕顯示器之平面板等平面板或任何其他基板之加工。雖然減少WIP及有效率特殊批量加工係由其用途所促成,然而在FAB中採用較小加工批量對傳統FAB生產率會有不良影響。例如與較大批量尺寸相較,較小批量尺寸會增加特定容量之輸送系統(輸送晶圓批量)之輸送系統負荷。如第51A圖所示。第51A圖係顯示數種不同製程速率(以諸如每月等預定期間內之晶圓啟始量表示,例如WSPM)之批量尺寸與輸送速率(以每小時移動量表示)之間之關係。第51A圖亦顯示傳統FAB操持系統之最高容量(例如每小時大約6000-7000移動量)之線條。因此操持系統容量線條與FAB速率曲線之交會點即可識別有效批量尺寸之表面。舉例而言,特定傳統輸送系統為了達至大約24,000 WSPM之FAB速率,最小批量尺寸係大約15晶圓。使用較小晶圓批量將導致FAB速率之降低。因此最好係提供一種系統,其中晶圓裝載機,裝載機與加工工具之間之介面,裝載機輸送系統(輸送裝載機於FAB中之工具,儲存位置等之間)等係設計可供使用最小為一及最大為預期者之晶圓批量,而對FAB速率不會構成不良影響。Industrial trends indicate that future IC device designs are approximately 45 nm or less. In order to increase efficiency and reduce manufacturing costs, IC devices of this size must be fabricated using larger semiconductor substrates or wafers. Conventional FABs typically operate on wafers of 200mm or 300mm. Industry trends indicate that FABs in the future must be sufficient to handle wafers larger than 300 mm, such as 450 mm wafers. It is important to know that using larger wafers will result in longer processing times per wafer. Therefore, when using larger wafers such as wafers of 300 mm or larger, it is preferable to use a smaller batch size for wafer processing to reduce the processing workload (WIP) in the FAB. At the same time, the smaller wafer batch size can be applied to special batch processing of wafers of any size, or to planar boards such as flat panel displays or any other substrate. While reducing WIP and efficient special batch processing are facilitated by its use, the use of smaller processing lots in the FAB has a negative impact on traditional FAB productivity. For example, smaller batch sizes increase the delivery system load of a particular capacity delivery system (transport wafer batch) compared to larger batch sizes. As shown in Figure 51A. Figure 51A shows the relationship between the batch size and the delivery rate (expressed as the amount of movement per hour) for several different process rates (represented by wafer start amount in a predetermined period such as monthly, such as WSPM). Figure 51A also shows the lines of the highest capacity of a conventional FAB operating system (e.g., about 6000-7000 movements per hour). Therefore, the intersection of the system capacity line and the FAB rate curve can be used to identify the surface of the effective batch size. For example, a particular conventional delivery system achieves a FAB rate of approximately 24,000 WSPM with a minimum batch size of approximately 15 wafers. Using a smaller wafer batch will result in a lower FAB rate. It is therefore desirable to provide a system in which the wafer loader, the interface between the loader and the processing tool, the loader transport system (the tool that transports the loader in the FAB, the storage location, etc.) is available for use. A minimum of one and a maximum expected wafer size will not adversely affect the FAB rate.

本發明提供一種半導體工作件加工系統。該系統備有至少一加工工作件所用之加工裝置,一主輸送系統、一次輸送系統及介於該第一輸送系統與第二輸送系統之間之一或多個介面。上述之主輸送系統及次輸送系統各具有至少一個實質定速輸送區,且在排列區與該等定速區相連通。The invention provides a semiconductor workpiece processing system. The system is provided with at least one processing device for processing the workpiece, a primary delivery system, a primary delivery system, and one or more interfaces between the first delivery system and the second delivery system. The main conveying system and the secondary conveying system described above each have at least one substantially constant speed conveying zone, and are in communication with the constant velocity zone in the arrangement zone.

本發明之上述目的及其他特徵可藉以下參照附圖之詳細說明而愈趨明晰。The above and other features of the present invention will become more apparent from the following detailed description.

爰參照第1圖,工作件裝載機200形成一艙室202以供將工作件S裝載於與艙室外之大氣隔離之環境中。第1圖所示之裝載機200之形狀僅作為實例說明,在變更態樣中之裝載機可具有任何其他預期形狀。裝載機200可容納一匣盒210於艙室內,如圖示將工作件S支承於裝載機內。匣盒210一般係具有長形支承210S(於實施例中示有二個作為實例),其上分佈有工作件支承架以提供一列或一疊支承或支架,如圖示用以個別支承一或多個工作件。匣盒係安裝或附接於裝載機結構體,以下將予詳細說明。在變更態樣中,裝載機可能不設有匣盒,而工作件支承係一體成型或與裝載機結構體呈單體構造。工作件係示為扁平/基板元件,諸如300mm,200mm或任何預期尺寸及形狀之半導體晶圓,或供顯示之光柵/光罩或平面屏幕或任何其他適當項目。裝載機可作成比傳統項目13或25晶圓裝載機更為縮小或微型之裝載機。裝載機可用以裝載少量甚至單一個工作件,或可作成低於十個工作件者。與裝載機200類似之容量縮小裝載機之適當實例係見述於8/19/2005提出申請之美國專利申請案第11/207,231號,“容量縮小之裝載機及其使用方法”,以下係援引作為本案參考。與裝載機200類似之容量縮小裝載機之用以加工工具(例如半導體製造工具,儲存器,調位器等)及用以輸送系統之介面之適當實例係見述於8/23/05提出申請之美國專利申請案第11/210,918號,“升降底盤工具裝載及緩衝系統”,及於8/24/05提出申請之美國專利申請案第11/211,236號,“輸送系統”,兩者均援引作為本案參考。與裝載機200類似之裝載機之另一適當實例係見述於10/30/03提出申請之美國專利申請案第10/697,528號,“自動化材料操持系統”,亦援引作為本案參考。由此可知,類似裝載機200之容量縮小之裝載機可提供在FAB加工之工作量之減少,因形成較小宗之工作件將立即(於完成特定工作站之加工後)輸送至FAB之繼後工作站而不需如同較大宗者等待其他工作件加工完畢。雖然實施例中之特徵係特別針對小容量裝載機予以圖示說明,但本發明之實施例之特徵同樣適用於任何其他適當裝載機,諸如可罩封13或25或任何其他預定數目之工作件於其內之裝載機。Referring to Figure 1, the work loader 200 forms a compartment 202 for loading the work piece S into an environment that is isolated from the atmosphere outside the cabin. The shape of the loader 200 shown in Fig. 1 is illustrated by way of example only, and the loader in the modified aspect may have any other desired shape. The loader 200 can house a cassette 210 within the cabin to support the workpiece S within the loader as shown. The cassette 210 generally has an elongate support 210S (two are shown as an example in the embodiment) having a workpiece support frame distributed thereon to provide a row or stack of supports or brackets, as illustrated for individually supporting one or Multiple work pieces. The cassette is mounted or attached to the loader structure, as will be described in more detail below. In a variant, the loader may not be provided with a cassette, and the workpiece support is integrally formed or in a unitary configuration with the loader structure. The workpiece is shown as a flat/substrate component, such as a 300 mm, 200 mm or any desired size and shape of semiconductor wafer, or a raster/reticle or flat screen for display or any other suitable item. The loader can be made smaller or smaller than conventional (13 or 25) wafer loaders. The loader can be used to load a small number or even a single work piece, or can be made for less than ten work pieces. A suitable example of a capacity reduction loader similar to that of the loader 200 is described in U.S. Patent Application Serial No. 11/207,231, the entire disclosure of which is incorporated herein by reference. As a reference in this case. A suitable example of a capacity reduction loader similar to the loader 200 for processing tools (eg, semiconductor manufacturing tools, storage, positioners, etc.) and interfaces for transporting the system is described in 8/23/05. U.S. Patent Application Serial No. 11/210,918, the <RTI ID=0.0>>""""" As a reference in this case. Another suitable example of a loader similar to the loader 200 is described in U.S. Patent Application Serial No. 10/697,528, the entire disclosure of which is incorporated herein by reference. It can be seen that a loader similar to the capacity reduction of the loader 200 can provide a reduction in the workload of the FAB processing, because the formation of a smaller work piece will be immediately transferred to the FAB after completion of processing of the specific workstation. Instead of waiting for other work pieces to be processed as if they were larger. While the features in the embodiments are particularly illustrated for a small capacity loader, the features of embodiments of the present invention are equally applicable to any other suitable loader, such as a cover 13 or 25 or any other predetermined number of work pieces. The loader inside it.

再參照第1圖,實施例中之裝載機200可作用以將工作件保持於垂直(即Z軸)堆疊之形狀。裝載機200可能係底面或頂面開口或底面與頂面開口之裝載機。於此實施例中,頂面與底面係沿着垂直或Z軸設置,而在變更態樣中頂面與底面可沿着任何其他軸定向。以下將予以詳述之頂面與底面開口代表裝載機之開口204(由裝載機所設定以供工作件S移動進出艙室者)係大致對準裝載機所保持之工作件之平面表面(於此實施例中係大致與Z軸呈對角)。裝載機200亦示如下;一般係具有底部及可開關式或可卸除式門之罩箱212。在關閉時,該門係鎖止及密封於底部。門與底部之間之密封可供艙室202與外界圍氛隔離。經過隔離之艙室202可保持任何預期之隔離圍氛,諸如惰氣,或可保持真空。該門可開啟以供工作件裝卸自裝載機。於此實施例中,門係代表一種在裝載機開啟時可拆卸式或拆除部以進入其內之工作件/工件件支承架。於第1圖所示之實施例中,罩箱200一般具有中空部(以下稱為外殼)214可供承收其內之工作件,及一壁部(帽/蓋等)216。以下將予詳述,該壁部216或外殼214可作為裝載機門。壁部與外殼係配接以關閉裝載機,並分開以開啟裝載機。於實施例中,外殼與壁部係諸如鋁合金等之金屬,或由任何適當程序製成之不锈鋼。該壁部或外殼或兩者可作成一件式構件(單體構造)。於變更態樣中,裝載機罩箱係由包括適當之非金屬性材料等任何其他適當材料製成。匣盒210可被安裝於壁部216,而在變更態樣中之匣盒可安裝於外殼。可選擇將匣盒安裝於外殼或機門以供方便在機門開啟時將裝載機中之匣盒或基板拆卸。雖然在圖示實施例中之壁部216係設在外殼之頂側,然而在變更態樣中裝載機罩箱可具有外在頂側而壁部在底側之構造。而在另一實施例中,外殼在頂側及底側具有可拆卸式壁部(即具有頂面及底面開口之裝載機)。在其他變更態樣中,可拆卸式壁部係設在裝載機之側面。於實施例中之機門係被動式組件(例如大致沒有可啟動機門與裝載機之間及機門與工具介面之間之開關之移動部件或組件,以下將予詳述)。Referring again to Figure 1, the loader 200 of the embodiment can function to maintain the workpiece in a vertical (i.e., Z-axis) stacked shape. The loader 200 may be a loader that has a bottom or top opening or a bottom surface that is open to the top. In this embodiment, the top and bottom surfaces are disposed along a vertical or Z-axis, and in the modified aspect, the top and bottom surfaces can be oriented along any other axis. The top and bottom openings, which will be described in more detail below, represent the opening 204 of the loader (which is set by the loader for the workpiece S to move in and out of the cabin) to be substantially aligned with the planar surface of the workpiece held by the loader. In the embodiment, it is substantially diagonal to the Z axis). Loader 200 is also shown below; typically a shroud 212 having a bottom and a switchable or removable door. When closed, the door is locked and sealed to the bottom. The seal between the door and the bottom allows the compartment 202 to be isolated from the outside enclosure. The isolated compartment 202 can maintain any desired isolation enclosure, such as inert gas, or can maintain a vacuum. The door can be opened for loading and unloading the work piece from the loader. In this embodiment, the door system represents a work piece/workpiece support frame that is detachable or removable when the loader is opened to enter therein. In the embodiment shown in Fig. 1, the cover box 200 generally has a hollow portion (hereinafter referred to as a casing) 214 for receiving the work piece therein, and a wall portion (cap/cover, etc.) 216. As will be described in more detail below, the wall portion 216 or outer casing 214 can function as a loader door. The wall is mated with the outer casing to close the loader and separate to open the loader. In an embodiment, the outer casing and the wall are metal such as aluminum alloy or stainless steel made by any suitable procedure. The wall or outer casing or both may be formed as a one-piece member (single construction). In a variation, the loader housing is made of any other suitable material, including a suitable non-metallic material. The cassette 210 can be mounted to the wall 216, and the cassette can be mounted to the housing in a modified manner. The cassette can be optionally mounted to the housing or door for easy removal of the cassette or substrate in the loader when the door is opened. Although the wall portion 216 in the illustrated embodiment is attached to the top side of the outer casing, in a modified aspect, the load hood can have a configuration with an outer top side and a wall portion at the bottom side. In yet another embodiment, the outer casing has a detachable wall portion (i.e., a loader having a top surface and a bottom surface opening) on the top side and the bottom side. In other variations, the detachable wall is attached to the side of the loader. The door in the embodiment is a passive component (e.g., there are substantially no moving parts or components that can be opened between the door and the loader and between the door and the tool interface, as will be detailed below).

爰參照第2A圖,所示裝載機200係設在適當加工工具之工具埠介面2010之處。加工工具係任何預期類型者,諸如排序器,堆疊器,或可進行一或多項加工作業之工具,諸如材料澱積,平版印刷,光罩,蝕刻,抛光,量測,或具有一或多個諸如裝載鎖等之加工模組或槽室之工具。該加工工具至少有部份係具有控制性圍氛,及工具介面2010可提供工作件在工具與裝載機200之間之裝卸而不致犠牲工具或裝載機200中之控制性圍氛。於實施例中,埠介面2010一般具有一埠口或開口2012,基板可通過該埠口裝載入加工工具中,並設有機門,蓋體或卸除式部件2014以關閉埠口。變更態樣中之卸除式部件可局部遮蔽該開口。於第2A圖中之埠門2014係示為關閉及開啟狀態以供說明。於第2A圖所示之實施例中,裝載機200係底側裝載(即於Z方向移動者)以與以下將說明之工具埠連接。第2A圖顯示頂側壁部216係作為裝載機200之門。舉例而言,壁部216可連接於埠門及連同埠門之拆卸一致拆卸,諸如進入工具中以開啟工具埠介面。拆卸壁部216將導致從裝載機卸除匣盒(安裝於其上者)及工作件(以供工作件輸送/自動操縱裝置之進入)。再參照第1圖,具有對置支承210S之匣盒210之構造可提供匣盒之超過一側面之進取面210A,210B(在實施例中係二側)以供工作件自動操縱裝置(亦見第2A圖)可將工作件裝卸於匣盒支架。變更態樣中之裝載機具有任何預期數目之工作件進取區。該進取區係配置成對稱於裝載機之周邊,或設置成非對稱配置。第2A圖所示實施例中,工具具有超過一個工作件操持自動操縱裝置2016A,2016B以進取諸如在超過一個進取區210A,210B中之工作件V。變更態樣中工具可具有更多或更少之工作件輸送自動操縱裝置。匣盒之多面自動操縱裝置進取可供將工作件傳送於匣盒之自動操縱裝置之間。此外,匣盒之多面自動操縱裝置進取工作件可解除裝載機在輸送或與工具埠連接時之限制。當埠門回復其關閉位置時可關閉裝載機,使裝載機壁部216回復與外殼214之配接。Referring to Figure 2A, the loader 200 is shown positioned at the tool interface 2010 of a suitable processing tool. The processing tool is any desired type, such as a sequencer, stacker, or a tool that can perform one or more processing operations, such as material deposition, lithography, reticle, etching, polishing, measuring, or having one or more A tool such as a processing module or a chamber for loading a lock. At least some of the processing tool has a controlled atmosphere, and the tool interface 2010 provides for loading and unloading of the work piece between the tool and the loader 200 without imposing a controlled atmosphere in the tool or loader 200. In an embodiment, the 埠 interface 2010 generally has a cornice or opening 2012 through which the substrate can be loaded into the processing tool and provided with a door, cover or removal component 2014 to close the cornice. The removable component in the modified aspect can partially obscure the opening. The Tuen Mun 2014 in Figure 2A is shown in the closed and open states for illustration. In the embodiment shown in Fig. 2A, the loader 200 is loaded on the bottom side (i.e., moved in the Z direction) to be coupled to the tool set described below. Figure 2A shows the top side wall portion 216 as the door to the loader 200. For example, the wall portion 216 can be attached to the cardia and disassembled in conjunction with the removal of the cardia, such as into the tool to open the tool's interface. Removal of the wall 216 will result in the removal of the cassette (installed thereon) and the work piece (for access by the workpiece transport/automatic handling device) from the loader. Referring again to Figure 1, the configuration of the cassette 210 having the opposing support 210S provides access surfaces 210A, 210B (on both sides in the embodiment) of the cassette over one side for automatic workpiece handling (see also Figure 2A) The work piece can be attached to and detached from the cassette holder. The loader in the modified aspect has any desired number of work piece aggressive zones. The progressive zone is configured to be symmetric about the periphery of the loader or set to an asymmetric configuration. In the embodiment shown in Fig. 2A, the tool has more than one work piece handling automatic manipulators 2016A, 2016B to advance the work piece V, such as in more than one access zone 210A, 210B. In the modified aspect, the tool can have more or fewer work pieces to deliver the automatic manipulator. The multi-faceted automatic manipulator of the cassette is adapted to transfer the workpiece between the automatic controls of the cassette. In addition, the multi-faceted robotic access device of the cassette can relieve the loader from being restricted during transport or connection to the tool magazine. The loader can be closed when the card returns to its closed position, causing the loader wall 216 to revert to mating with the outer casing 214.

參照第2B圖,顯示另一實施例之裝載機200與工具埠介面之間之介面。於此實施例中,裝載機之外殼214係作為門。圖示實施例中之工具埠門具有與裝載機外殼大致匹配之形狀,用以圍封外殼藉以防止工具內部受到外殼外側之污染。裝載機可作成由頂面裝載,(即沿着(-)Z方向向下移動),諸如在裝載機係從架空輸送系統處下降時。在開啟裝載機200時,係將埠門向下移動((-)Z方向),例如進入工具內部,同時將外殼214從裝載機卸除。在此係指裝載機之底部開口,其中裝載機門(即外殼214)係設在底部及由裝載機之向下移動而開啟。裝載機之開口將展露匣盒中之工作件,保留於壁部216。於此實施例中,自動操縱裝置將設有Z軸之自由度以進取垂直排列之匣盒架或其中之工作件。自動操縱裝置上設有配對器。於變更態樣中,外殼216具有一體成型之配對器,諸如透樑式配對器以供在卸除外殼時配對匣盒。第2A-2B圖顯示裝載機200可為頂部開口及底部開口者。於另一變更態樣中,外殼與壁部定向逆轉(外殼在壁部頂側),裝載機係之頂部開口類似第2B圖但呈鏡射影像(即向上提起外殼)及底部開口類似第2A圖但呈相反(即向下降低壁部)。Referring to Figure 2B, an interface between the loader 200 of another embodiment and the tool interface is shown. In this embodiment, the outer casing 214 of the loader acts as a door. The tool of the illustrated embodiment has a shape that substantially matches the loader housing to enclose the outer casing to prevent contamination of the interior of the tool from the outside of the outer casing. The loader can be made to be loaded by the top surface (i.e., moved down the (-)Z direction), such as when the loader is descending from the overhead conveyor system. When the loader 200 is opened, the card is moved downward ((-)Z direction), for example into the interior of the tool, while the outer casing 214 is removed from the loader. This refers to the bottom opening of the loader where the loader door (i.e., outer casing 214) is attached to the bottom and is opened by the downward movement of the loader. The opening of the loader will reveal the work piece in the cassette and remain in the wall 216. In this embodiment, the robotic device will be provided with a degree of freedom of the Z-axis to advance the vertically aligned cassette holder or the workpiece therein. A coupler is provided on the automatic manipulator. In a variant, the outer casing 216 has an integrally formed counterpart, such as a beam-transparent pairer, for mating the cassette when the housing is removed. 2A-2B shows that the loader 200 can be a top opening and a bottom opening. In another variation, the outer casing and the wall are reversed in orientation (the outer casing is on the top side of the wall), and the top opening of the loader system is similar to the second embodiment but is a mirror image (ie, the housing is lifted up) and the bottom opening is similar to the second. The figure is opposite (ie lowering the wall down).

再參照第1圖,如前所述,壁部216與外殼214係被動式結構,未設有諸如止動鎖等之移動式元件,移動時將會對工具或容器之清淨空間產生污染之可能。舉例而言,壁部與外殼可能藉磁性互相鎖定。磁鎖可具有永久性或電磁元件226,228或其組合以視需要設置於壁部216或外殼214以鎖止及解鎖壁部與外殼。磁鎖可具有逆向式磁性元件可藉電流通過時開關(即開啟或關閉)。例如壁部216可包括磁性元件228(例如鐵質材料)而外殼214具有磁性開關元件226可啟動以鎖止及解鎖該壁部與外殼。在第2A及2B圖所示之實施例中,壁部之磁性元件及外殼之操作性磁鐵係經設計可與埠門介面2010,2010’中之磁鎖2028’,2026’配合,使在將裝載機門(壁部或外殼,見第2A-2B圖)鎖定於埠門時導致裝載機門從裝載機之其餘部份解鎖。在變更態樣中,壁部與外殼之間之磁鎖可具有任何其他預期構造。於第23圖所示實施例中之裝載機可包括機械聯結元件230,諸如樞轉銷,壓電耦合器裝置或形狀記憶裝置以將配對聯結特徵2030配接於埠門介面及將裝載機互鎖於埠門介面。於實施例中之裝置係設置於壁部,而在變更態樣中之裝置係鎖止於外殼。由第24圖可知,樞轉裝置係圍封於卸除式壁部與埠門之間之密封介面以截留因裝置本身運作所產生之潛在性顆粒。被動式裝載機與裝載機門提供真空相容性之清淨及可洗滌式裝載機。Referring again to Figure 1, as previously discussed, the wall portion 216 and the outer casing 214 are of a passive construction and are not provided with moving elements such as stop locks which, when moved, may contaminate the clean space of the tool or container. For example, the wall and the outer casing may be magnetically locked to each other. The magnetic lock can have permanent or electromagnetic elements 226, 228, or a combination thereof, disposed on wall portion 216 or outer casing 214 as needed to lock and unlock the wall and outer casing. The magnetic lock can have a reverse magnetic element that can be switched (ie, turned on or off) when current is passed through. For example, wall portion 216 can include a magnetic element 228 (eg, a ferrous material) and housing 214 can have a magnetic switching element 226 that can be activated to lock and unlock the wall and housing. In the embodiment shown in Figures 2A and 2B, the magnetic elements of the wall and the operative magnet of the housing are designed to cooperate with the magnetic locks 2028', 2026' of the Tuen Mun interface 2010, 2010'. The loader door (wall or casing, see Figure 2A-2B) is locked to the door causing the loader door to unlock from the rest of the loader. In a variant, the magnetic lock between the wall and the outer casing can have any other desired configuration. The loader in the embodiment illustrated in Figure 23 can include a mechanical coupling element 230, such as a pivot pin, a piezoelectric coupler device or a shape memory device to mate the mating coupling feature 2030 to the cardiac interface and to load the loader Locked to the Tuen Mun interface. The device in the embodiment is disposed on the wall, and the device in the modified aspect is locked to the outer casing. As can be seen from Fig. 24, the pivoting device encloses the sealing interface between the removable wall portion and the cardia to trap potential particles generated by the operation of the device itself. Passive loaders and loader doors provide vacuum-compatible clean and washable loaders.

如前所述,裝載機門與底部(即壁部216及外殼224)係密封以隔離裝載機艙室。另外,當裝載機與工具埠連接時,(例如裝載埠模組),裝載機門與底部各具有密封介面以分別將裝載機門(即第1圖中之壁部216或外殼214)與埠門及裝載機底部與埠口密封。此外,埠門與埠口具有密封介面。As previously mentioned, the loader door is sealed to the bottom (i.e., wall portion 216 and outer casing 224) to isolate the loader compartment. In addition, when the loader is coupled to the tool magazine (e.g., loading the cassette module), the loader door and the bottom each have a sealing interface to respectively respectively load the loader door (i.e., the wall portion 216 or the outer casing 214 in Fig. 1). The door and the bottom of the loader are sealed to the mouth. In addition, the cardia and the mouth have a sealed interface.

第3A-3C圖顯示與裝載機220類似之裝載機220’,係根據一實施例與工具埠TP連接,其中相對之密封介面(221’裝載機門與裝載機,222’裝載機與埠口,223’埠門與埠口及224’埠門與裝載機門)大致形成X形構造(詳見第3B圖)。於所示實施例中,裝載機密封介面係示於頂部開口以作為實例說明,而在變更態樣中具有多個開口之裝載機(類似第1圖所示之開口204)(例如頂部及底部)者之密封介面係設在各個開口處。由此可知,一般之X形構造僅是密封介面表面之示意代表,而在變更態樣中之密封介面表面可具有任何適當配置,例如該密封介面表面可呈曲線形。大致呈X形之密封構造形成多個密封介面(例如221’-222’),在介面之間之截留體積大致為零(0)。因此任何密封介面之開口將不會導致釋出污染物進入密封介面之開口之開放空間。此外,在其他變更態樣中之密封具有任何預定方位(例如密封介面之方位係大致呈+形式之水平或垂直排列)。在實施例中,所示之裝載機200’係具有頂部開口(壁部216係向上提升開啟之門),而埠TP係作成底部裝載(提升器將裝載機向上提升至平台之工具埠)作為實例說明。本實施例中之外殼214’具有密封介面214I’一般係斜切密封面221C’,222C’。雖然圖示之外殼上之密封面222C’,221C’係大致呈扁平,然而在變更態樣中之密封面可具有形成其上之角度或其他形狀以加強密封效果,而該表面一般係呈斜角以形成大致為X形之密封構造。本實施例中之裝載機之壁部216’具有密封介面216I’排列(如第3A圖之實施例中所示)大致係斜切以形成密封面221CD’及224CD’。如第3A圖所示,相對之外殼與壁部密封面221C’,221CD’係大致互補性以在壁部與外殼關閉時形成密封介面221’。裝載機介面214’上之斜角面221C’係大致形成楔形以在坐設於外殼時(見第3C圖)提供壁部216’之導引。此外,實施例中之裝載機門與裝載機密封介面221’之定位使壁部216’之重量可增加對介面之密封壓力。由此可知,本實施例中之匣盒與工作件係支承於壁部216’並使裝載機門與裝載機密封。由第3A-3B圖可見,密封面222C’及224CD’係設置以與埠P及埠門PD上之密封面222P’,224PD’互相配合。第3B圖顯示裝載機200’係坐設在埠口,而密封部222’,224’係關閉。當密封部222’,224’密封時將隔離所有外界面(即控制外側表面或在裝載機或工具內側之隔離艙室)來自內側/工具及裝載機艙室之潛在性污染。可第3B圖可知,大致呈X形之密封部220’提供最佳清淨度,因它將形成大致零損失體積介面。此意謂當裝載機門或埠門開啟時,密封部220’之密封形狀不會產生外露外側面之空間(即成為內側面)。由第3C圖可見,在卸除埠門時,卸除裝載機將不會導致任何前所未密封/外側面曝露於裝載機/加工工具內側。Figures 3A-3C show a loader 220' similar to loader 220, which is coupled to tool TP TP according to an embodiment, wherein the sealing interface (221 'loader door and loader, 222' loader and mouthpiece) , 223's Tuen Mun and Mouth and 224's door and loader door) form an X-shaped structure (see Figure 3B for details). In the illustrated embodiment, the loader sealing interface is shown in the top opening as an example, while in a modified aspect there is a loader with multiple openings (like the opening 204 shown in Figure 1) (eg top and bottom) The sealing interface of the person is provided at each opening. It can be seen that the general X-shaped configuration is only a schematic representation of the surface of the sealing interface, and the sealing interface surface in the modified aspect can have any suitable configuration, for example, the sealing interface surface can be curved. The generally X-shaped sealing formation forms a plurality of sealing interfaces (e.g., 221'-222') with a cut-off volume between the interfaces of substantially zero (0). Thus the opening of any sealing interface will not result in the release of contaminants into the open space of the opening of the sealing interface. Moreover, the seals in other variations have any predetermined orientation (e.g., the orientation of the sealing interface is generally in the form of a + or horizontal arrangement). In the embodiment, the loader 200' is shown with a top opening (the wall 216 is the door that lifts up to open), and the 埠TP is used as the bottom loading (the lifter lifts the loader up to the tool of the platform) as Example description. The outer casing 214' of this embodiment has a sealing interface 214I' which is generally a beveled sealing surface 221C', 222C'. Although the sealing faces 222C', 221C' on the illustrated outer casing are generally flat, the sealing faces in the modified aspect may have an angle or other shape formed thereon to enhance the sealing effect, and the surface is generally inclined The corners form a substantially X-shaped sealing configuration. The wall portion 216' of the loader of the present embodiment has a sealing interface 216I' arrangement (as shown in the embodiment of Figure 3A) that is generally chamfered to form sealing faces 221CD' and 224CD'. As shown in Fig. 3A, the opposing outer and wall sealing faces 221C', 221CD' are substantially complementary to form a sealing interface 221' when the wall and outer casing are closed. The beveled surface 221C' on the loader interface 214' is generally wedge shaped to provide guidance for the wall portion 216' when seated on the outer casing (see Figure 3C). Moreover, the positioning of the loader door and loader sealing interface 221' in the embodiment allows the weight of the wall portion 216' to increase the sealing pressure to the interface. From this, it is understood that the cassette and the workpiece in the present embodiment are supported by the wall portion 216' and the loader door is sealed with the loader. As can be seen from Figures 3A-3B, the sealing faces 222C' and 224CD' are disposed to cooperate with the sealing faces 222P', 224PD' on the 埠P and the haptics PD. Fig. 3B shows that the loader 200' is seated on the cornice and the sealing portions 222', 224' are closed. When the seals 222', 224' are sealed, all external interfaces (i.e., control outer surfaces or isolated compartments on the inside of the loader or tool) will be isolated from potential contamination of the inner/tool and loader compartments. As can be seen in Figure 3B, the generally X-shaped seal portion 220' provides optimum cleanliness as it will form a substantially zero loss volume interface. This means that when the loader door or the door is opened, the sealing shape of the sealing portion 220' does not create a space for the exposed outer side surface (i.e., becomes the inner side surface). As can be seen from Figure 3C, the removal of the loader will not result in any pre-seal/outer side exposure to the inside of the loader/machining tool when the door is removed.

由第3C圖可見,本實施例中之裝載機門之頂部開口於本實施例中將導致裝載機艙室202’位於壁部216’所支承之升起匣盒中。裝載機艙室202’係與工具內側聯通,可設置強制性空氣循環系統(未圖示),導致在裝載機艙室內之文氐管流。在本實施例中,裝載機艙室內之循環空氣流係位於升起匣盒(從壁部216’吊起)之工作件下方,因循環干擾之微粒之沉積(從工作件上沉積者)之可能性為最低。於第3A-3C圖所示之實施例中,裝載機200’係由適當提升裝置LD予以升高以連接及靠泊於埠口2220。在裝載機及提升裝置上設有適當之記錄裝置LDR以將裝載機定位於裝置上及將裝載機相對定位於埠口。於變更態樣中,裝載機係以任何適當方式支持於埠口。可通過磁鎖,機械聯鎖(例如設在埠門之間之密封介面)或在埠門之間之密封介面中所產生之真空吸力等方式將裝載機門216’鎖定於埠門2214。埠門2214可由能夠索引匣盒(類似第1圖之匣盒210)通過預期配對感應器(未予圖示)之適當裝置予以開啟/關閉。As seen in Fig. 3C, the top opening of the loader door in this embodiment will result in the loader compartment 202' being located in the raised cassette supported by the wall portion 216' in this embodiment. The loader compartment 202' is in communication with the inside of the tool and a mandatory air circulation system (not shown) can be provided, resulting in a flow of tubes in the loader compartment. In this embodiment, the circulating air flow in the loading cabin is located below the working piece of the lifting cassette (suspended from the wall portion 216'), and the deposition of particles due to cyclic interference (deposited from the workpiece) Sex is the lowest. In the embodiment illustrated in Figures 3A-3C, the loader 200' is raised by a suitable lifting device LD to connect and berth in the cornice 2220. A suitable recording device LDR is provided on the loader and the lifting device to position the loader on the device and to position the loader relative to the cornice. In a variant, the loader is supported in the mouth in any suitable manner. The loader door 216' can be locked to the cardia 2214 by a magnetic lock, a mechanical interlock (e.g., a sealing interface disposed between the tips), or a vacuum suction generated in a sealing interface between the tips. The trick 2214 can be turned on/off by an appropriate device capable of indexing a cassette (similar to the cassette 210 of FIG. 1) through an intended pairing sensor (not shown).

爰參照第4圖所示之另一實施例之裝載機300,該裝載機300與裝載機200類似但呈逆式,外殼314係位於壁部316之頂部。與裝載機200類似,裝載機300係頂部開口(外殼作為門)或底部開口(壁部作為門)。本實施例中所示之裝載機300具有一體成型之輸送組件300M。舉例而言,裝載機外殼(或壁部)314,316具有輸送運動支承,諸如滾輪或空氣支承及反應構件,可由驅動器或馬達予以啟動以使裝載機在FAB內自行輸送(即不需使用獨立輸送機)。第4圖顯示設在裝載埠3010(大致與前述埠2010類似)之裝載機300作為實例說明。所示實施例中,裝載機300係頂部裝載於埠介面。裝載機門316係設置相對或毗鄰(形成介面)於埠門3014,及外殼314可與埠3012形成介面。裝載機300亦具有大致與第3B圖所示之X形密封220’類似之三向,四向或五向“交接”類型(或零損失體積)密封部。第4A圖顯示一種實施例之密封部320之斷面圖。實施例中之密封部320係一種底部開口構造之四向密封部,大致與密封部220’類似。Referring to another embodiment of the loader 300 shown in FIG. 4, the loader 300 is similar to the loader 200 but is reversed and the outer casing 314 is located on top of the wall portion 316. Similar to the loader 200, the loader 300 is a top opening (the outer casing acts as a door) or a bottom opening (the wall portion acts as a door). The loader 300 shown in this embodiment has an integrally formed transport assembly 300M. For example, the loader housing (or wall) 314, 316 has a transport motion support, such as a roller or air bearing and reaction member, which can be activated by a drive or motor to allow the loader to self-deliver within the FAB (ie, without the need for independent use) Conveyor). Fig. 4 shows a loader 300 provided on the loading cassette 3010 (substantially similar to the aforementioned cassette 2010) as an example. In the illustrated embodiment, the loader 300 is top mounted on the jaw interface. The loader door 316 is disposed opposite or adjacent (forming the interface) to the cardia 3014, and the outer casing 314 can form an interface with the file 3012. Loader 300 also has a three-way, four-way or five-way "handover" type (or zero loss volume) seal similar to the X-shaped seal 220' shown in Figure 3B. Figure 4A shows a cross-sectional view of a seal portion 320 of one embodiment. The seal portion 320 in the embodiment is a four-way seal portion of a bottom open configuration, substantially similar to the seal portion 220'.

第4B圖顯示另一實施例之密封部之裝載機與埠口,及其間之密封部之間之介面之另一斷面圖。於此實施例中,密封部320’係大致類似密封部320。第4B圖另外顯示外殼介面314I’設有支承凸緣/部位326’,328’。本實施例中之凸緣326’可操作壁部316’,例如該凸緣可與裝載機門之一部份重疊(雖然圖示實施例之組件係形成門接觸面,而在變更態樣中該組件係與門接觸)及當裝載機門關閉時可定位磁鎖326M’以將壁部316’固定於外殼314’。此外,該部位326’可疊合埠門3014中之磁鎖3040’。埠門之磁鎖係用以將壁部316’鎖定於埠門3014’以提供裝載機門卸除作業。裝載機外殼部位326’之位置可啟動埠門鎖3040’(以將壁部316’鎖定於埠門)以致使壁部316’與外殼314’鎖之大致同時解鎖/關閉。相反的,當埠門3014’關閉時,埠門鎖3040’之解鎖/鎖死可導致壁部316’與外殼314’之間之磁閂326M’鎖死。實施例中之外殼之外側部位328’可接合埠口3010’之定位/定心部位3012C’以在裝載機配置時予以定位。第4B圖所示之外側部位328’之形狀僅作為實例說明,而在變態樣中之裝載機可具有任何預期定位部位。如前所述,密封部320’之X形構造可免除開啟裝載機門前之密封介面之淨化工作,因密封介面之淨化體積大致為零。變更態樣中(例如第4B圖所示)之實施例中,埠口具有一淨化線3010A。淨化線3010A係任何一種密封介面或其間者。第4C圖顯示另一實施例之裝載機工具介面之另一斷面。埠介面之裝載機具有類似前述密封部320之密封部320"。於此實施例中,裝載機外殼314"具有一支承部328"以提供裝載機300"坐設於埠口而不致使埠門PD加載於裝載機門(壁部316")(即將裝載機300"支承於埠口而不致將裝載機重量分佈於埠門)。在裝載機門開關時埠門與裝載機門密封部321"之密封接觸大致保持固定。Fig. 4B is a cross-sectional view showing the interface between the loader and the mouth of the seal portion of the other embodiment, and the seal portion therebetween. In this embodiment, the sealing portion 320' is substantially similar to the sealing portion 320. Figure 4B additionally shows that the housing interface 314I' is provided with support flanges/portions 326', 328'. The flange 326' in this embodiment can operate the wall portion 316', for example, the flange can partially overlap one of the loader doors (although the components of the illustrated embodiment form a door contact surface, and in a modified manner The assembly is in contact with the door) and the magnetic lock 326M' can be positioned to secure the wall portion 316' to the outer casing 314' when the loader door is closed. Additionally, the portion 326' can overlap the magnetic lock 3040' in the cardia 3014. The magnetic lock of the card is used to lock the wall portion 316' to the cardia 3014' to provide loader door removal. The position of the loader housing portion 326' activates the door lock 3040' (to lock the wall portion 316' to the cardia) such that the wall portion 316' is unlocked/closed substantially simultaneously with the lock of the outer casing 314'. Conversely, when the cardia 3014' is closed, unlocking/locking of the cardia lock 3040' can cause the magnetic latch 326M' between the wall portion 316' and the outer casing 314' to lock. The outer casing side portion 328' of the embodiment can engage the positioning/centering portion 3012C' of the tongue 3010' for positioning during loader configuration. The shape of the outer side portion 328' shown in Fig. 4B is illustrated by way of example only, and the loader in the variant may have any desired location. As previously mentioned, the X-shaped configuration of the seal portion 320' eliminates the need to clean the sealing interface in front of the loader door since the purge volume of the seal interface is substantially zero. In an embodiment of the modified aspect (e.g., as shown in Figure 4B), the cornice has a purge line 3010A. Purification line 3010A is any type of sealing interface or interposed therebetween. Figure 4C shows another section of the loader tool interface of another embodiment. The loader of the jaw interface has a seal portion 320" similar to the seal portion 320 described above. In this embodiment, the loader housing 314" has a support portion 328" to provide the loader 300" to sit on the cornice without causing a trick. The PD is loaded on the loader door (wall portion 316") (ie, the loader 300" is supported on the cornice without distributing the loader weight to the cardia. The sealing contact of the door with the loader door seal 321" is substantially fixed during the loader door switch.

第5A-5C圖顯示與裝載機300類似之裝載機300A與另一實施例之工具埠之配合。本實施例之裝載機300A係頂部開口及底部裝載式(沿着第5A圖之箭頭+z所示方向)。裝載機外殼316A係作為裝載機門。如第5B圖所示之密封介面320A係所謂之三向式密封(大致為零淨化線或損失體積,與前述密封部320,220類似),具有大致呈Y形構造(介面321A壁部對外殼,介面322A壁部對埠口,介面323A埠口3012A對埠門3014A)。本實施例中,埠門3014A係與外殼316A一致。例如外殼316A可設於埠門3014A內。實施例中外殼316A與埠門3014A之匹配與嵌合將使介面間之體積減為最小)。在外殼316A與埠門之間可設置一密封部(未予圖示)以密封其間之介面。如第5B圖所示,本實施例中之埠門3014A具有真空埠3010V以淨化埠門至裝載機門體積。Figures 5A-5C show a combination of loader 300A similar to loader 300 with a tool of another embodiment. The loader 300A of the present embodiment is a top opening and a bottom loading type (in the direction indicated by an arrow + z in Fig. 5A). The loader housing 316A acts as a loader door. The sealing interface 320A as shown in Fig. 5B is a so-called three-way seal (approximately zero purge line or loss volume, similar to the aforementioned sealing portions 320, 220), having a substantially Y-shaped configuration (interface 321A wall to shell) The interface 322A wall is opposite the mouth, the interface 323A is the mouth 3012A and the door is 3014A). In this embodiment, the cardia 3014A is identical to the outer casing 316A. For example, the outer casing 316A can be disposed within the cardia 3014A. The matching and fitting of the outer casing 316A with the cardia 3014A in the embodiment will minimize the volume between the interfaces). A seal (not shown) may be provided between the outer casing 316A and the cardia to seal the interface therebetween. As shown in Fig. 5B, the trick 3014A in this embodiment has a vacuum of 3010V to purify the door to the loader door volume.

再參照第2A-2B圖所示之裝載機與埠介面之另一構造。介面220,220’係與第2A,2B圖所示之實施例大致類似(分別為底部裝載/頂部開口,頂部裝載/底部開口式)。密封介面220,220’係四向式密封部,具有大致呈“十字形”或X形構造(介面221壁部216對外殼214,介面222外殼214對埠口,介面223埠口2012對埠門2014及介面224埠門對壁部216)。如第2A圖所示,本實施例中之密封介面222,224係定位(例如垂直)與介面表面(諸如在裝載機裝載時,及當埠門關閉時)之相對運動之方向大致平行。換言之,裝載機或裝載機門向關閉位置之移動將不會產生密封關閉。本實施例中形成密封介面222,224之一或多個表面可設有諸如膨脹式密封,壓電啟動式密封或形狀記憶構件等之啟動式密封,藉以在不需密封介面之摩擦接觸即可啟動密封部及關閉密封介面。所述之密封構造係僅作為實例說明。Referring again to another configuration of the loader and the 埠 interface shown in Figures 2A-2B. The interfaces 220, 220' are generally similar to the embodiments shown in Figures 2A, 2B (bottom loading/top opening, top loading/bottom opening, respectively). The sealing interface 220, 220' is a four-way sealing portion having a substantially "cross" or X-shaped configuration (the interface 221 wall portion 216 is opposite the outer casing 214, the interface 222 is facing the outer casing 214, and the interface 223 is opening the door to the door. 2014 and interface 224 door to wall 216). As shown in FIG. 2A, the sealing interfaces 222, 224 in this embodiment are positioned (eg, perpendicular) substantially parallel to the direction of relative movement of the interface surface (such as when the loader is loaded and when the cardia is closed). In other words, movement of the loader or loader door to the closed position will not result in a sealed closure. One or more of the surfaces forming the sealing interfaces 222, 224 in this embodiment may be provided with an activation seal such as an expansion seal, a piezoelectric actuator seal or a shape memory member, whereby the frictional contact without the sealing interface is required. Start the seal and close the seal interface. The sealed construction is described by way of example only.

參照第1圖,裝載機外殼214具有外側支承240以操持裝載機。所示之支承240係諸如把手,但亦可作成其他適當形式。實施例中之支承240係設在外殼之相對側並儘量隔離以使裝載機之操持穩定性最適化。變更態樣中可提供更多或更少支承。爰參照第6A圖,裝載機外殼220A在外殼底部附近設有孔口或凹槽構件,薄片或過濾器260A。該構件之孔口或凹槽之大小及形狀係經設計以減緩或降低裝載機門開啟時所引致之文氐管流或渦流之強度。變更態樣中之文氐管流或渦流減緩元件可設於裝載機中之任何其他適當位置。所示之裝載機200A之外殼係設在底部僅作為實例說明,而在變更態樣中之裝載機係設在頂部。在工具內部可提供另外之流強化空間及/或管道(未予圖示)以助維持工作件在工具中之大致順暢/層流。第6B圖顯示另一實施例之裝載機200B。該裝載機200B具有一調溫器250以使艙室內之工作件維持於有別於常溫之溫度。舉例而言,裝載機外殼或壁部214,216具有熱電模組,通過諸如匣盒支承與工作件產生熱接以使工作件之溫度受加熱/升溫至常溫以上。比常溫更高之工作件溫度將通過熱驅作用(thermofuoresis)而驅離工作件上之微粒及水分子,防止工作件離開裝載機時受到污染。在變更態樣中,可採用諸如微波能量等任何其他預期之調溫器。在另一變更態樣中,可在各工作件周圍產生靜電場以排斥水分子及微粒之污染。Referring to Figure 1, the loader housing 214 has an outer support 240 for handling the loader. The illustrated support 240 is such as a handle, but can be made in other suitable forms. The supports 240 in the embodiment are attached to opposite sides of the outer casing and are isolated as much as possible to optimize the stability of the loader. More or less support can be provided in the variant. Referring to Figure 6A, the loader housing 220A is provided with an aperture or groove member, sheet or filter 260A near the bottom of the housing. The size and shape of the orifice or groove of the member is designed to slow or reduce the strength of the tube or vortex caused by the loader door opening. The vessel flow or vortex mitigation element in the modified aspect may be located at any other suitable location in the loader. The illustrated housing of the loader 200A is shown at the bottom only as an example, while the loader in the modified aspect is attached to the top. Additional flow enhancement spaces and/or conduits (not shown) may be provided within the tool to help maintain substantially smooth/laminar flow of the work piece in the tool. Figure 6B shows a loader 200B of another embodiment. The loader 200B has a thermostat 250 to maintain the work piece in the cabin at a temperature different from normal temperature. For example, the loader housing or wall portions 214, 216 have thermoelectric modules that are thermally coupled to the workpiece by, for example, a cassette support to heat/warn the temperature of the workpiece to above normal temperature. The workpiece temperature higher than normal temperature will drive away the particles and water molecules on the workpiece by thermofuoresis, preventing the workpiece from being contaminated when it leaves the loader. In other variations, any other desired thermostat such as microwave energy may be employed. In another variation, an electrostatic field can be created around each workpiece to repel water molecules and particulate contamination.

爰參照第1A-1B圖,實施例中之匣盒210(亦可參照第1圖)具有巢狀支架210V以提供該支架所支承之工作件之360度正向限制。各支架210V可由一或多個外殼座位或支承210C所構成)。如第1A圖所示,匣盒支承210S之設置使工作件受支承而獲得跨式架置。各支架210V具有提升表面而為坐設支架上之工作件S提供限制周邊。該提升表面亦可呈斜面(相對於垂直面)以形成坐設工作件S之定位導引210L。外殼210V之坐設面係呈斜角(相對於工作件之底面,形成諸如相對於工作件底面呈1°之斜角,以確保與工作件底面之接觸,例如在周邊外界區內者。變更態樣中之工作件外殼可具有任何適當構造以形成被動式工作件限制。於另一變更態樣中,外殼係未設有被動式工作件限制者。Referring to Figures 1A-1B, the cassette 210 (also referred to in Figure 1) of the embodiment has a nested stent 210V to provide a 360 degree forward limit of the workpiece supported by the stent. Each bracket 210V can be comprised of one or more housing seats or supports 210C). As shown in Fig. 1A, the arrangement of the cassette support 210S allows the workpiece to be supported to obtain a straddle mount. Each of the brackets 210V has a lifting surface to provide a restricted perimeter for the workpiece S on the mounting bracket. The lifting surface may also be beveled (relative to the vertical plane) to form a positioning guide 210L for the seating member S. The seating surface of the outer casing 210V is at an oblique angle (with respect to the bottom surface of the workpiece, such as at an oblique angle of 1° with respect to the bottom surface of the workpiece to ensure contact with the bottom surface of the workpiece, such as in the peripheral outer zone. The work piece housing in the aspect can have any suitable configuration to form a passive work piece limit. In another variation, the outer case is not provided with a passive work piece limiter.

參照第7A-7B圖,與第1圖所示之裝載機200類似之另一實施例之裝載機200C係分別示於關閉及開啟狀態。本實施例中之匣盒210B具有變化高度。當裝載機200B關閉時,匣盒210B具有最低高度,而當裝載機門(壁部216B)開啟時,匣盒可擴展至最高高度。當匣盒從最低擴展至最高高度時,匣盒之工作件/支架之間之斜角將會增加而提供最低裝載機高度,在進出時提供工作件之間之最大空間。本實施例中,匣盒支承210SB大致具有風箱式構造。該支承係由鋁薄片或沒有關節接合而可提供充足撓度之任何其他適當材料製成(例如形狀記憶材料)。如圖所示,匣盒支承係在頂部支承於裝載機壁部216B。裝載機之頂部開口(如第7B圖中去除壁部216B)或底部開口(類似第2B圖所示之去除外殼214B者)將導致匣盒(風箱)支承210SB在重力作用下擴展。藉關閉裝載機門即可壓縮匣盒風箱。如第7C圖所示,風箱210SB具有可供放置工作件之工作件支承210VB。實施例中之工作件支承210VB係作成對應風箱之接合部210PB之形狀,當風箱擴展/壓摺時係保持大致固定之徑向位置(因此可防止工作件與工作件支座之間之相對徑向移動)。由此可知,風箱匣盒可壓摺使匣盒中之工作件被有效地夾緊於風箱之毗鄰摺襇之間。由此可知,上側夾緊部僅接觸工作件之周緣。如第7B圖所示,實施例中之工具或裝載機中之一透樑式配對器2060B或其他適當裝置可用以測定匣盒擴展時工作件S之位置。工作件自動操縱裝置(未予圖示)亦設有感應器用以偵測工作件之接近度以確保工作件抓取之適當定位。Referring to Figures 7A-7B, a loader 200C of another embodiment similar to the loader 200 illustrated in Figure 1 is shown in a closed and open state, respectively. The cassette 210B in this embodiment has a varying height. When the loader 200B is closed, the cassette 210B has the lowest height, and when the loader door (wall portion 216B) is opened, the cassette can be expanded to the highest height. When the cassette is extended from the lowest to the highest height, the bevel angle between the workpiece/stent of the cassette will increase to provide the lowest loader height, providing maximum space between the workpieces when entering and exiting. In the present embodiment, the cassette support 210SB has a bellows type configuration. The support is made of aluminum foil or any other suitable material that provides sufficient flexibility without joint engagement (e.g., shape memory material). As shown, the cassette support is supported at the top by the loader wall portion 216B. The top opening of the loader (such as the removal of the wall portion 216B in Figure 7B) or the bottom opening (like the removal of the outer casing 214B shown in Figure 2B) will cause the cassette (windbox) support 210SB to expand under the force of gravity. The cassette bellows can be compressed by closing the loader door. As shown in Fig. 7C, the bellows 210SB has a workpiece support 210VB for placing a work piece. The workpiece support 210VB in the embodiment is formed in the shape of the joint portion 210PB corresponding to the bellows, and maintains a substantially fixed radial position when the bellows expands/folds (so that the work piece and the work piece support are prevented from being Relative radial movement). It can be seen that the bellows cassette can be folded so that the work piece in the cassette is effectively clamped between the adjacent folds of the bellows. It can be seen from this that the upper clamping portion only contacts the periphery of the workpiece. As shown in Fig. 7B, one of the tool or loader in the embodiment, the beam coupler 2060B or other suitable means can be used to determine the position of the workpiece S when the cassette is expanded. The workpiece automatic control device (not shown) is also provided with an inductor for detecting the proximity of the workpiece to ensure proper positioning of the workpiece.

如前所述,具有被動式裝載機門與密封部之裝載機適用與諸如裝載鎖等真空式艙室之直接介面。第8圖顯示將與另一實施例之真空式艙室(示為裝載鎖)400之埠介面4010直接配對之裝載機200’。第8圖所示之裝載機200’係與前述裝載機200,300大致類似。實施例中之裝載鎖具有調位器410可用以開/關埠門4014,於是可開/關裝載機門(於此實施例中之頂壁部216’)及升/降匣盒210’。在本實施例中,調位器410經設計可提供裝載鎖艙室之低或最低Z-高度。例如調位器410係設置於裝載鎖艙室400C之外側,並沿着裝載鎖艙室排列以減少艙室及裝載鎖之高度。實施例中,調位器410具有驅動部412及聯接部414。在實施例中所示之驅動部412具有設有電機驅動系統,具有馬達驅動帶或螺栓驅動器以升/降穿梭機416。本實施例中之聯接部414係一種磁性聯接器,可將驅動部上之穿梭機416聯接至埠門4014。該埠門具有磁鐵(永久性或電磁)或設置其上之磁性材料以構成磁性聯接器之內部414I。該門之磁性部份414I亦可將埠門鎖定於門框4012。舉例而言,埠門框4012可具有適當磁鐵(與第2B圖所示之磁鐵2028’類似)設置以配合埠門上之磁性部份/磁鐵414I之操作及當機門位於關閉狀態時將機門與埠口鎖止。實施例中,埠門框中之磁鎖元件可與機門4014上之磁性聯接器414I配合操作。變更態樣中,機門與驅動器之間之磁性聯接器,及機門與門框之間之磁鎖可具有任何適當構造。如第8圖所示,艙室壁部400W將驅動部412與艙室400C內部隔離。在變更態樣中(亦見第18-19圖),驅動部412’係線性馬達(例如線性引流馬達,LIM),在埠門4014’之反應部414I’上操作以啟動埠門之移動。該LIM亦可設置於艙室壁部外側及與艙室內部隔離。於第18-19圖所示之實施例中,驅動部可包括磁性材料部4122’,或永久性磁鐵形成安全鎖以在艙室電供失效時保持埠門4014’於開啟狀態。變更態樣中,可將適當蓄壓器連接於驅動部以供預期控制以將埠門降低至關閉狀態。由第8圖及第18-19圖可見,埠門與埠門框之間之密封部在實施例中係設置以使門重量有助於密封該介面。As previously mentioned, loaders with passive loader doors and seals are suitable for direct interface with vacuum chambers such as load locks. Figure 8 shows a loader 200' that will be directly paired with the top interface 4010 of the vacuum chamber (shown as load lock) 400 of another embodiment. The loader 200' shown in Fig. 8 is substantially similar to the aforementioned loaders 200, 300. The load lock in the embodiment has a positioner 410 that can be used to open/close the door 4014, thereby opening/closing the loader door (the top wall portion 216' in this embodiment) and the raising/lowering cassette 210'. In the present embodiment, the positioner 410 is designed to provide a low or minimum Z-height of the load lock compartment. For example, the positioner 410 is disposed on the outer side of the load lock compartment 400C and arranged along the load lock compartment to reduce the height of the cabin and the load lock. In the embodiment, the positioner 410 has a driving portion 412 and a coupling portion 414. The drive portion 412 shown in the embodiment has a motor drive system with a motor drive belt or bolt drive to raise/lower the shuttle 416. The coupling portion 414 in this embodiment is a magnetic coupling that couples the shuttle 416 on the drive portion to the cardia 4014. The trick has a magnet (permanent or electromagnetic) or a magnetic material disposed thereon to form the interior 414I of the magnetic coupler. The magnetic portion 414I of the door can also lock the card to the door frame 4012. For example, the door frame 4012 can have a suitable magnet (similar to the magnet 2028' shown in FIG. 2B) to accommodate the operation of the magnetic portion/magnet 414I on the card door and the door when the door is in the closed state. Locked with the cornice. In an embodiment, the magnetic lock element in the door frame can cooperate with the magnetic coupling 414I on the door 4014. In a variant, the magnetic coupling between the door and the drive, and the magnetic lock between the door and the door frame can have any suitable configuration. As shown in Fig. 8, the cabin wall portion 400W isolates the drive portion 412 from the interior of the cabin 400C. In a modified version (see also Figures 18-19), the drive portion 412' is a linear motor (e.g., a linear drainage motor, LIM) that operates on the reaction portion 414I' of the cardia 4014' to initiate movement of the cardia. The LIM may also be disposed outside the cabin wall and isolated from the interior of the cabin. In the embodiment illustrated in Figures 18-19, the drive portion can include a magnetic material portion 4122', or the permanent magnet forms a safety lock to maintain the cardiac door 4014' in an open state when the cabin electrical supply fails. In a variant, a suitable accumulator can be connected to the drive for intended control to lower the trick to a closed state. As can be seen from Figures 8 and 18-19, the seal between the cardia and the ankle frame is provided in the embodiment such that the weight of the door helps to seal the interface.

由第8圖所示實施例可知,磁性聯接之對應部414I亦可將埠門4014與裝載機門216’互相鎖止。舉例而言,裝載機門可設有適當磁鐵(例如永久性磁鐵)或磁性材料228’,當被啟動時,與聯接部414I(例如包括電磁或具有變異性電場之磁鐵)互相配合以將埠口與裝載機門互相鎖止。在本實施例中之埠門活動係由與艙室隔離之導引器予以導引。舉例而言,在所示實施例中,利用風箱400B連接埠門至艙室壁部及將埠門移動導引4006與艙室隔離。本實施例中之導引器一般係伸縮式部件。所示之伸縮式導引器係由中空圓筒伸縮管所製成,而在變更態樣中可具有任何適當構造。在另一變更態樣中,調位器亦可具有任何其他預期構造。舉例而言,可將適當調位馬達設置於艙室壁部,但與艙室內部隔離,如7/22/03提出申請之美國專利申請案第10/624,987號,以下援引作為本案參考,可不需埠門之機械導引而啟動埠門之控制性運動。風箱予以施壓可助埠門關閉。風箱400B亦可用以圍罩諸如真空線路等之控制系統,及連接於埠門之動力/信號線路。本實施例中之埠門具有連接於真空供源之埠PD10,構成艙室泵下埠,以下將予詳述。As can be seen from the embodiment shown in Fig. 8, the magnetically coupled counterpart 414I can also interlock the latch 4014 with the loader door 216'. For example, the loader door may be provided with a suitable magnet (eg, a permanent magnet) or a magnetic material 228' that, when activated, cooperates with the coupling portion 414I (eg, including a magnet or a magnet having a variability electric field) to cooperate The port and the loader door are locked to each other. The cardia movement in this embodiment is guided by an introducer that is isolated from the cabin. For example, in the illustrated embodiment, the bellows 400B is used to connect the cardia to the cabin wall and to isolate the cardia travel guide 4006 from the cabin. The introducer in this embodiment is generally a telescopic component. The telescopic introducer shown is made of a hollow cylindrical telescoping tube and may have any suitable configuration in a modified form. In another variation, the positioner can also have any other contemplated configuration. For example, a suitable locating motor can be placed in the wall of the cabin, but is isolated from the interior of the cabin, as described in U.S. Patent Application Serial No. 10/624,987, the entire disclosure of which is incorporated herein by reference. The mechanical guidance of the cardia initiates the controlled movement of the cardia. Pressure on the bellows can help the door close. The bellows 400B can also be used to surround a control system such as a vacuum line, and a power/signal line connected to the door. The trick in this embodiment has a weir PD10 connected to a vacuum source to form a chamber pump jaw, as will be described in more detail below.

第9圖顯示另一實施例之設在真空室400’上之裝載機300’。實施例所示,裝載機300’係一種底部開口裝載機(與前述第3圖所示之裝載機300類似)。於此實施例中,埠門4014’開啟時係下降至艙室。調位器(未予圖示)係與第8圖及第18-19圖所示者類似,但用以將埠門向下移動。艙室及埠門具有磁鎖4028’,4026’用以將關閉狀態之門鎖定於艙室框架上。實施例中之埠門框具有一或多個綫圈元件4028’,形成如磁鎖之門框側部所示者。綫圈元件4028’可如預期設置及產生可操作門鎖組件4026’之磁場。機門上之磁鎖組件4026’係永久性磁鐵或磁性材料。在實施例中之綫圈元件4028’係設置於艙室作為實例說明。而變更態樣中之綫圈元件係設於外側。艙室壁部係與艙室內部隔離。綫圈元件係相對於門框呈固定或靜定。當預期要降低磁鎖之磁力及容易移動埠門時可減低磁場強度。變更態樣之綫圈元件係可移動性,例如安裝於驅動系統之穿梭機及形成埠門與調位器之間之磁性聯接之一部份。在變更態樣中,磁鎖係與前述將裝載機門鎖定於裝載機者類似。啟動磁鎖於門框之設在埠門4014’上之永久性磁鐵或磁性材料亦可提供與調位器之聯接,與第8圖所示者類似。第9圖所示本實施例之艙室亦具有與第8圖所示者類似之風箱及埠門導引器。該風箱可被施壓以助升起埠門及保持於關閉狀態,尤其當裝載機門與匣盒係坐設於埠門時。在變更態樣中,艙室具有未設埠門導引器之風箱。將真空連接於埠門以通過埠門與裝載機門介面啟動艙室泵。因此在第8圖所示實施例中,艙室泵埠係設置於埠門處。Figure 9 shows a loader 300' of another embodiment disposed on a vacuum chamber 400'. As shown in the embodiment, the loader 300' is a bottom open loader (similar to the loader 300 shown in Fig. 3). In this embodiment, the cardia 4014' is lowered to the compartment when it is opened. The positioner (not shown) is similar to that shown in Figures 8 and 18-19, but is used to move the card down. The compartments and shackles have magnetic locks 4028' that are used to lock the closed door to the cabin frame. The door frame of the embodiment has one or more coil elements 4028' formed as shown on the side of the door frame of the magnetic lock. Coil element 4028' can be positioned and create a magnetic field of operable door lock assembly 4026'. The magnetic lock assembly 4026' on the door is a permanent magnet or magnetic material. The coil element 4028' in the embodiment is disposed in the cabin as an example. The coil component in the modified aspect is disposed on the outer side. The wall of the cabin is isolated from the interior of the cabin. The coil elements are fixed or static with respect to the door frame. The magnetic field strength can be reduced when it is expected to reduce the magnetic force of the magnetic lock and to easily move the door. The coil component of the modified aspect is movable, such as a shuttle mounted to the drive system and a magnetic coupling between the stern and the positioner. In a variant, the magnetic lock is similar to the aforementioned locking of the loader door to the loader. A permanent magnet or magnetic material disposed on the door 4014' that activates the magnetic lock to the door frame may also provide a coupling to the positioner, similar to that shown in FIG. The cabin of this embodiment shown in Fig. 9 also has a bellows and a door guide similar to those shown in Fig. 8. The bellows can be pressurized to help raise the shackle and remain closed, especially when the loader door and cassette are seated on the shackle. In a variant, the cabin has a bellows without a door guide. Connect the vacuum to the door to activate the chamber pump through the door and loader door interface. Therefore, in the embodiment shown in Fig. 8, the cabin pumping system is provided at the cardia.

再參照第8圖,實施例中可利用諸如與艙室埠連接之裝載機進行裝載鎖艙室泵,而埠門係由調位器410從關閉狀態移動。由第8圖可知,實施例中通過埠門之真空埠PD10之裝載鎖艙室之抽取作業係通過裝載機門216’至埠門4014介面。通過裝載機門至埠門介面之艙室/裝載機氣體之抽吸流將在介面產生負壓,防止污染物逸入艙室。第10圖顯示另一實施例之通過埠門5014之裝載鎖艙室抽取作業。在此實施例中,可在裝載鎖艙室抽取之前進行埠門至裝載機門空間5430,及裝載機艙室202之淨化作業。例如可應用真空及將埠門裂化至埠密封部5223(或利用適當閥門)將淨化氣體引入空間5430。藉助裝載機門216之裂化以供裝載鎖艙室5400氣體進入裝載機,或藉助適當閥門即可淨化裝載機200。例如源自艙室(如第10圖中虛線所示)之氣體供應可提供予裝載機以引進預期氣體樣本進入裝載機200。如第10A圖所示,係顯示裝載鎖艙室5400及裝載機門之裝載機200及負荷鎖艙室5400,具有如預期設置於裝載鎖壁部之通孔(或氣體樣本供應)5440。因此實施例中之淨化線係用於淨化,而艙室之排氣可獨立進行而不受裝載機門至埠門介面所影響。Referring again to Fig. 8, in an embodiment, a load lock chamber pump can be utilized, such as a loader coupled to the cabin raft, and the slamming door is moved from the closed position by the positioner 410. As can be seen from Fig. 8, in the embodiment, the loading operation of the loading lock chamber through the vacuum port PD10 of the door is through the interface of the loader door 216' to the card door 4014. The suction flow of the chamber/loader gas through the loader door to the door interface will create a negative pressure at the interface to prevent contaminants from entering the chamber. Figure 10 shows another embodiment of the loading lock chamber extraction operation through the door 5014. In this embodiment, the door to loader door space 5430 and the loading operation of the loader compartment 202 may be performed prior to the loading lock chamber extraction. For example, vacuum can be applied and the valve can be cracked to the helium seal 5223 (or with a suitable valve) to introduce purge gas into the space 5430. The loader door 216 is cracked for loading the lock chamber 5400 gas into the loader, or the loader 200 can be cleaned by means of a suitable valve. For example, a gas supply originating from the cabin (as indicated by the dashed line in Figure 10) may be provided to the loader to introduce the desired gas sample into the loader 200. As shown in Fig. 10A, the loader compartment 200 and the load lock compartment 5400, which are loaded with the lock compartment 5400 and the loader door, are shown having a through hole (or gas sample supply) 5440 disposed as desired in the load lock wall portion. Thus, the purge line in the embodiment is used for purification, and the exhaust of the cabin can be performed independently without being affected by the loader door to the door interface.

第11圖顯示裝載機門316A與埠門6414具有相對機械“故障防護”鎖之實施例,用以將裝載機門鎖止於裝載機3140及將埠門鎖止於埠口6412或艙室6400D。裝載機314D,裝載機門316D,埠6412及埠門6414係被動式(沒有關節鎖件)。於此實施例中,調位器可同時用於埠門之Z軸調位及用於旋轉埠門(例如沿着Z軸)以將鎖片接合/脫接於埠門及裝載機門。變更態樣中,埠門之Z軸移動及旋轉可通過不同驅動軸予以提供。第12A-12B圖分別顯示裝載機外殼314D及裝載機門316D之底面圖。第13A-13B圖分別顯示在(裝載鎖)艙室6400與埠門6414之埠口6412之頂面圖。實施例中之裝載機外殼之底面具有接合片/表面360D,由接合面362D接合於裝載機門316D。由此可知,接合面360D,362D之間之接合/脫接可通過裝載機間相對於裝載機314D之旋轉予以啟動。裝載機門之旋轉係由埠門6414所提供,以下將予詳述。變更態樣中埠門與裝載機之間之接合可具有任何預期構造。裝載機門316D具有凹/凸扭矩聯接部位365D以與裝載機門6414T上之扭矩聯接構件進行配合。圖示實施例中,埠口6412及埠門6414具有與裝載機及裝載機門之接合部位大致類似之互鎖或接合面。由第13A,13B圖所示,埠口具有接合面6460(例如向內突出),而埠門6414具有互補之接合面6462以重疊及接合埠口表面6460。由此可知,實施例中之裝載機上之接合面3600,3620,及埠口上之接合面6460,6462係彼此相對設置以供在埠門旋轉時裝載機與裝載機門及埠口與埠門之間之同時接合/脫接。Figure 11 shows an embodiment of the loader door 316A and the door 6414 having a relative mechanical "fail-safe" lock for locking the loader door to the loader 3140 and locking the card to the cornice 6412 or compartment 6400D. Loader 314D, loader door 316D, 埠6412 and 646414 are passive (no joint lock). In this embodiment, the positioner can be used for both Z-axis adjustment of the cardia and for rotating the cardia (eg, along the Z-axis) to engage/disengage the locking tabs to the slamming door and the loader door. In the modified aspect, the Z-axis movement and rotation of the door can be provided by different drive shafts. Figures 12A-12B show bottom views of loader housing 314D and loader door 316D, respectively. Figures 13A-13B show top views of the mouth 6412 of the (load lock) compartment 6400 and the cardia 6414, respectively. The bottom surface of the loader housing of the embodiment has a tab/surface 360D that is joined to the loader door 316D by a joint surface 362D. It can be seen that the engagement/disengagement between the joint faces 360D, 362D can be initiated by the rotation of the loader relative to the loader 314D. The rotation of the loader door is provided by the Tuen Mun 6414, as will be described in more detail below. The joint between the trick and the loader in the modified aspect can have any desired configuration. The loader door 316D has a female/protruding torque coupling location 365D to mate with the torque coupling member on the loader door 6414T. In the illustrated embodiment, the cornice 6412 and the flap 6414 have interlocking or mating faces that are substantially similar to the joints of the loader and the loader door. As shown in Figures 13A, 13B, the jaw has an engagement surface 6460 (e.g., inwardly projecting), while the cardia 6414 has a complementary engagement surface 6462 to overlap and engage the jaw surface 6460. It can be seen that the joint faces 3600, 3620 on the loader in the embodiment and the joint faces 6460, 6462 on the cornice are disposed opposite each other for loading and loading doors and mouth and the door during the rotation of the card. Simultaneous bonding/disengagement.

第14圖顯示裝載鎖艙室400E及調位器6410E與裝載機300E。於此實施例中之調位器係與裝載鎖艙室呈軸向串聯設置。類似艙室200,300,3000,第4圖所示實施例中之艙室300E係具有類似前述部位之真空相容性頂部或底部開口艙室。艙室6400E係與前述艙室類似。第15圖顯示具有減低抽取體積構造之一種裝載鎖艙室及裝載機300C。圖示實施例中,裝載機門316F具有用於裝載機外殼314F密封之頂部350F及底部321F門。如第15圖所示,當裝載機門關閉時,底部密封3270F(類似密封部221)係與外殼314F接合。當裝載機門開啟時,頂部密封350C係封閉裝載機外殼(例如密封部350F係座設及密封於裝載機座表面351F)。頂部密封350F係將裝載機艙室與裝載鎖艙隔離,藉此使抽取裝載鎖艙室為真空時可減低抽取體積。Figure 14 shows the load lock compartment 400E and the positioner 6410E and the loader 300E. The positioner in this embodiment is arranged axially in series with the load lock compartment. Similar to the compartments 200, 300, 3000, the compartment 300E of the embodiment shown in Fig. 4 has a vacuum compatible top or bottom open compartment similar to that previously described. Cabin 6400E is similar to the aforementioned cabin. Figure 15 shows a load lock compartment and loader 300C having a reduced draw volume configuration. In the illustrated embodiment, the loader door 316F has a top 350F and a bottom 321F door for the loader housing 314F seal. As shown in Fig. 15, when the loader door is closed, the bottom seal 3270F (like the seal portion 221) is engaged with the outer casing 314F. When the loader door is opened, the top seal 350C closes the loader housing (eg, the seal portion 350F is seated and sealed to the loader seat surface 351F). The top seal 350F isolates the loader compartment from the load lock compartment, thereby reducing the extraction volume when the extraction lock lock chamber is under vacuum.

第16A-16B圖分別顯示另一實施例之在進站與離站狀態下之裝載機300G及裝載鎖艙室6400G。裝載機300G具有底壁部316G,環形部314G及頂壁部314PD。在此實施例中之環形部314G或一或多個部份係操作作為裝載機門。頂壁部及底壁部316G,314PD可固定在一起,而形成機門之移動部314G分別具有頂部及底部密封350G,321G用以密封頂壁部及底壁部。裝載室艙室6400G具有一開口埠6402G,如第16B圖所示,裝載機300G可通過該開口埠嵌套入裝載鎖艙室。裝載鎖艙室6400G具有凹槽6470G以將裝載機門314G降低以提供裝載機之進取開口。裝載機之頂壁部314PD可密封裝載鎖艙室埠,藉此密封裝載鎖艙室及提供艙室之抽取。可提供適當之升降器/調位器以升/降裝載機門314G。第17-17C圖顯示另一實施例之另一種頂部密封裝載機300H及裝載鎖艙室6400H。裝載機300H具有頂部密封凸緣314H及側開口304H(沿着工作件之裝卸之裝載機緣設置)。實施例中之裝載機頂部密封凸緣314H係座設及密封於如第17B圖所示之艙室埠之邊緣6412H。裝載機門314DR可作第17C圖之箭頭0所示般之徑向朝外及旋轉運動,裝載機開口係對準裝載鎖艙室中之槽口閥門。雖然所示實施例係參照一種裝載鎖艙室,所述部位亦同樣適用於諸如第18圖所示之裝載埠艙室。裝載埠艙室之內部係具有控制性圍氛,但可能未予隔離。Figures 16A-16B show loader 300G and load lock compartment 6400G, respectively, in the inbound and outbound states of another embodiment. The loader 300G has a bottom wall portion 316G, an annular portion 314G, and a top wall portion 314PD. The annular portion 314G or one or more portions in this embodiment operate as a loader door. The top wall portion and the bottom wall portion 316G, 314PD may be fixed together, and the moving portion 314G forming the door has a top and bottom seal 350G, respectively, for sealing the top wall portion and the bottom wall portion. The load compartment compartment 6400G has an opening 埠 6402G through which the loader 300G can be nested into the load lock compartment as shown in FIG. 16B. The load lock compartment 6400G has a recess 6470G to lower the loader door 314G to provide an access opening for the loader. The top wall portion 314PD of the loader seals the load lock chamber 埠, thereby sealing the load lock chamber and providing extraction of the chamber. A suitable lifter/positioner can be provided to raise/low loader door 314G. Figures 17-17C show another top seal loader 300H and load lock compartment 6400H of another embodiment. The loader 300H has a top sealing flange 314H and a side opening 304H (arranged along the loading and unloading load edge of the workpiece). The loader top sealing flange 314H of the embodiment is seated and sealed to the edge 6412H of the compartment 如 as shown in Fig. 17B. The loader door 314DR can be oriented radially outward and rotationally as indicated by arrow 0 of Figure 17C, with the loader opening aligned with the notch valve in the load lock compartment. Although the illustrated embodiment refers to a load lock compartment, the location is equally applicable to a load compartment such as that shown in FIG. The interior of the loading compartment has a controlled atmosphere but may not be isolated.

參照第29A及29B圖,係顯示另一實施例之一種自動化材料操持系統10,10’之示意平面圖。在第29A及29B圖中所示之自動化材料操持系統10,10’一般上具有一或多個隔艙內輸送系統部15,一或多個隔艙間輸送系統部20,隔艙排位部35,輸送支線或分路部25及工作件裝載機或輸送機。隔艙內及隔艙間一詞係作為方便使用但不用以限制輸送系統10110’之配置(文中“間”一詞通常係指延伸通過數個群組之部份,而“內”一詞通常係指延伸於一群組內之部份)。輸送系統部15,20,25,35可嵌套在一起(即一輸送迴路在另一輸送迴路之中),並係排列以供諸如200mm晶圓,300mm晶圓,平面顯示屏幕及類似物件,及/或其裝載機等之半導體工作件以高速傳輸進出加工隔艙45及在加工設施中之相關連加工工具30。在變更態樣中,任何適當材料均可在自動化材料操持系統中傳輸。輸送系統10亦可提供工作件再導引自一輸送站至另一輸送站。具有隔艙間及隔艙內支線之用以輸送工作件之自動材料操持系統之一實施係見述於美國專利申請案第10/697,528號及授權公文第390-011338-US(PAR)號之“自動化材料操持系統”,以下將援引作為本案參考。Referring to Figures 29A and 29B, there is shown a schematic plan view of an automated material handling system 10, 10' of another embodiment. The automated material handling system 10, 10' shown in Figures 29A and 29B generally has one or more compartment delivery system sections 15, one or more compartment conveyor system sections 20, and compartment compartments. 35, conveying the branch line or branching portion 25 and the workpiece loader or conveyor. The term compartment and compartment are used as convenience but are not intended to limit the configuration of the conveyor system 10110' (the term "inter" in the text generally refers to the portion extending through several groups, and the term "inner" is usually Refers to the part that extends within a group). The conveyor system sections 15, 20, 25, 35 can be nested together (i.e., one transport loop is in another transport loop) and arranged for supplies such as 200 mm wafers, 300 mm wafers, flat display screens, and the like. The semiconductor workpieces of the loader and/or its loader are transported at high speed into and out of the processing compartment 45 and associated processing tools 30 in the processing facility. In the variant, any suitable material can be transferred in the automated material handling system. The conveyor system 10 can also provide work pieces that are redirected from one transfer station to another. One of the embodiments of the automatic material handling system for transporting workpieces in the compartments and in the compartments of the compartments is described in U.S. Patent Application Serial No. 10/697,528, the entire disclosure of which is incorporated herein by reference. "Automated Material Handling System", which will be cited below as a reference for this case.

第29A及29B圖所示之自動化材料操持系統10,10’之構造係代表性構造,而自動化材料操持系統10,10’可被設置於任何適當構件以容納加工設施中之加工隔艙及/或加工工具之任何預期佈局。由第29A圖可知,隔艙內輸送部15可設置於任一側及由任何數目之對應於一或多個加工艙45之輸送部20予以互相連接。變更態樣中之外側或側面輸送部係隔艙內部份,而其間橫貫之部份可將隔艙內部份聯接於同一隔艙內之加工工具之群組或排列。第29A圖之實施例中之隔艙內輸送部15亦可由交接分路50所連接,可供工作件直接移動於隔艙內輸送部15之間而不需通過加工或製程隔艙45。在另一變更態樣中,輸送部15可藉附加之隔艙內輸送部(未予圖示)予以互相連接。在另一變更態樣中,如第29B圖所示,隔艙間輸送部15可設置於任何數目之加工隔艙45之間,藉此在分叉部伺服隔艙或工具組45之間形成中央島或輸送中央幹道。在另一變更態樣中,任何數目之嵌套迴路部,諸如N數目之系統(如第29A及29B圖所示之系統10,10’)係由輸送部予以並聯連接,直接連接各該隔艙間輸送部15。在另一變更態樣中,輸送部15,20及加工工具可具有任何適當構造。此外,任何數目之隔艙內/隔艙間系統可以任何適當構造連接在一起以形成嵌套加工排列。The automated material handling systems 10, 10' shown in Figures 29A and 29B are representative in construction, and the automated material handling systems 10, 10' can be placed in any suitable component to accommodate the processing compartments in the processing facility and/or Or any expected layout of the processing tool. As can be seen from Fig. 29A, the inter-chamber transport portion 15 can be disposed on either side and interconnected by any number of transport portions 20 corresponding to one or more processing bays 45. In the modified aspect, the outer side or side conveying portion is the inner portion of the compartment, and the traversing portion therebetween may connect the inner portion of the compartment to a group or arrangement of processing tools in the same compartment. The inter-vehicle transport portion 15 in the embodiment of Fig. 29A may also be connected by the transfer shunt 50 for the workpiece to be moved directly between the transport portions 15 in the compartment without passing through the processing or process compartment 45. In another modification, the transport unit 15 can be connected to each other by means of an additional compartment transport unit (not shown). In another variation, as shown in Fig. 29B, the inter-compartment transport portion 15 can be disposed between any number of processing bays 45, thereby forming between the bifurcated servo bay or tool set 45. Central Island or transport central road. In another variation, any number of nested loop portions, such as N-number systems (such as systems 10, 10' shown in Figures 29A and 29B) are connected in parallel by the transport portion, directly connecting the partitions. Inter-port transport unit 15. In another variation, the delivery portions 15, 20 and the processing tool can have any suitable configuration. Moreover, any number of compartment/compartment systems can be joined together in any suitable configuration to form a nested machining arrangement.

隔艙間輸送部15係可提供任何適當工作件輸送之移動之模組軌道系統。各個軌道系統模組可設有適當配對裝置(例如聯鎖切面,機械固定件等)以在安裝隔艙內輸送部15時提供模組端對端連接在一起。軌道模組可作成任何適當長度,諸如數尺長,或作成任何適當形狀,諸如直條或曲線形,以提供安裝及構造彈性之便利操持。軌道系統可支持工作件從底部輸送或在變更態樣中,該軌道系統係懸掛式軌道系統。軌道系統可設有滾輪支承或任何其他適當支承面使工作件輸送可沿着軌道移動而不經滾輪之阻力。滾輪支承係呈截錐面或該軌道係向曲線或彎角內側呈斜角以提供工作件容器在軌道上移動時之附加方向穩定性。The compartment transport unit 15 is a modular track system that provides movement of any suitable work piece transport. Each of the track system modules may be provided with suitable mating means (e.g., interlocking cuts, mechanical fasteners, etc.) to provide the modules end to end when the transport portion 15 is installed in the compartment. The track module can be made of any suitable length, such as a few feet long, or in any suitable shape, such as a straight strip or curved shape, to provide for ease of installation and construction flexibility. The track system can support the transport of the work piece from the bottom or in a modified manner, the track system being a suspended track system. The track system can be provided with a roller bearing or any other suitable bearing surface to allow the workpiece to be transported along the track without the resistance of the roller. The roller support is in the shape of a truncated cone or the track is angled to the inside of the curve or corner to provide additional directional stability of the workpiece container as it moves over the track.

隔艙內輸送部15係傳動帶式輸送系統,履帶與滾筒式或鏈帶與星輪式輸送系統,輪驅動系統或磁力引動式輸送系統。用以驅動輸送系統之馬達係具有無限制衝程可使工作件容器沿着隔艙內輸送部15移動之任何適當線型馬達。該線型馬達係沒有活動組件之固態馬達。舉例而言,線型馬達係整流或非整流AC或DC馬達,線型引動馬達,或線型步進式馬達。線型馬達可被加入隔艙內輸送部15或加入本身之工作件輸送或容器中。在變更態樣中,可加入任何適當驅動裝置以驅動通過隔艙內輸送系統之工作件。在另一變更態樣中,隔艙內輸送系統係無軌導輪自發性輸送機之路徑。The transport unit 15 in the compartment is a belt conveyor system, a track and drum type or a chain belt and a star wheel conveyor system, a wheel drive system or a magnetically driven conveyor system. The motor used to drive the delivery system has any suitable linear motor that can move the workpiece container along the transport portion 15 within the compartment with an unrestricted stroke. The linear motor is a solid state motor without moving parts. For example, a linear motor is a rectified or non-rectified AC or DC motor, a linear pilot motor, or a linear stepper motor. The linear motor can be incorporated into the transport portion 15 of the compartment or into the workpiece transport or container of itself. In a variant, any suitable drive can be added to drive the work piece through the transport system in the compartment. In another variation, the in-cabin transport system is the path of the trackless guide wheel spontaneous conveyor.

以下將予說明,利用排序部與分路,隔艙內輸送部15一般可提供工作件沿着隔艙內輸送部15之路徑作無中斷式高速移動或流動。與在輸送線上加入或移除輸送容器時需要停止材料流動之傳統輸送系統相比之下,本實施例較為可取。As will be explained below, with the sorting portion and the shunt, the inter-chamber transport portion 15 can generally provide a non-interrupted high-speed movement or flow of the work piece along the path of the transport portion 15 in the compartment. This embodiment is preferred in comparison to conventional delivery systems that require material flow to be stopped when the delivery container is added or removed on the conveyor line.

如前所述,實施例中之隔艙內輸送部20可形成加工或製程隔艙45,可通過隔艙排序部35連接於隔艙間輸送部15。該隔艙排序部35可設置於諸如隔艙間或隔艙內輸送部20,15之任何一側,並提供工作件或工作件容器進入/離開隔艙內輸送部20而不需停止或減緩隔艙內輸送部15之材料流或隔艙間輸送部20之材料流。實施例中之排序部35係示意為與輸送部15,20之分離部。變更態樣中之排序部或輸送部15,20之間之排序路徑係與輸送部聯合為一,但在輸送部之間形成分離之排序輸送路徑。變更態樣中之排序部可視需要設在隔艙間或隔艙內。具有行程路線及進取或排序路線以供選擇性進取以開關行程路線而不致減損行進路線之輸送系統之一實例係見述於美國專利申請案第11/211,236號之“輸送系統”一案,以下係援引作為參考。隔艙間輸送部20及隔艙排序部35具有與前述應用於隔艙內輸送部15者相當類似。在變更態樣中,隔艙內輸送部及連繫隔艙內與隔艙間輸送部之排序部具有任何適當構造,形狀或型式,並可藉任何適當方式予以驅動。由第29A圖可見,實施例中之隔艙排序部35具有輸入部35A及輸出部35B,係對應於隔艙內及隔艙間輸送部15,20之移動方向R1,R2。在此作為實例說明之傳統係設定部件35A作為部件20之輸入(出自部件15)及部件35B作為部件20之出口/輸出(部件15之輸入)。變更態樣中之排序部之行進方向係視所需予以達成。以下將予詳述,工作件容器可通過輸入部35A離開隔艙間輸送部15,並通過輸出部35B進入隔艙間輸送部35B。排序部35具有任何適當長度以提供工作件輸送進出輸送部15,20之進出。As described above, the inter-vehicle transport unit 20 in the embodiment can form a process or process compartment 45 that can be coupled to the inter-bay transport unit 15 via the bay sorting unit 35. The compartment sorting portion 35 can be disposed on either side of the transport portion 20, 15 in the compartment or compartment, and provides a work piece or work piece container into/out of the transport portion 20 in the compartment without stopping or slowing down The material flow of the conveying portion 15 in the compartment or the material flow of the inter-compartment conveying portion 20. The sorting unit 35 in the embodiment is shown as a separate portion from the transport units 15, 20. The sorting path between the sorting unit or the conveying units 15, 20 in the modified aspect is united with the conveying unit, but a separate sorting conveying path is formed between the conveying units. The sorting section in the change pattern may be located in the compartment or in the compartment as needed. An example of a delivery system having a travel route and an aggressive or sorting route for selective access to switch the travel route without detracting from the travel route is described in the "Transport System" of U.S. Patent Application Serial No. 11/211,236, the following The Department cited the reference as a reference. The compartment transport unit 20 and the compartment sorting unit 35 are similar to those described above for the transport unit 15 in the compartment. In a variant, the sorting section of the transport section in the compartment and the transport section within the compartment and the compartment has any suitable configuration, shape or pattern and can be driven in any suitable manner. As can be seen from Fig. 29A, the compartment sorting unit 35 in the embodiment has an input unit 35A and an output unit 35B corresponding to the moving directions R1 and R2 in the compartment and the inter-compartment conveying units 15, 20. The conventional setting member 35A, which is exemplified herein, is an input (from the member 15) of the member 20 and a member 35B as an outlet/output of the member 20 (input of the member 15). The direction of travel of the sorting section in the altered aspect is achieved as needed. As will be described later in detail, the workpiece container can exit the compartment transport unit 15 through the input portion 35A and enter the inter-chamber transport portion 35B through the output portion 35B. The sorting portion 35 has any suitable length to provide entry and exit of the workpiece transporting in and out of the conveying portions 15, 20.

隔艙內輸送部20可在連接任何數目之加工工具30至輸送系統10,10’之通道之內延伸。如第29A圖及前文所述,隔艙內輸送部20亦可將二個或以上之隔艙間輸送部15互相連接。如第29A及29B圖所示之隔艙內輸送部20具有封閉迴路形狀,然而在變更態樣中可具有任何適當構造或形狀及可應用於任何製程設施佈局。實施例中之隔艙內輸送部20係通過輸送支線或分路25連接至加工工具30,與排序部35者類似。變更態樣中,分路係以類似方式設在隔艙間輸送部。分路25可有效抓取工作件輸送“離線”,並具有諸如輸入部25A及輸出部25B對應於隔艙間輸送部20之行進方向R2,如第29A圖所示。分路25可通過輸入及輸出部25A,25B供工作件輸送離開及進入隔艙內輸送部20而大致不會中斷工作件在隔艙內輸送部20上之大致固定行進速度。而在分路25中,工作件容器可在對應於加工工具站之工作介面站(未予圖示)上停止,使工作件及/或容器本身被轉移進入加工工具裝載埠,或通過任何適當轉移裝置進入任何其他適當工作件堆疊區,例如設備前端模組,排列器或任何其他適當轉移自動操縱裝置。變更態樣中,工作件輸送部係被指引至預定分路以啟動輸送器在特定輸送部上之重新排序(重組)。The inter-chamber transport portion 20 can extend within the passageway connecting any number of processing tools 30 to the transport systems 10, 10'. As shown in Fig. 29A and the foregoing, the inter-chamber transport unit 20 may also connect two or more inter-chamber transport units 15 to each other. The inter-chamber transport portion 20, as shown in Figures 29A and 29B, has a closed loop shape, however, it can have any suitable configuration or shape in a modified form and can be applied to any process facility layout. The inter-chamber transport portion 20 in the embodiment is connected to the processing tool 30 by a transfer branch or branch 25, similar to the sorting portion 35. In the variant, the shunt is placed in the compartment transport section in a similar manner. The branch 25 can effectively grip the workpiece transport "offline" and has a direction of travel R2 such as the input portion 25A and the output portion 25B corresponding to the inter-compartment transport portion 20, as shown in Fig. 29A. The branch 25 can be transported away from the workpiece and into the compartment transport section 20 via the input and output sections 25A, 25B without substantially interrupting the substantially fixed travel speed of the workpiece in the compartment transport section 20. In branch 25, the workpiece container can be stopped at a working interface station (not shown) corresponding to the processing tool station, such that the workpiece and/or the container itself is transferred into the processing tool, or by any suitable means. The transfer device enters any other suitable work piece stacking area, such as a device front end module, an aligner or any other suitable transfer automatic handling device. In a variant, the workpiece transport section is directed to a predetermined split to initiate reordering (recombination) of the conveyor on a particular conveyor.

工作件裝載機在不同部件15,20,25,35之間之輸送或轉換作業可由連接至控制器(未予圖示)之導引系統(未予圖示)予以控制。該導引系統包括定位裝置以供確定沿着部件15,20,25,35上輸送之位置。定位裝置係任何適當類型者,諸如連續或分配式裝置,如光學,磁性,條碼或基準條等,沿着部件15,20,25,35而設。該分配式裝置可讀取或由設在輸送部上之適當讀取裝置予以查詢,以供控制器確定輸送部在部件15,20,25,35上之位置及輸送部之動能狀態。另一方面,該裝置可感應及/或查詢輸送部,工作件裝載機或工作件上之感應項目,諸如RFID(快速頻率識別裝置)以識別位置/動能。該定位裝置包括單獨或組合之分配裝置,可偵測移動輸送部之位置之離散式定位裝置(例如鐳射測距裝置,超音波測距裝置,或與內部GPS或內部逆向GPS聯繫之內部定位系統)。控制器可將來自導引系統之資訊與來自輸送部之位置回饋資訊予以組合以確定及維持輸送部沿着部件15,20,25,35及在部件之間之輸送路徑。The transfer or conversion of the workpiece loader between the various components 15, 20, 25, 35 can be controlled by a guidance system (not shown) coupled to a controller (not shown). The guiding system includes positioning means for determining the position of transport along the components 15, 20, 25, 35. The positioning device is of any suitable type, such as a continuous or distributed device, such as an optical, magnetic, bar code or reference strip, etc., along the components 15, 20, 25, 35. The dispensing device can be read or inquired by a suitable reading device provided on the transport portion for the controller to determine the position of the transport portion on the components 15, 20, 25, 35 and the kinetic energy state of the transport portion. Alternatively, the device can sense and/or query a conveyor, a workpiece loader or a sensing item on a work piece, such as an RFID (Fast Frequency Identification Device) to identify position/kinetic energy. The positioning device comprises a separate or combined dispensing device, a discrete positioning device capable of detecting the position of the moving conveying portion (for example, a laser ranging device, an ultrasonic ranging device, or an internal positioning system in contact with an internal GPS or an internal reverse GPS). ). The controller can combine the information from the guidance system with the position feedback information from the delivery unit to determine and maintain the delivery path along the components 15, 20, 25, 35 and between the components.

變更態樣中,導引系統可包括具有凹槽,軌道,軌道或任何其他適當結構以構成與工作件輸送部上之機械導引部位相互配合之結構性或機械性導引面。在另一變更態樣中,部件12,20,25,35亦可包括電子線,諸如印刷條或導體以提供工作件輸送部之電子導引(例如傳送適當電磁信號由輸送部上之適當導引系統所檢測之電子線)。In a variation, the guiding system can include a groove, track, track or any other suitable structure to form a structural or mechanical guiding surface that mates with a mechanical guiding portion on the workpiece transport portion. In another variation, the components 12, 20, 25, 35 may also include electronic wires, such as printed strips or conductors, to provide electronic guidance of the workpiece transport portion (eg, to deliver appropriate electromagnetic signals by appropriate guidance on the transport portion) The electronic line detected by the system).

再參照第29A及29B圖以說明一種輸送系統10,10’之操作實例。設置於諸如分路25之工作件容器可進入輸送系統10,10’。為了保持隔艙間輸送部20之流向大致不中斷及以固定速度移動,工作件容器可通過分路25進入隔艙內輸送部20。工作件輸送機在分路25中加速使輸送機以隔艙內輸送部20中之材料流向之相同速度行進。由於分路25可允許工作件輸送機加速,因此該輸送機將合併入隔艙內輸送部20之流向中而不致阻礙流向或與在隔艙內輸送部20中行進之任何其他輸送機產生碰撞。在與隔艙間輸送部20合併時,工作件輸送器將在分路25中等待適當推進,於是可自由進入隔艙間輸送部之向而不致與任何其他工作件裝載機或輸送機產生碰撞或導致橫貫隔艙內部件之輸送器之減速。工作件輸送機將沿着隔艙間輸送部20以固定速度持續行進,並根據通行權轉換成輸出排列區或部35B以轉換隔艙間部件15。於一實施例中,如果在輸出排列部35B中沒有空間,輸送機將沿着隔艙內輸送部20持續行進直至輸出排列部35B有空間為止。變更態樣中可提供交接分路以連接輸送部之相對行進路徑,以供輸送器在輸送路徑之間轉換至諸如回復繞道站而不需行進輸送部之整個迴路。輸送機可在隔艙輸出排列部35B中等待作適當推進,然後加速及合併入隔艙間輸送部15之大致持續性固定速度流向,與前述參照隔艙內輸送部20之合併大致類似。輸送機以持續性速度沿着隔艙間輸送部15持續行進至預定隔艙,並轉換入相關連之隔艙排列輸入部35A以進入預定之隔艙內部件20。於一實施例中,如果在輸入排列部35A內沒有空間,與前述方式類似,輸送機將持續沿着隔艙內輸送部15行進直至輸入排列部35A有空間為止。輸送機可在隔艙輸入排列部35A中等待適當推進及加速以合併入第二隔艙內輸送部20,第二隔艙內輸送部20將再具有持續性固定速度流向。輸送機係關閉第二隔艙內輸送部20及進入輸送介面與加工工具30之輸送分路25。如果輸送機在分路25中沒有空間,由於分路25中之其他輸送器,輸送機有通路權將沿着隔艙內輸送部20持續行進直至分路25有空間為止。由於隔艙間輸送部15及隔艙內輸送部20中之材料流向係大致非中斷及以固定速率行進,於是系統可保持很高之工作件生產率在加工隔艙與加工工具之間輸送。Referring again to Figures 29A and 29B, an example of the operation of a delivery system 10, 10' will be described. A work piece container, such as a shunt 25, can enter the delivery system 10, 10'. In order to keep the flow direction of the compartment transport portion 20 substantially uninterrupted and move at a fixed speed, the work piece container can enter the compartment inner transport portion 20 through the shunt 25. The work piece conveyor is accelerated in the shunt 25 to cause the conveyor to travel at the same speed as the material in the inter-vehicle transport portion 20 flows. Since the branch 25 can allow the workpiece conveyor to accelerate, the conveyor will merge into the flow direction of the conveyor 20 within the compartment without obstructing the flow or colliding with any other conveyor traveling in the conveyor 20 in the compartment. . When combined with the inter-compartment transport unit 20, the work piece conveyor will wait for proper advancement in the shunt 25 so that it can freely enter the direction of the inter-chamber transport unit without colliding with any other work loaders or conveyors. Or causing a deceleration of the conveyor across the components in the compartment. The work piece conveyor will continue to travel at a fixed speed along the compartment transport portion 20 and be converted into an output arrangement area or portion 35B according to the right of way to convert the inter-chamber part 15. In one embodiment, if there is no space in the output aligning portion 35B, the conveyor will continue to travel along the compartment inner conveying portion 20 until the output aligning portion 35B has a space. In a modified aspect, a transfer shunt can be provided to connect the relative travel paths of the transport portion for the conveyor to switch between transport paths to the entire circuit, such as a return bypass station, without traveling the transport portion. The conveyor can wait for proper propulsion in the compartment output arranging portion 35B, and then accelerate and merge into the substantially constant fixed velocity flow direction of the inter-compartment conveying portion 15, which is substantially similar to the combination of the above-described reference compartment inner conveying portion 20. The conveyor continues to travel along the inter-compartment transport portion 15 to the predetermined bay at a sustained speed and is diverted into the associated bay alignment input portion 35A to enter the predetermined compartment inner member 20. In one embodiment, if there is no space in the input array portion 35A, the conveyor will continue to travel along the compartment inner conveying portion 15 until the input array portion 35A has space, similarly to the foregoing. The conveyor can wait for proper propulsion and acceleration in the compartment input arranging portion 35A to be merged into the second compartment inner conveying portion 20, and the second compartment inner conveying portion 20 will continue to have a constant fixed speed. The conveyor closes the second compartment inner conveying section 20 and the conveying section 25 that enters the conveying interface and the processing tool 30. If the conveyor has no space in the shunt 25, due to the other conveyors in the shunt 25, the conveyor has access rights to continue along the compartment inner transport 20 until there is space in the shunt 25. Since the material flow direction in the inter-compartment conveying portion 15 and the inter-chamber conveying portion 20 is substantially uninterrupted and travels at a fixed rate, the system can maintain a high workpiece productivity between the processing compartment and the processing tool.

於第29A圖所示實施例中,輸送機可通過直接連接隔艙排列部35,加工工具,隔艙內輸送部20或隔艙間輸送部15之延伸部40而在加工隔艙之間直接行進。舉例而言,如第29A及29B圖所示,延伸部40係將排列部35連接在一起。在變更態樣中,延伸部40可藉將各工具之與分路25類似之輸送分路連接在一起而提供由一加工工具至另一加工工具之存取。在另一變更態樣中,延伸部可直接連接任何數目或任何組合之自動化材料操持系統之構件在一起以提供短程存取路徑。在較大型嵌套網路中,由延伸部40所產生之輸送機之目的地之間之較短路徑將可縮短輸送機之行進時間,進一步增加系統之生產率。In the embodiment shown in Fig. 29A, the conveyor can be directly connected between the processing compartments by directly connecting the compartment alignment portion 35, the processing tool, the compartment inner conveying portion 20 or the extension portion 40 of the compartment conveying portion 15. Go on. For example, as shown in FIGS. 29A and 29B, the extending portion 40 connects the alignment portions 35 together. In a variation, the extension 40 can provide access from one processing tool to another by joining together the transfer branches of each tool similar to the split 25. In another variation, the extensions can be directly coupled to any number or combination of components of the automated material handling system to provide a short range access path. In larger nested networks, the shorter path between the destinations of the conveyors created by extensions 40 will reduce the travel time of the conveyor, further increasing the productivity of the system.

在又一變更態樣中,自動化材料操持系統10,10’之流向係雙向者。輸送部15,20,25,35,40,50具有側對側之平行行進道,各以相反方向移動並具有出口斜道及行進斜道圍繞及連接相反之行進道。輸送部之各個平行道可被指定予特定行進方向並可個別或同時轉換使各個相對平行道之行進係根據輸送運算而逆轉以配合輸送裝載條件。例如沿着輸送部15,20,25,35,40,50之平行道之材料或輸送機流向係依循其相對方向流動。然而,如果在稍後有數個工作件輸送機係設置於設施中及將要被移至可沿着與現有流向方向相反之其中一平行道上更有效率移動之位置時,則平行道之行進方向將會逆轉。In yet another variation, the automated material handling system 10, 10' is a two-way flow. The conveying portions 15, 20, 25, 35, 40, 50 have side-to-side parallel traveling paths, each moving in the opposite direction and having an exit chute and a traveling chute surrounding and connecting the opposite traveling paths. The individual parallel tracks of the conveyor portion can be assigned to a particular direction of travel and can be individually or simultaneously converted such that the travel of the respective parallel tracks is reversed in accordance with the transport operation to match the transport loading conditions. For example, the material or conveyor flow along the parallel passages of the conveyors 15, 20, 25, 35, 40, 50 follows their relative directions. However, if several work piece conveyors are later placed in the facility and are to be moved to a position that moves more efficiently along one of the parallel tracks opposite the existing flow direction, then the direction of travel of the parallel tracks will be Will reverse.

在變更態樣中,雙向行進道可被堆疊設置(在彼此之上)。加工工具與輸送分路25之間之介面具有升降機型構造以將輸送機從分路升高或降低至加工工具裝載埠,諸如具有順時鐘方向材料流向之分路係設在具有逆時鐘方向材料流向之分路之上方。在變更態樣中,雙向分路及其他輸送部亦可具有任何適當構造。In a variant, the two-way path can be stacked (on top of each other). The interface between the processing tool and the transfer branch 25 has an elevator type configuration to raise or lower the conveyor from the shunt to the processing tool load, such as a shunt with a clockwise direction of material flow in the material having a counterclockwise direction Above the flow to the branch. In a variant, the bidirectional shunt and other transport sections may also have any suitable configuration.

第20圖顯示另一實施例之用以將裝載機輸送於工具站之間之輸送系統之輸送系統軌道500之一部份。該軌道具有固態傳動系統,與前述美國專利申請案第10/697,528號所述者類似。該軌道具有與在裝載機外殼/罩箱一體成型之反應部相互配合之靜定強制段件。於是該裝載機可由輸送機直接輸送。顯示於異步輸送系統中之輸送系統500,裝載機之輸送與輸送系統上之其他裝載機之行動脫接。軌道系統係經設計可免除測定因其他裝載機之行動而影響特定裝載機之輸送速率之因素。傳動軌道500係採用具有開/關分叉道(亦見第297-298圖)之主輸送道,可將裝載機導引離開主輸送道以啟動路徑改變及/或與工具站(諸如緩衝器,堆垛機等)之介面而不致影響對主輸送道之輸送。具有分叉開/關路徑之輸送系統之適當實例係見述於前述之美國專利申請案第11/211,236號。於此實施例中之段件500A,C,D具有應用於A1-D線性馬達之捲動組以導致沿着主行進路徑500M之移動(示於第20A圖)。諸如第20圖所示之段件500B係具有關閉/出口,可代表為存取路徑500S。在此段件500M中之強制器之捲動可設計以提供啟動2-D平面馬達以提供沿着主路徑500及當裝載機之預期啟動移動沿着路500S(見第20B圖)之兩者運動。馬達控制器係與7/11/05提出申請之美國專利申請案第11/178,615號所述之分配控制設計者類似,以下援引作為參考。在此實施例中,驅動器/馬達係分區,由具有適當分區控制之分區控制器予以有效控制。輸送機500具有適當支承以提供移動式支承裝載機。舉例而言,在段件500A,500C及500D中,支承(例如滾輪,滾筒)可提供裝載機沿着路徑500M之1-度自由度移動。Figure 20 shows a portion of a conveyor system track 500 of a conveyor system for transporting a loader between tool stations of another embodiment. The track has a solid state drive system similar to that described in the aforementioned U.S. Patent Application Serial No. 10/697,528. The track has a statically forcible segment that cooperates with a reaction portion that is integrally formed in the loader housing/casing. The loader can then be transported directly by the conveyor. The conveyor system 500, shown in an asynchronous conveyor system, is disconnected from the loading of other loaders on the conveyor system. The track system is designed to eliminate the need to determine the rate at which the load rate of a particular loader is affected by the actions of other loaders. The drive track 500 employs a main conveyor having an on/off fork (see also Figures 297-298) that directs the loader away from the main conveyor to initiate path changes and/or with tool stations (such as buffers) The interface of the stacker, etc. does not affect the transport of the main conveyor. A suitable example of a delivery system having a bifurcated on/off path is described in the aforementioned U.S. Patent Application Serial No. 11/211,236. The segments 500A, C, D in this embodiment have a scrolling set applied to the A1-D linear motor to cause movement along the main travel path 500M (shown in Figure 20A). The segment 500B, such as shown in Fig. 20, has a close/outlet and can be represented as an access path 500S. The scrolling of the forcing device in this segment 500M can be designed to provide activation of the 2-D planar motor to provide both along the main path 500 and when the loader is expected to start moving along the way 500S (see Figure 20B). motion. The motor controller is similar to the distribution control designer described in U.S. Patent Application Serial No. 11/178,615, which is incorporated herein by reference. In this embodiment, the drive/motor section is effectively controlled by a zone controller with appropriate zone control. Conveyor 500 has suitable support to provide a mobile support loader. For example, in segments 500A, 500C, and 500D, supports (eg, rollers, rollers) can provide the loader to move along a 1-degree degree of freedom of path 500M.

在段件500B中之支承可允許裝載機之2-度自由度移動。在其他實施例中,支承可設在裝載機上。在另一實施例中,可使用空氣支承以將裝載機移動式支承於軌道上。裝載機在路徑500M之間之導引及被引至路徑500M可由諸如裝載機上之可操縱式或活節輪,軌道上之活節導引軌道,或第20B圖所示之磁性操縱器等之適當導引系統予以啟動。The support in the segment 500B allows the 2-degree degree of freedom of the loader to move. In other embodiments, the support can be provided on a loader. In another embodiment, an air bearing can be used to move the loader on the track. The guidance of the loader between the paths 500M and the guidance to the path 500M may be by a steerable or articulated wheel on the loader, a articulated guide track on the track, or a magnetic manipulator as shown in Fig. 20B. The appropriate guidance system is activated.

第20A圖顯示系統500之輸送元件500A之實施例。實施例顯示具有單一行進路線或路徑(例如路徑500M)之段件。如第20A圖所示,實施例中之段件具有線性電動機部份或強制器502A及支承面504(A)作為輸送器上之行動支承。如前所述,變更態樣中之輸送段件可具有任何其他預期構造。實施例中之導軌506A係用以導引輸送器。變更態樣中輸送段件具有磁鐵或磁性支承以替代輸送導引之軌道。可利用裝載機上之電磁體以輔助將裝載機從軌道上脫接。第20B圖顯示另一種實施例之輸送系統500另一輸送段件。段件500A’具有多個行進路線(例如類似第20圖所示段件500B之交接路線)或大致平行之主要行進路線(類似路線500M),其間設有轉換器。如第20B圖所示實施例中,行進路線(類似路線500M,500S)係大致由1-D電動機部份500A1及對應之裝載機行動支承面/面積504A’所形成。行進路線之間之交接或轉換係由可在輸送器上產生2-D作用力以啟動行進路線500M’,500S’之間之橫越之2-D電動機元件之排列所形成。Figure 20A shows an embodiment of a transport element 500A of system 500. Embodiments show segments having a single path of travel or path (e.g., path 500M). As shown in Fig. 20A, the segment in the embodiment has a linear motor portion or forcer 502A and a bearing surface 504 (A) as a motion support on the conveyor. As previously mentioned, the delivery segment in the modified aspect can have any other desired configuration. The guide rail 506A in the embodiment is for guiding the conveyor. In a variant, the conveying section has a magnet or a magnetic support instead of the guiding guide. An electromagnet on the loader can be utilized to assist in disengaging the loader from the track. Figure 20B shows another delivery section of the delivery system 500 of another embodiment. The segment 500A' has a plurality of travel routes (e.g., a handover route similar to the segment 500B shown in Fig. 20) or a substantially parallel main travel route (similar to the route 500M) with a converter therebetween. In the embodiment illustrated in Figure 20B, the travel route (similar to route 500M, 500S) is generally formed by 1-D motor portion 500A1 and corresponding loader motion bearing surface/area 504A'. The transition or transition between the travel routes is formed by an arrangement of 2-D motor elements that can create a 2-D force on the conveyor to initiate a traverse between the travel paths 500M', 500S'.

第21圖顯示另一實施例之傳動輸送系統之交接部或轉彎段件。該圖示實施例中,輸送段件500A”形成交接之多個行進路線500M”,500S”。行進路線係大致類似路線500M(見第20A圖)。於實施例中,輸送車可橫越特定路線500S”,500M”直至與交接路線大致對齊為止。對齊時預定路線之1-D電動機將使輸送器沿着交接路線移動。變更態樣中,交接部可能非定向於90度。第20C圖顯示裝載機1200之底部及其中之反應元件。相對之反應元件可用以與交接部(見第21圖之實施例)之相對強制器部件之定向相合。此舉可供裝載機在交接部改變軌道而大致不需停止。第20D圖顯示設置在裝載機1200A之樞轉部之反應元件1202FA,如另一實施例所述可旋轉至預期位置。第22圖顯示與第21圖中之交接部大致類似之具有側軌儲存位置500S”之軌道段件500H”。第23-23A圖顯示具有切割或開口1500O之軌道段件500以提動裝載機升降機或穿梭機(未予圖示)之臂部,以下將予詳述。實施例中,該開口1500O可允許裝載機之側向進取以將裝載機從傳動軌道之底側抓取。第24圖顯示具有設在箭頭2500M所示之偏離裝載機/軌道中心線之強制器(諸如線性電動機)2502A之軌道段件2500A。Figure 21 shows the interface or turning section of the drive conveyor system of another embodiment. In the illustrated embodiment, the transport segment 500A" forms a plurality of travel routes 500M", 500S". The travel route is generally similar to route 500M (see Figure 20A). In an embodiment, the transport vehicle can traverse a particular The route 500S", 500M" is until it is substantially aligned with the handover route. The 1-D motor of the scheduled route will move the conveyor along the handover path. In the modified aspect, the interface may not be oriented at 90 degrees. The bottom of the loader 1200 is shown and the reaction elements therein. The opposing reaction elements can be used to conform to the orientation of the opposing compensator components of the interface (see the embodiment of Figure 21). This allows the loader to change orbit at the interface. There is substantially no need to stop. Figure 20D shows the reaction element 1202FA disposed at the pivot of the loader 1200A, which can be rotated to the desired position as described in another embodiment. Figure 22 shows the interface with Figure 21 Similar to the track segment 500H" having a side rail storage position 500S". Figures 23-23A show the arm segment 500 having a cut or opening 1500O to lift the arm of the loader lift or shuttle (not shown) To As will be described in more detail below, in an embodiment, the opening 1500O may allow lateral advancement of the loader to grab the loader from the bottom side of the drive track. Figure 24 shows the offset loader shown in arrow 2500M/ A track segment 2500A of a track centerline forcing device (such as a linear motor) 2502A.

第25A-25B圖顯示一種線性電動傳動機3500(具有埋設在裝載機3200內之着地強制器段件及反應元件)用以輸送基板於半導體FAB。如圖示實施例中,該傳動機3500係逆轉(例如裝載機係懸掛及位於傳動機下方)使裝載機可從底側直接進取。傳動機3500亦可類似前述之輸送系統段件500A,500A”,500A’”。實施例中可採用磁性持留強制器3502以維持傳動機3500與裝載機3200之間之聯接。此作用力係源自線性馬達線圈(例如在線性同步設計中)及/或通過個別電磁及/或指定用途之永久性磁鐵(未予圖示)。裝載機與輸送機之聯接及脫接係迅速及最好係在不移動部件(例如電磁開關)之情況下達成。通過裝載機與傳動機之間之磁通路徑及/或被動式機械持留部位可確保故障防護作業。25A-25B shows a linear electric drive 3500 (having a grounding force piece and reaction element embedded in the loader 3200) for transporting the substrate to the semiconductor FAB. In the illustrated embodiment, the conveyor 3500 is reversed (e.g., the loader suspension and under the conveyor) to allow the loader to move directly from the bottom side. The conveyor 3500 can also be similar to the aforementioned conveyor system segments 500A, 500A", 500A'". A magnetic retention forcer 3502 can be employed in the embodiment to maintain the coupling between the conveyor 3500 and the loader 3200. This force is derived from a linear motor coil (eg, in a linear synchronous design) and/or by a permanent magnet (not shown) that is individually electromagnetic and/or specified. The coupling and disengagement of the loader to the conveyor is rapid and preferably achieved without moving parts, such as electromagnetic switches. Fail-safe operation is ensured by the flux path between the loader and the conveyor and/or the passive mechanical holding position.

實施例中,可通過線圈轉換達成交接部及支線點(即類似第20圖中之段件500B之合併-分支位置)位置。變更態樣中可採用轉動式或其他路徑導引裝置以轉移裝載機於傳動機3200之行進路徑之間。In an embodiment, the position of the intersection and the branch point (ie, the merge-branch position of the segment 500B in FIG. 20) can be converted by the coil. Rotary or other path guiding means may be employed in the modified aspect to transfer the loader between the travel paths of the conveyor 3200.

實施例中之裝載機3200係經設計使反應元件係設在頂部,而基板係從裝載機底部進取。實施例中之裝載機3200具有設置以與傳動機3500之強制器配合之磁性平板。該裝載機平板或平板部包括滾輪,支承或其他行動支承面(例如傳動機中之空氣支承之反應面)。該平板亦包括一電磁聯結部,可供在工作件容器部裝載於加工工具3030時將裝載機之容器部份從保持連接於傳動機之平板部脫接。The loader 3200 in the embodiment is designed such that the reaction elements are attached to the top and the substrate is advanced from the bottom of the loader. The loader 3200 of the embodiment has a magnetic plate that is configured to mate with the actuator of the conveyor 3500. The loader plate or flat portion includes a roller, support or other action bearing surface (e.g., a reaction surface for air support in the conveyor). The plate also includes an electromagnetic coupling portion for detaching the container portion of the loader from the flat portion that remains attached to the conveyor when the workpiece container portion is loaded on the processing tool 3030.

實施例中,裝載工具時,傳動機3200係將裝載機設置於工具裝載埠上,並利用諸如指定垂直轉移機制3040(可見第26A-26B圖)以將裝載機從傳動機高度降低至(控制器環境)工具3030之裝載介面3032。垂直轉移裝置亦可被用作調位器,藉以設置晶圓以供晶圓操持自動操縱裝置之存取。垂直轉移裝置之一適當實例係見述於8/25/05立案之美國專利申請案第11/210,918號,並已援引作為本案參考。In an embodiment, when loading the tool, the conveyor 3200 places the loader on the tool magazine and utilizes, for example, a designated vertical transfer mechanism 3040 (see Figures 26A-26B) to reduce the loader from the conveyor height to (control) The load interface 3032 of the tool 3030. The vertical transfer device can also be used as a positioner to set up the wafer for access by the wafer handling robot. A suitable example of a vertical transfer device is described in U.S. Patent Application Serial No. 11/210,918, the disclosure of which is incorporated herein by reference.

變更態樣中,傳動機係作逆向設置之動力輪累積傳動機,具有適當磁引作用力以支持裝載機於傳動機輪上。另一變更態樣中,一般設計可作成逆式使輸送機係位於裝載埠以下,裝載機具有反應部位於頂部。In the modified aspect, the transmission is a reverse-set power wheel accumulating conveyor with appropriate magnetic attraction to support the loader on the conveyor wheel. In another variation, the general design can be made retrograde so that the conveyor is below the load weir, and the loader has a reaction section at the top.

第26A-26B圖顯示將裝載機從輸送系統直接降低/升起至裝載埠/工具介面之另一實例。於第26A-26B圖所示之實施例中之裝載機可與反應平板一體成型。在另一實施例中之平板可與裝載機分離,例如當移開裝載機時保持聯接於輸送機。於該場合,輸送系統中之各平板係與FAB中之裝載機呈大致為1:1之關係。Figures 26A-26B show another example of directly lowering/raising the loader from the conveyor system to the loading/tool interface. The loader in the embodiment shown in Figures 26A-26B can be integrally formed with the reaction plate. In another embodiment the slab may be detached from the loader, such as remaining coupled to the conveyor when the loader is removed. In this case, each of the flat plates in the transport system has a substantially 1:1 relationship with the loader in the FAB.

第27圖顯示另一實施例之具有傳動機機動車混合構造之裝載機4200。裝載機機動車4200係用以自動傳送有效負載(諸如具有半導體基板之裝載機)。該機動車可運載儲存能量以進行自行推進,操縱系統,至少一個馬達動力驅動輪,測程及偵測障礙物之感應器,及相關控制電子裝置。此外該機動車裝設有一或多個反應元件(類似前述之磁性平板)以與類似傳動機系統500(亦可見第20圖)之傳動機4500之靜定線性馬達強制器段件互相配合。Figure 27 shows a loader 4200 of another embodiment having a hybrid construction of a conveyor motor vehicle. The loader motor vehicle 4200 is used to automatically transfer a payload (such as a loader with a semiconductor substrate). The motor vehicle can carry stored energy for self-propelled, operating systems, at least one motor powered drive wheel, an instrument for measuring and detecting obstacles, and associated control electronics. In addition, the motor vehicle is provided with one or more reactive elements (like the magnetic plates described above) to interact with the static linear motor forcing segment of the conveyor 4500 of a similar conveyor system 500 (see also Figure 20).

實施例中,當機動車4200沿着由一或多個強制器段件所形成之路徑(類似路徑500M,500J)行進時,驅動馬達可與驅動輪脫接,而機動車係通過與傳動機4500中之反應元件之電磁聯接而沿着路徑作被動促進。如果在機動車中之儲存能量裝置(例如電池,超電容器,飛輪等)需要充電,沿着導路之曳引輪之運動將可用以將線性馬達之能量轉化為機動車儲存。在電氣能量儲存之場合,可藉重新連接作為發電機之機動車驅動馬達與適當監測電子裝置。該種“飛擊式”充電具有簡化及耐久之效益,且該種設計具備顯著之彈性與錯誤容限。舉例而言,機動車4200可用以驅動自發性曾經故障之輸送機段件或沿着障礙物或在傳動機未服務之工作區域之間(見第27A,27B圖)。傳動機強制器段件之數目及長度可量身訂做為操作方案作為隔艙間輸送機之傳動機,及利用諸如隔艙內進行之自發性機動車運動。自行指引式操縱可應用於彈性路徑選擇。自行指引式轉彎可應用以避免使用曲線強制器段件。高速行進可沿着傳動機行程啟動,並視需要可利用安全障礙與操作員隔離。傳動機部件可應用於長程操作,諸如鏈接於毗鄰之FAB。傳動機可用於等級改變,利用使用特殊儲存能量以減緩機動車所遭遇之困難。In an embodiment, when the motor vehicle 4200 travels along a path formed by one or more forcible segments (like the path 500M, 500J), the drive motor can be disengaged from the drive wheel, and the motor vehicle passes through the conveyor The electromagnetic coupling of the reactive elements in 4500 is passively promoted along the path. If energy storage devices (eg, batteries, ultracapacitors, flywheels, etc.) in a motor vehicle need to be recharged, the movement of the traction sheave along the guides can be used to convert the energy of the linear motor into vehicle storage. In the case of electrical energy storage, the motor drive motor and appropriate monitoring electronics can be reconnected as a generator. This "flying" charging has simplified and durable benefits, and the design has significant flexibility and error tolerance. For example, the motor vehicle 4200 can be used to drive a spontaneously failed conveyor section or along an obstacle or between work areas that are not serviced by the conveyor (see Figures 27A, 27B). The number and length of the conveyor forcing segments can be tailored to operate as a conveyor for the inter-container conveyor and utilizes spontaneous motor vehicle movement such as in a compartment. Self-guided manipulation can be applied to elastic path selection. Self-guided turns can be applied to avoid the use of curve forcing segments. High-speed travel can be initiated along the conveyor travel and can be isolated from the operator with safety barriers as needed. The conveyor components can be applied to long-range operations, such as linking to adjacent FABs. The conveyor can be used for grade changes, using special stored energy to alleviate the difficulties encountered by the vehicle.

第28圖顯示一體成型之裝載機與輸送機動車之另一實例。與傳統機動車型半導體自動化中機動車係用以調度輸送FAB中之晶圓裝載機者相比較之下,本實施例中各個裝載機5200係單一機動車。實施例中之一體成型之裝載機/機動車5200係與前述機動車4200類似。變更態樣中之機動車5200包括一體成型之裝載機5202及機動車5204部份。第28圖所示之裝載機5202係前側/側面開口。變更態樣中之裝載機係頂部開口或具有其他適當工作件轉移開口。機動車可直接驅動至欲轉移工作件之裝載埠,或可由諸如工具緩衝器等另一自動化組件所接合。大致永久固定裝載機5202與機動車5204可免除在需要大量轉移時調度空車之等待時間,同時亦可免除相關之傳送時間差異。此外,裝載機機動車5200可免除空車移動,於是可減少輸送網路之總流量,藉此改良系統性能。在變更態樣中,裝載機與機動車具有聯接器以將裝載機與機動車脫接。雖然系統中之機動車與裝載機之比例係1:1之關係以免除在等待機動車時裝載機輸送之延時,亦可使用適當控制器中之系統確認以允許限制情況下之分離(例如機動車或工作件裝載機部件之維修/保養)。另一方面,裝載機及機動車係在輸送或接合工具裝載站或FAB之其他自動組件時係保持一體。Figure 28 shows another example of an integrally formed loader and transport vehicle. In contrast to the conventional motor vehicle type semiconductor automation in which the motor vehicle system is used to dispatch the wafer loader in the FAB, each of the loaders 5200 in the present embodiment is a single motor vehicle. The one-piece formed loader/motor vehicle 5200 in the embodiment is similar to the aforementioned motor vehicle 4200. The motor vehicle 5200 in the modified form includes an integrally formed loader 5202 and a motor vehicle 5204 portion. The loader 5202 shown in Fig. 28 is a front side/side opening. The loader in the modified aspect is open at the top or has other suitable work piece transfer openings. The motor vehicle can be driven directly to the load magazine to which the work piece is to be transferred, or can be joined by another automated component such as a tool bumper. The substantially permanent fixed loader 5202 and the motor vehicle 5204 can eliminate the waiting time for dispatching empty cars when a large amount of transfer is required, and can also dispense with the associated difference in transmission time. In addition, the loader motor vehicle 5200 eliminates empty vehicle movement, thereby reducing the total flow of the conveyor network, thereby improving system performance. In a variant, the loader and the motor vehicle have couplings to disengage the loader from the motor vehicle. Although the ratio of motor vehicles to loaders in the system is 1:1 to avoid delays in loader transport while waiting for the vehicle, system validation in appropriate controllers may be used to allow for separation under restricted conditions (eg, maneuvering) Repair/maintenance of parts of the vehicle or work loader). Loaders and motor vehicles, on the other hand, remain integral when transporting or joining tool loading stations or other automated components of the FAB.

第29C圖顯示另一實施例之可在傳動系統500(或任何其他預裝載機輸送系統)與工具站1000之間之介面之平面配置之緩衝系統6000之平面圖。該緩衝系統可設置於工具站或其部件以下,或設置於工具站以上。該緩衝系統可設置偏離(即在其上或其下)操作員進出道。第30圖係緩衝系統之立面圖。第29C-30圖顯示設置於輸送機500之一側之緩衝系統。該緩衝系統可視需要延伸以儘量覆蓋FAB平面之部份。圖示實施例中,操作員走道可設在緩衝系統之高架位置。同理,緩衝系統可架空延伸在FAB上任何位置。如第29C-30圖所示,實施例中之緩衝系統6000具有至少可進行3-D移動之穿梭系統6100(可具有適當裝載升降機或調位器)及配列之緩衝站ST。穿梭系統一般包括提供一或多個可在導引系統上作至少2-D橫越之穿梭機6104之導引系統(例如導軌)6102。第29C-30圖所示之穿梭系統之配置僅作為實例說明用,而在變更態樣中之穿梭系統可具有任何其他預期配置。在實施例中,穿梭系統係穿梭或介置於傳動機500,緩衝站ST及工具裝載站LP(見第29C圖)之間。該穿梭機6102可在水平設置傳動機500(例如通過傳動機之段件600之間之存取道602)及工具站上之緩衝儲存ST或裝載位置LP之間穿梭以使裝載機200在其間穿梭。由第30圖所示,實施例中之穿梭機6104可包括調位器6106以抓取/放置裝載機於傳動機600,或緩衝站ST或工具裝載埠LP上。緩衝系統係設計成模組形式以方便系統之擴充或縮減。舉例而言,各模組具有相對儲存位置ST及穿梭軌道及聯接關節以備連接緩衝系統之其他安裝模組。在變更態樣中,系統具有緩衝站模組(具有一或多個一體成型之緩衝站)及穿梭軌道模組以供進行穿梭軌道之模組式安裝作業。如第31圖顯示與傳動機500之合併/分支路線連通之緩衝系統6000之立面圖。實施例中之緩衝系統穿梭機6104可進取被導引至傳動機進取路線之裝載機。止動(或缺乏類似第29C圖中之路線602之進取道)將限制穿梭機進取或干擾傳動機之行進路線。第32圖係另一立面圖,顯示緩衝站之多個排列。緩衝系統具有以任何預期列數排列之任何預期數目之緩衝站。橫越之穿梭機(如第32圖中之箭頭Y所示方向)可藉橫向導引61087之模組變位予以調整。在另一變更態樣中,緩衝站係作多個水平面或層次之排列(即二或多個層次-可垂直分開以允許裝載機高度通過層次之間)。多層次緩衝可應用於縮減性能之裝載機。第33圖顯示具有與導引機動車裝載機V形成介面之緩衝系統之另一平面圖。第34圖顯示與前述下工具緩衝系統6000者類似之架空緩衝系統7000之立面圖。該架空緩衝系統7000可連同下工具緩衝系統(類似系統6000)使用。圖示之架空緩衝系統係與架空傳動機500形成介面。在變更態樣中,架空系統可與地板傳動系統或地板型機動車形成介面。可提供適當之控制聯鎖(例如硬件)以防止具有減低有效負載之穿梭機之水平棋行而污染走道垂直清淨度。設在走道上方之頂部護罩可用以防止懸掛負載通過走道空間。Figure 29C shows a plan view of a buffer system 6000 of a planar configuration of another embodiment of the interface between the transmission system 500 (or any other preloader delivery system) and the tool station 1000. The buffer system can be placed below the tool station or its components or above the tool station. The buffer system can be set to deviate (i.e., above or below) the operator access to the exit. Figure 30 is an elevational view of the buffer system. Figure 29C-30 shows a buffer system disposed on one side of the conveyor 500. The buffer system can be extended as needed to cover portions of the FAB plane as much as possible. In the illustrated embodiment, the operator walkway can be located in an elevated position of the buffer system. For the same reason, the buffer system can be extended overhead anywhere on the FAB. As shown in Fig. 29C-30, the buffer system 6000 of the embodiment has a shuttle system 6100 (which may have a suitable loading elevator or positioner) capable of at least 3-D movement, and a buffer station ST arranged therein. The shuttle system generally includes a guidance system (e.g., rail) 6102 that provides one or more shuttles 6104 that can be at least 2-D traversed on the guidance system. The configuration of the shuttle system illustrated in Figures 29C-30 is for illustrative purposes only, and the shuttle system in the modified aspect may have any other contemplated configuration. In an embodiment, the shuttle system is shuttled or interposed between the conveyor 500, the buffer station ST and the tool loading station LP (see Figure 29C). The shuttle 6102 can shuttle between the horizontally disposed conveyor 500 (e.g., via the access path 602 between the segments 600 of the conveyor) and the buffer storage ST or loading position LP on the tool station to cause the loader 200 therebetween shuttle. As shown in Fig. 30, the shuttle 6104 in the embodiment may include a positioner 6106 to grab/place the loader on the conveyor 600, or the buffer station ST or the tool loading cassette LP. The buffer system is designed in a modular form to facilitate expansion or reduction of the system. For example, each module has a relative storage location ST and a shuttle track and a joint joint for mounting other buffer modules. In a variant, the system has a buffer station module (having one or more integrally formed buffer stations) and a shuttle track module for modular mounting of the shuttle track. An elevational view of the buffer system 6000 in communication with the merge/branch path of the conveyor 500 is shown in FIG. The buffer system shuttle 6104 of the embodiment can advance the loader that is directed to the conveyor's aggressive route. A stop (or lack of an access lane similar to route 602 in Figure 29C) will limit the shuttle's aggressive or interfering travel path. Figure 32 is another elevational view showing multiple arrangements of buffer stations. The buffer system has any expected number of buffer stations arranged in any desired number of columns. The traversing shuttle (as indicated by arrow Y in Figure 32) can be adjusted by the module displacement of the lateral guide 61087. In another variation, the buffer station is arranged in a plurality of horizontal planes or levels (ie, two or more levels - which can be vertically separated to allow the loader height to pass between the levels). Multi-level buffering can be applied to loaders that reduce performance. Figure 33 shows another plan view of a buffer system having an interface with the guided vehicle loader V. Figure 34 shows an elevational view of an overhead buffer system 7000 similar to the aforementioned lower tool cushioning system 6000. The overhead buffer system 7000 can be used in conjunction with a lower tool cushioning system (similar to system 6000). The illustrated overhead buffer system forms an interface with the overhead conveyor 500. In a variant, the overhead system can interface with a floor drive system or a floor-type motor vehicle. Appropriate control interlocks (e.g., hardware) can be provided to prevent horizontal crossings of the shuttle with a reduced payload and contaminate the vertical clarity of the aisle. A top shroud above the aisle can be used to prevent the suspended load from passing through the aisle space.

第35圖顯示一種迴路緩衝系統8000。系統之緩衝站ST係移動自如,安裝於軌道8100(圖示實施例中係封密迴路型)上使緩衝站ST移動於裝載R位置之間,其中裝載機可被裝載入緩衝站ST(例如具有架空裝載者)與工具介面之裝載站LP。該工具介面可具有調位器以將裝載機裝載於工具站。Figure 35 shows a loop buffer system 8000. The buffer station ST of the system is freely movable, and is mounted on the rail 8100 (closed loop type in the illustrated embodiment) to move the buffer station ST between the loading R positions, wherein the loader can be loaded into the buffer station ST ( For example, the load station LP with an overhead loader and tool interface. The tool interface can have a positioner to load the loader to the tool station.

爰參照第36A-36C圖所示之另一實施例之基板裝載機2000之透視圖,側面圖及底面圖。裝載機2000係一典型裝載機,並示為具有實施例構造者。圖示實施例之裝載機2000係底部開口裝載機以作為說明,而變更態樣中之裝載機可具有諸如頂部開口或側面開口等之任何其他預期構造。第36A-36C圖所示實施例之裝載機2000係與第1-3圖所示之裝載機200,200’,300類似,而類似之部位係以相似代號表示。因此該裝載機2000具有外殼或殼體2012,具有一或多個開口2004(在第36A-36C圖中僅示有一開口作為實例)可供晶圓輸入/出裝載機。裝載機外殼具有可卸除式壁部或部件2016以形成蓋體或門用以開關相對之開口2004。如前所述,實施例所示之外殼2012具有卸除式底壁2016藉以開關該開口2004。變更態樣中之裝載機之任何其他部件或壁部係可卸除式以供將晶圓輸入/出裝載機。該卸除式部件2016可如同前述者一般密封殼體2014之其餘部份,而該殼體可用以維持隔離圍氛,諸如惰氣,與大氣圍氛有壓力差之高度潔淨空氣或真空等。該外殼2014與卸除式壁部2016係類似前述之壁部216及外殼214為被動式結構體,並可藉磁力或任何其他預期被動鎖方式互相鎖止。實施例中之壁部2016可包括磁性元件2016C(例如鋼鐵材料)而外殼2014可具有磁性開關2014S用以啟動壁部與外殼之開鎖與解鎖。壁部之磁性元件及外殼之操件性磁鐵2014S可設計以供磁鎖與埠門介面(以下將予詳述)配合,於是當裝載機門(壁部或外殼,見第36A及36C圖)鎖止於埠門時可導致裝載機門與裝載機之其餘部份解鎖。變更態樣中,壁部與外殼之間之磁鎖可具有任何其他預期構造。金屬被動式裝載機2000與裝載機門2016,2014提供真空相容性之潔淨可洗滌式裝載機。Referring to the perspective view, side view and bottom view of the substrate loader 2000 of another embodiment shown in Figs. 36A-36C. Loader 2000 is a typical loader and is shown as having an embodiment constructor. The loader 2000 of the illustrated embodiment is a bottom open loader for illustration, and the loader of the modified aspect may have any other desired configuration such as a top opening or a side opening. The loader 2000 of the embodiment shown in Figures 36A-36C is similar to the loaders 200, 200', 300 shown in Figures 1-3, and like parts are indicated by like numerals. The loader 2000 thus has a housing or housing 2012 having one or more openings 2004 (only one opening is shown as an example in Figures 36A-36C) for the wafer input/output loader. The loader housing has a removable wall or member 2016 to form a cover or door for opening and closing the opening 2004. As previously mentioned, the housing 2012 shown in the embodiment has a removable bottom wall 2016 to switch the opening 2004. Any other component or wall of the loader in the modified aspect is removable for input/output of the wafer to the loader. The detachable member 2016 can generally seal the remainder of the housing 2014 as previously described, and the housing can be used to maintain an isolation enclosure, such as inert gas, a high degree of clean air or vacuum with a pressure differential from the atmosphere. The outer casing 2014 is similar to the removable wall portion 2016. The wall portion 216 and the outer casing 214 are passive structures and can be locked to each other by magnetic force or any other intended passive locking. The wall portion 2016 in the embodiment may include a magnetic element 2016C (eg, steel material) and the outer casing 2014 may have a magnetic switch 2014S to initiate unlocking and unlocking of the wall and the outer casing. The magnetic element of the wall and the housing magnet 2014S of the housing can be designed to match the magnetic lock to the door interface (described in more detail below), so when the loader door (wall or housing, see Figures 36A and 36C) Locking the door can cause the loader door and the rest of the loader to be unlocked. In a variant, the magnetic lock between the wall and the outer casing can have any other desired configuration. Metal Passive Loader 2000 and Loader Doors 2016, 2014 provide a vacuum compatible clean washable loader.

於第36A-36C圖所示之實施例中,裝載機2000係示為可裝載多個晶圓者。變更態樣中之裝載機可作為預期尺寸以裝載具有或未具有整合式晶圓緩衝器之單一晶圓,或任何預期數目之晶圓。與前述實施例之裝載機200,200’,300類似,相對於傳統13至25個晶圓裝載機,裝載機2000係縮減或小批量尺寸之裝載機。如第36A-36B圖所示,裝載機外殼具有一輸送系統介面部2060。裝載機2000之輸送系統介面部2060可配置與諸如與第20-30圖所示實施例類似之傳動系統等之任何預期輸送系統連接。舉例而言,裝載機可包括活性元件,諸如鋼鐵磁性材料墊片或構件,設置或連接於裝載機殼體,並可與傳動系統輸送機之線性或平面電動機之強制部配合以推動裝載機沿着傳動機行進。線性或平面電動機之活性元件之適當構造之實例係見述於10/30/03立案之美國專利申請案第10/697,528號,已援引作為參考。於第36A-36C圖所示實施例中,裝載機介面部2060亦具有可與輸送系統連接而當裝載機係移動及/或靜定於輸送系統上時用以支承裝載機於輸送系統上之裝載機支承構件或表面2062。該支承面係非接觸式或接觸式支承面,可用以配置或面對側面(例如表面2062S)或底面(例如表面2062B)或任何其他預期位置或方向以穩固支承裝載機於輸送系統上。諸如大致為平面,表面或墊面之非接觸式支承面係連接於或設置於殼體,並由任何適當方式形成,可與輸送系統之空氣支承(未予圖示)連接以穩固支持裝載機(單獨以空氣支承為基礎或由輸送系統電動機施加於裝載機上之行動作用力,諸如磁力等之組合)。變更態樣中,裝載機殼體具有一或多個(活性)空氣支承以導引空氣(或任何預期氣體)朝向(被動式)輸送系統結構以提供浮力(例如非接觸式)但仍將裝載機穩定支承於輸送系統結構上。本實施例中可將一適當空氣/氣體供源(例如風扇或氣泵)連接於裝載機以饋送裝載機之空氣支承。於另一變更態樣中,裝載機殼體與輸送系統均具有空氣支承及被動式空氣支承面(例如在輸送系統中之提升空氣支承及裝載機中之水平導引空氣支承)。裝載機2000可具有如同第36B圖所示之操持突緣2068等之其他操持構件,突緣或表面。In the embodiment illustrated in Figures 36A-36C, loader 2000 is shown as being loadable with multiple wafers. The loader in the modified aspect can be used as a desired size to load a single wafer with or without an integrated wafer buffer, or any desired number of wafers. Similar to the loaders 200, 200', 300 of the previous embodiment, the loader 2000 is a reduced or small batch size loader relative to conventional 13 to 25 wafer loaders. As shown in Figures 36A-36B, the loader housing has a delivery system interface 2060. The conveyor system interface 2060 of the loader 2000 can be configured to interface with any desired delivery system, such as a transmission system similar to the embodiment shown in Figures 20-30. For example, the loader can include an active element, such as a steel magnetic material gasket or member, disposed or attached to the loader housing and can cooperate with a linear or planar motor of the drive train to push the loader along The conveyor travels. An example of a suitable construction of an active element of a linear or planar motor is described in U.S. Patent Application Serial No. 10/697,528, the entire disclosure of which is incorporated herein by reference. In the embodiment shown in Figures 36A-36C, the loader interface 2060 also has a connection to the transport system for supporting the loader on the transport system when the loader is moved and/or stationary on the transport system. Loader support member or surface 2062. The bearing surface is a non-contact or contact bearing surface that can be configured to face or face the side (eg, surface 2062S) or the bottom surface (eg, surface 2062B) or any other desired location or orientation to securely support the loader on the delivery system. A non-contact bearing surface, such as a generally planar, surface or mat surface, is attached or disposed to the housing and formed by any suitable means for attachment to an air bearing (not shown) of the conveyor system to securely support the loader (A combination of actuating forces, such as magnetic forces, etc., based on air support alone or by a conveyor system motor on the loader). In a variation, the loader housing has one or more (active) air supports to direct air (or any desired gas) toward the (passive) delivery system structure to provide buoyancy (eg, non-contact) but still loader Stable support on the structure of the conveyor system. In this embodiment, a suitable air/gas supply (e.g., a fan or air pump) can be coupled to the loader to feed the air support of the loader. In another variation, both the loader housing and the delivery system have an air bearing and a passive air bearing surface (eg, a raised air bearing in the conveyor system and a horizontally guided air bearing in the loader). The loader 2000 can have other gripping members, flanges or surfaces, such as the operating flanges 2068 as shown in Fig. 36B.

實施例中之裝載機2000具有工具介面2070可供裝載機與加工工具之裝載部(例如裝載埠)連接。該加工工具係任何類型者。實施例中,介面2070係設在裝載機底部。變更態樣中之工具介面係設於裝載機之任何其他預期側面。而另一變更態樣中之裝載機可具有多個工具介面(例如底部與側面)可供裝載機與工具作不同構造之介面。實施例中之裝載機2000之工具介面部2070係詳示於第36C圖。第36C圖所示之工具介面部2070之構造僅作為實例說明用,而在變更態樣中之裝載機可具有任何其他預期構造之工具介面部。於實施例中之工具介面2070具有裝載機之適當SEMI標準所設定之條件(諸如SEMI E.47.1及E57及任何其他適當SEMI或其他標準),全部將被援引作為本案參考。因此在實施例中之裝載機介面部2070可包括符合SEMI標準E.47.1及E57之條件所設置之動能聯結(KC)插座,用以承接設置於傳統裝載埠介面中之主要及/或次要KC銷(未予圖示)。裝載機介面2070亦具有符合裝載機之SEMI標準之一或多個資訊墊之部份。變更態樣中之裝載機介面部可能未設有一或多個SEMI指定部件(例如該介面部可能未設有動能聯結部件)然而在對應該部件之殼體之介面側具有保留區域。實施例之裝載機介面部2070可使裝載機2000與傳統加工工具之傳統裝載介面連接。此外,實施例中之裝載機介面部2070係經設計可供裝載機與加工工具之裝載介面產生非接觸式聯結介面,以下將予詳述。The loader 2000 of the embodiment has a tool interface 2070 for the loader to be coupled to a loading portion of the processing tool (e.g., load magazine). This processing tool is of any type. In an embodiment, the interface 2070 is attached to the bottom of the loader. The tool interface in the change profile is attached to any other desired side of the loader. In another variation, the loader can have multiple tool interfaces (eg, bottom and side) for different configurations of the loader and tool. The tool interface 2070 of the loader 2000 in the embodiment is shown in detail in Fig. 36C. The construction of the tooling face 2070 shown in Fig. 36C is for illustrative purposes only, and the loader in the modified aspect may have any other desired configuration of the tooling face. The tool interface 2070 in the embodiment has the conditions set by the appropriate SEMI standard for the loader (such as SEMI E.47.1 and E57 and any other suitable SEMI or other standards), all of which are incorporated herein by reference. Therefore, the loader interface 2070 in the embodiment may include a kinetic energy coupling (KC) socket disposed in accordance with the conditions of SEMI standards E.47.1 and E57 for accepting major and/or secondary settings in the conventional load port interface. KC pin (not shown). The loader interface 2070 also has one or more of the information pads that conform to the loader's SEMI standard. The loader interface in the modified aspect may not be provided with one or more SEMI designation components (e.g., the intervening face may not be provided with a kinetic energy coupling component) but has a reserved area on the interface side of the housing corresponding to the component. The loader interface 2070 of an embodiment allows the loader 2000 to be coupled to a conventional loading interface of a conventional processing tool. In addition, the loader interface 2070 of the embodiment is designed to produce a contactless interface between the loader and the loading interface of the processing tool, as will be described in more detail below.

由此可知,諸如裝載機2000等之晶圓裝載機可設置相對於加工工具以供加工。晶圓裝載機與工具之裝載埠需要精密對準以使晶圓自動輸送進入工具。傳統定位方法一般係採用傳統機械聯結方式以接觸裝載機之底面。舉例而言,該傳統機械聯結方式提供引入或凸輪以補償失準總值及輔助導引晶圓至對準位置。很遺憾的是此部件係仰賴於裝載機之引入面以達至與裝載埠之配對銷之滑動接觸,因此有可能造成磨損及產生污染物。有關傳統機械聯結之應用之第二項問題係需要裝載機粗略設置於傳統聯結之有效功能之抓取範圍內。裝載機輸送系統係用以達成增加輸送系統之複雜度及/或增加啟動正確定位(例如重試)之時間。因此裝載機輸送系統之設計必須充份複現性以設置裝載機於傳統機械聯結之抓取範圍內或在傳統應用中之標稱對準位置以防止磨損。然而,裝載機輸送系統在經過多個周期後無法達成複現性,於是產生滑動接觸引致微粒。裝載機2000之介面可提供相同複現性以藉助非接觸式(例如磁性)聯結以設置晶圓裝載機於加工工具。此項性能可供輸送系統完全實現引入特徵以放鬆設置容限及繼後加速裝載機之裝/卸步驟。其次,補償設置誤差之所有行動可在裝載機與裝載埠之間沒有實質接觸之情況下進行而可減少任何相對滑動作為清潔考量。It will thus be appreciated that a wafer loader such as loader 2000 can be placed relative to the processing tool for processing. The loader of the wafer loader and tool requires precise alignment to automatically transport the wafer into the tool. Conventional positioning methods generally use a conventional mechanical coupling to contact the underside of the loader. For example, the conventional mechanical coupling provides an introduction or cam to compensate for the total misalignment and assist in guiding the wafer to the aligned position. Unfortunately, this component relies on the introduction surface of the loader to achieve sliding contact with the mating pin of the loader, thus potentially causing wear and contaminants. The second problem with the application of traditional mechanical coupling is that the loader is roughly placed within the capture range of the effective function of the traditional linkage. The loader conveyor system is used to achieve increased complexity of the conveyor system and/or increased time to initiate proper positioning (eg, retry). The design of the loader conveyor system must therefore be sufficiently reproducible to set the loader within the gripping range of conventional mechanical coupling or in a nominally aligned position in conventional applications to prevent wear. However, the loader conveyor system cannot achieve reproducibility after a number of cycles, thus producing sliding contact-induced particles. The interface of loader 2000 can provide the same reproducibility to set up the wafer loader to the processing tool by means of a non-contact (eg, magnetic) bond. This performance allows the conveyor system to fully implement the introduction feature to relax the set tolerance and subsequently accelerate the loading/unloading steps of the loader. Second, all actions to compensate for set errors can be made without substantial contact between the loader and the load port, reducing any relative slip as a cleaning consideration.

由第36C圖可見,實施例中之裝載機介面部2070可具有一非接觸性聯結2071用以將裝載機作非接觸式介面及聯結於裝載埠。非接觸性聯結2071可包括大致為非接觸性支承或提升面2072及非接觸性聯結部2074。於實施例中,提升面2072係大致呈平滑面用以與裝載埠之空氣支承配合(以下將予詳述)以供空氣支承對裝載機作控制性及穩定之提升於裝載埠中。實施例中之裝載機提升面係被動式,而在變更態樣中之裝載機可包括一或多個有效空氣/氣體支承以提升裝載機。再參照第36C圖,實施例中之提升面2072可具有大致互相類似及分佈於裝載機殼體之介面(例如底側)側之三個部件,於是由裝載埠空氣支承施加於裝載機之提升作用大致係由壓力所產生,從空氣支承施加於提升面部件,而所產生之提升係與裝載機之質量重心(CG)重合。第36C圖所示之提升面部件2072之形狀及數目僅作為實例說明,而在變更態樣中之提升面可具有任何預期形狀及數目。舉例而言,提升面可為一單一連續體(或大致為沿着裝載機介面之周邊延伸之大致非中斷式部件)。實施例中之提升面係位於裝載機介面2070以致不與符合SEMI介面部件(例如動能聯結插座,資料墊片等)連接。提升面2072之位置係在介面之限制下儘量遠離CG,並視需要作成預期尺寸以產生預期壓力分佈及儘量容許裝載機與裝載埠之間之預期平移(例如x-y平面)失準。實施例中之提升面2072係相對於單一軸(示為第36C圖中之X軸,例如雙側向基準軸)對稱配置,但對裝載機介面之任何其他軸則不然。因此裝載機介面2070係被極化使與工具裝載介面之非接觸性介面可藉單一適當定向予以達成。裝載機以不正確定向設置時可導致裝載機提升時之不穩定性,可由設置裝載機之輸送系統之適當感應器予以偵測,或由裝載機本身或裝載埠所偵測,產生信號並傳送以停止不正確之設置。提升面2072亦可設有預期斜面或偏位以輔助將裝載機適當對準裝載埠。實施例中之提升面係可藉機械,電機,壓電,熱能或任何其他適當方式予以移動或偏移,藉以在受到空氣支承撞擊時產生不同尺度及不同方向之預期水平作用力予裝載機藉以對準裝載機與裝載埠。As can be seen from Fig. 36C, the loader interface 2070 of the embodiment can have a non-contact coupling 2071 for the loader to be a contactless interface and to be coupled to the load magazine. The non-contact coupling 2071 can include a substantially non-contact support or lift surface 2072 and a non-contact joint 2074. In an embodiment, the lifting surface 2072 is substantially smooth to engage the air bearing of the loading jaw (described in more detail below) for air support to provide controlled and stable lifting of the loader in the loading magazine. The loader lift face in the embodiment is passive, and the loader in the modified form may include one or more effective air/gas supports to lift the loader. Referring again to Figure 36C, the lifting surface 2072 of the embodiment can have three components that are substantially similar to each other and distributed on the interface (e.g., the bottom side) of the loader housing, thus being lifted by the load weir air support to the loader. The action is generally caused by pressure, applied from the air bearing to the lifting face member, and the resulting lifting system coincides with the mass center of mass (CG) of the loader. The shape and number of lifting surface members 2072 shown in Fig. 36C are for illustrative purposes only, and the lifting surfaces in the modified aspects may have any desired shape and number. For example, the lifting surface can be a single continuum (or substantially non-interrupting component that extends generally along the perimeter of the loader interface). The lifting surface in the embodiment is located in the loader interface 2070 so as not to be connected to a SEMI interface component (eg, a kinetic energy coupling socket, a data pad, etc.). The position of the lifting surface 2072 is as far as possible away from the CG under the constraints of the interface, and is made to the desired size to produce the desired pressure distribution and to allow for the expected translational (eg, x-y plane) misalignment between the loader and the loading magazine as much as possible. The lifting surface 2072 in the embodiment is symmetrically arranged with respect to a single axis (shown as the X-axis in Figure 36C, such as the dual lateral reference axis), but not to any other axis of the loader interface. The loader interface 2070 is thus polarized such that the non-contact interface with the tool loading interface can be achieved by a single suitable orientation. When the loader is set in an incorrect orientation, it can cause instability when the loader is lifted. It can be detected by a suitable sensor of the conveyor system of the loader, or detected by the loader itself or the loader, generating signals and transmitting. To stop the incorrect settings. The lifting surface 2072 can also be provided with a desired bevel or offset to assist in properly aligning the loader with the loading magazine. The lifting surface in the embodiment may be moved or offset by mechanical, electrical, piezoelectric, thermal or any other suitable means, thereby generating an expected horizontal force of different scales and directions in the event of an air bearing impact to the loader. Align the loader with the loader.

再參照第36C圖,實施例中之非接觸式聯結部2074具有一或多個永久性磁鐵2074A-2074C(圖中顯示三個磁鐵2074A-2074C以作為實例說明,而在變更態樣中可提供更多或更少數目之磁鐵)。聯結磁鐵2074A-2074C係輸送系統線性/平面電動機之反應部之一部份,或與該電動機反應部獨立分開設置。聯結磁鐵2074A-2074C係有足夠尺寸以疊合裝載埠之聯結磁鐵(以下將予詳述)作為裝載機與裝載埠之間之預期失準。實施例中顯示聯結磁鐵2074A-2074C係沿着單一軸(例如第36C圖中之X軸)對稱設置,但對裝載機介面之所有其他軸呈非對稱。於是裝載機之非接觸性聯結部係極化以在裝載機不在相對於裝載埠之預期定向時防止裝載機聯結於裝載埠。換言之,裝載機之非接觸性聯結可作正確定向之“鍵接”於裝載埠,而聯結部將不會接合所有其他定向因而無法嚐試裝載。可在裝載埠或裝載機上設置適當感應器以偵測裝載機不正確設置於裝載埠而聯結無法適當啟動,並傳送適當信號導致輸送系統移除或將裝載機重新定位於適當定向。變更態樣中之非接觸性聯結部及/或提升面可沿着裝載機介面之多個軸作對稱性設置。Referring again to Fig. 36C, the non-contact coupling portion 2074 in the embodiment has one or more permanent magnets 2074A-2074C (three magnets 2074A-2074C are shown as an example, and may be provided in a modified form) More or fewer magnets). The coupling magnets 2074A-2074C are part of the reaction section of the conveyor system linear/planar motor or are provided separately from the motor reaction section. The coupling magnets 2074A-2074C are of a size sufficient to overlap the loading magnets of the loading cassette (described in more detail below) as the expected misalignment between the loader and the loading magazine. The embodiment shows that the coupling magnets 2074A-2074C are symmetrically disposed along a single axis (e.g., the X-axis in Figure 36C), but are asymmetrical to all other axes of the loader interface. The non-contact coupling of the loader is then polarized to prevent the loader from attaching to the load magazine when the loader is not in the desired orientation relative to the load magazine. In other words, the non-contact coupling of the loader can be "keyed" to the load magazine with the correct orientation, and the joint will not engage all other orientations and therefore cannot attempt to load. A suitable sensor can be placed on the loader or loader to detect that the loader is incorrectly placed on the load port and the link cannot be properly activated, and the appropriate signal is transmitted to cause the conveyor system to be removed or to reposition the loader in the proper orientation. The non-contact coupling and/or lifting surface in the modified aspect can be symmetrically disposed along a plurality of axes of the loader interface.

爰參照36D圖顯示與前述裝載機2000類似之另一實施例裝載機2000’之底面圖,類似部件係以類似編號表示。裝載機2000’具有一裝載機介面部2070’,具有大致與前參照第36A-36C圖所述之非接觸式聯結2071類似之非接觸式聯結2071’。第36D圖所示之實施例中,非接觸式聯結部2074’具有鋼鐵磁性材料部2074A’,2074B’,2074C’(可能係裝載機中之輸送系統電動機反應組件之一部份或獨立部份)以取代永久性磁鐵。鋼鐵材料部2074A’,2074B’,2074C’係具有任何預定形狀,諸如長方形,圓柱形或圓球形。各該部份2074A’,2074B’,2074C’係彼此類似,而在變更態樣中不同共用部形成預期之磁性聯結及各部份所使用之方向特徵。該部份具有可容納於裝載埠聯結點之磁場內之足夠尺寸,並可容許裝載機最初設置於裝載埠上時裝載機與裝載埠之間之初始失準。聯結部份2074A’,2074B’,2074C’係經過尺寸設計及設置於裝載機介面上使作用於裝載機之磁力可使裝載機偏入相對於裝載埠之對準位置。由第36D圖可知,實施例中之聯結部份2074A’,2074B’,2074C’可分佈於裝載機介面上形成單一對稱軸(軸X),並鍵合於裝載機之非接觸式聯結2071’以供以單一方位聯結於裝載埠。在變更態樣中之聯結部可具有任何其他預期配置。Referring to Figure 36D, there is shown a bottom plan view of another embodiment loader 2000' similar to the aforementioned loader 2000, like components being numbered similarly. The loader 2000' has a loader interface 2070' having a non-contact coupling 2071' substantially similar to the non-contact coupling 2071 described above with reference to Figures 36A-36C. In the embodiment shown in Fig. 36D, the non-contact coupling portion 2074' has a steel magnetic material portion 2074A', 2074B', 2074C' (may be part or separate part of the motor reaction assembly of the conveyor system in the loader) ) to replace the permanent magnet. The steel material portions 2074A', 2074B', 2074C' have any predetermined shape such as a rectangular shape, a cylindrical shape or a spherical shape. Each of the portions 2074A', 2074B', and 2074C' are similar to each other, and in the modified aspect, the different common portions form the desired magnetic coupling and the directional features used by the portions. The portion has a sufficient size to accommodate the magnetic field of the load port and allows for initial misalignment between the loader and the loader when the loader is initially placed on the load port. The coupling portions 2074A', 2074B', 2074C' are sized and disposed on the loader interface such that the magnetic force acting on the loader biases the loader into an aligned position relative to the load jaw. As can be seen from Fig. 36D, the coupling portions 2074A', 2074B', 2074C' in the embodiment can be distributed on the loader interface to form a single axis of symmetry (axis X) and bonded to the contactless coupling 2071' of the loader. It is connected to the loading raft in a single orientation. The junction in the changed aspect can have any other desired configuration.

第37A-D圖分別顯示另一實施例之工具裝載站或裝載埠2300之透視圖,端部及側面立面圖及頂面圖。圖示實施例之裝載埠具有可連接及從類似前述裝載機2000,200’,300之裝載機之底部開口裝載晶圓之構造。變更態樣中之裝載埠可具有任何其他預期構造。裝載埠2300具有諸如SEMI STD等之適當安裝介面。包括BOLTS介面以供將裝載埠配對於任何預期加工工具或工作站。例如裝載埠可安裝/配對於諸如加工工具之EFEM等之控制圍氛部(以下將予詳述),或可(以類似第14圖所示之方式)配對於加工工具之大氣隔離艙室(例如真空轉移艙室)或加工工具之大氣開放艙室。於此實施例中之裝載埠係類似前述之裝載埠。裝載埠2300一般係具有一裝載機裝載介面2302,及裝載腔室或艙室2304(其中個別或在匣盒中之晶圓可接收自裝載機或回返至裝載機)。艙室2304可用以維持隔離圍氛(可供裝載埠作為加工工具之裝載鎖)或控制性(高度潔淨)空氣圍氛。裝載機裝載介面2302具有裝載平面2302L,在連接裝載埠時將可支承裝載機,與傳統裝載埠所不同在於它在裝載機設置區內係大致完全沒有突出部。由37A圖可見,裝載平面具有設在裝載設置區外側之防撞部或減震部以在裝載機與裝載埠之交叉失準時代替裝載機移動。裝載埠之裝載介面2302可具有裝載開口及(或埠口2308)(與裝載艙室2304連通)及埠門關閉類似前述埠口之埠口。實施例中之埠門2310係大致扁平及與裝載介面之裝載平面同等高度。埠門2310係以類似前述參照第4A-4B圖所示之密封配置密封於埠緣。由此可知,當連接及結合裝載埠之裝載埠介面2302時,裝載機殼體與裝載機門係相對密封於裝載埠緣2308R及埠門2310,可參照具有類似第4A-4B圖所示之配置之大致“零體積洗滌”密封。變更態樣中埠緣,埠門,裝載機殼體及裝載機門之間之密封可具有任何其他預期構造。實施例中之埠門2310可藉被動式磁性聯結或閂鎖以類似前述之方式連結於埠口。實施例中,設於埠門與埠口之間之磁性聯結/閂鎖元件可設置及設計以在啟動埠門與埠口之間之閂鎖之同時啟動裝載機門與殼體之間之被動式磁性閂。因此舉例而言,埠門與埠口之解鎖亦將導致裝載機門與裝載機解鎖,而將埠門鎖鎖止於裝載機。實施例中之裝載埠可包括類似第8-14圖所示之調位器2306及洗滌/排氣系統2314。Figures 37A-D show perspective, end and side elevation and top views, respectively, of the tool loading station or loading magazine 2300 of another embodiment. The loading cassette of the illustrated embodiment has a configuration for attaching and loading wafers from the bottom opening of a loader similar to the aforementioned loader 2000, 200', 300. The load port in the change pattern can have any other desired configuration. The load cassette 2300 has a suitable mounting interface such as a SEMI STD. A BOLTS interface is included for loading the load to any desired processing tool or workstation. For example, the loading cassette can be mounted/equipped with a control enclosure for EFEM, such as a processing tool (described in more detail below), or can be equipped (in a manner similar to that shown in Figure 14) with an atmospheric isolation compartment for the processing tool (eg Vacuum transfer cabin) or atmospheric open compartment of processing tools. The loading rafts in this embodiment are similar to the aforementioned loading rafts. The load cassette 2300 typically has a loader loading interface 2302, and a loading chamber or chamber 2304 (where individual or wafers in the cassette can be received from the loader or returned to the loader). The compartment 2304 can be used to maintain an isolation enclosure (a load lock that can be loaded as a processing tool) or a controlled (highly clean) air enclosure. The loader loading interface 2302 has a loading plane 2302L that will support the loader when the loading magazine is attached, unlike conventional loading magazines, which have substantially no protrusions in the loader setting area. As can be seen from Figure 37A, the loading plane has an anti-collision or shock absorbing portion disposed outside the loading setting area to move in place of the loader when the loader and the loading magazine are out of alignment. The load loading interface 2302 can have a loading opening and/or a mouth 2308 (in communication with the loading compartment 2304) and a door closing similar to the aforementioned opening. The card 2310 of the embodiment is generally flat and of the same height as the loading plane of the loading interface. The cardia 2310 is sealed to the rim of the rim in a sealing configuration similar to that described above with reference to Figures 4A-4B. It can be seen that when the load port interface 2302 of the load port is connected and coupled, the loader case and the loader door are relatively sealed to the load edge 2308R and the card door 2310, and can be referred to as shown in FIG. 4A-4B. The configuration is roughly "zero volume wash" seal. The seal between the rim, the door, the loader housing and the loader door may have any other desired configuration. The card 2310 of the embodiment can be coupled to the mouth by a passive magnetic coupling or latch in a manner similar to that described above. In an embodiment, the magnetic coupling/latch element disposed between the cardia and the fistula can be configured and designed to activate the passive movement between the loader door and the housing while actuating the latch between the cardia and the fistula. Magnetic latch. Thus, for example, unlocking the slamming door and the cornice will also cause the loader door to unlock the loader and lock the mortise lock to the loader. The load cassette of the embodiment may include a positioner 2306 and a wash/discharge system 2314 similar to those shown in Figures 8-14.

參照第37D圖,實施例之裝載埠之裝載機裝載介面具有可與裝載機2000之非接觸式介面部2071配合之大致非接觸式介面部2371,例如將裝載機2000連接至裝載埠2300。如圖所示,實施例中之介面部2371可具有一或多個空氣支承2372及一非接觸式聯結部2374。裝載埠之空氣支承2372係任何適當類型及構造者,可設置成諸如“鍵接”配置,一般係對應於裝載機介面上之提升區2072之配置。因此該空氣支承2372係相對於參考基準X呈對稱配置,當聯結於裝載埠時該基準X係設定裝載機2000之對準。適當空氣/氣體供源(未予圖示)係支援空氣支承。可採用適當調節器(未予圖示)以維持來自空氣支承之預期氣流。空氣支承之氣體供應及調節器係按照要求設置。例如設在裝載埠之裝載艙室2304之外側或內側,但與艙室之內部圍氛隔離,空氣支承2372之氣體供應2372S(見第37C圖)可延伸於風箱內或空氣支承之其他撓性密封套管以將氣體供應與裝載艙室隔離。另一實施例中,空氣支承之氣體供應可以類似第14圖所示之洗滌與排氣管線之方式延伸於隔離調位器之風箱密封中。實施例中之裝載機之空氣/提升區係位於裝載機門,因此實施例中之裝載埠之空氣支承2372(位於提升區下側)係設在埠門2310之界限內。變更態樣中之空氣支承係設在埠框架或埠凸緣,而空氣支承之氣體供應係設於裝載埠之裝載艙室之外側。實施例中之空氣支承2372係孔口支承(具有局部排出口)或具有大致均勻分佈排出口之多孔介質空氣支承。各空氣支承2372之排流之壓力,質量流及方向(第37C圖中僅以AB垂直表示作為實例說明)係固定(大致保持不變)。變更態樣中之空氣支承具有變化之排流以供改變排流特性(例如壓力,質量或方向等)以偏移裝載機相對於裝載埠之移動及輔助裝載機與裝載埠之對準。由此可知,空氣支承2372與裝載機上之提升墊2072之尺寸係經設計以提供裝載機最初設置於裝載埠之預期失準限度或設置區域。Referring to Figure 37D, the loading loader loading interface of the embodiment has a substantially non-contacting dielectric surface 2371 that can be mated with the non-contacting dielectric surface 2071 of the loader 2000, such as to connect the loader 2000 to the loading magazine 2300. As shown, the interface portion 2371 in the embodiment can have one or more air bearings 2372 and a non-contact coupling portion 2374. The load bearing air support 2372 can be of any suitable type and configuration, such as a "keyed" configuration, generally corresponding to the configuration of the lift zone 2072 on the loader interface. Thus, the air bearing 2372 is symmetrically disposed relative to the reference reference X which sets the alignment of the loader 2000 when coupled to the loading magazine. A suitable air/gas supply (not shown) supports air support. Appropriate regulators (not shown) may be employed to maintain the desired airflow from the air support. The air supply and regulator of the air support are set as required. For example, it is located outside or inside the loading compartment 2304, but is isolated from the interior enclosure of the compartment. The gas supply 2372S of the air bearing 2372 (see Figure 37C) can extend into the bellows or other flexible seals of the air bearing. The casing is used to isolate the gas supply from the loading compartment. In another embodiment, the air bearing gas supply may extend into the bellows seal of the isolation positioner in a manner similar to the wash and exhaust lines shown in FIG. The air/lifting zone of the loader in the embodiment is located at the loader door, so that the air bearing 2372 (located on the underside of the lift zone) of the load in the embodiment is within the limits of the cardia 2310. The air bearing in the modified aspect is provided on the 埠 frame or the 埠 flange, and the air supply of the air bearing is provided on the outer side of the loading compartment of the loading raft. The air bearing 2372 in the embodiment is an orifice support (having a partial discharge port) or a porous medium air support having a substantially even distribution discharge port. The pressure, mass flow and direction of the discharge of each air bearing 2372 (indicated by AB vertical as an example in Fig. 37C) are fixed (substantially unchanged). The air bearing in the modified aspect has a varying drainage for changing the drainage characteristics (e.g., pressure, mass or direction, etc.) to offset the movement of the loader relative to the loading cassette and the alignment of the auxiliary loader with the loading magazine. As can be seen, the dimensions of the air bearing 2372 and the lifting pad 2072 on the loader are designed to provide an expected misalignment limit or set area for the loader to initially be placed on the load magazine.

爰參照第37E圖顯示另一實施例之裝載埠2300’之平面圖。裝載埠2300’係與裝載埠2300類似,相似之部件係以相似代號表示。本實施例中之一或多個空氣支承2372’具有一排管嘴。該排管嘴之排出口AB1-AB4係組合以提供導引性總排出口。作為實例說明,該排之各個管嘴具有可相對於另一管嘴排出口呈一角度之排出口。從一或多個管嘴流出之排出口係固定或變化者。當一排空氣管嘴係在整流下操作時,所產生之排氣具有第一預期方向(即大致呈垂直性)。通過一或多個管嘴停止或減低流量將導致最終排出方向之改變,產生在裝載平面之方向性組件。變更態樣中之空氣支承管嘴係移動性(例如安裝於可傾斜平台上之空氣管嘴),或可改變形狀(例如使用介電材料或共享記憶體材料)以作方向性導引排出口。由此可知,在裝載平面中之空氣支承排出口之方向性組件給予安裝在裝載平面之空氣支承上之裝載機沿着排出口之方向性組件之相反方向之衝擊,並產生在裝載平面中之裝載機之側向運動。A plan view of another embodiment of the loading cassette 2300' is shown with reference to Figure 37E. The loading cassette 2300' is similar to the loading cassette 2300, and similar components are indicated by similar symbols. One or more of the air supports 2372' in this embodiment have a row of nozzles. The discharge ports AB1-AB4 of the discharge nozzles are combined to provide a guiding total discharge port. By way of example, each nozzle of the row has a discharge port that is angled relative to the other nozzle discharge port. The discharge port that flows out of one or more nozzles is fixed or varied. When an array of air nozzles are operated under rectification, the resulting exhaust has a first desired direction (i.e., substantially perpendicular). Stopping or reducing flow through one or more nozzles will result in a change in the final discharge direction, resulting in a directional component at the loading plane. The air bearing nozzle in the modified aspect is mobility (for example, an air nozzle mounted on a tiltable platform), or the shape can be changed (for example, using a dielectric material or a shared memory material) to guide the discharge port. . It can be seen that the directional component of the air bearing discharge port in the loading plane gives the impact of the loader mounted on the air bearing of the loading plane in the opposite direction of the directional component of the discharge port and is generated in the loading plane. Lateral movement of the loader.

再參照第37A-37D圖,裝載埠之非接觸式聯接部2374具有磁性部2374A-2374C,設置以配合磁鐵2074A-2074C(見第36C圖)或裝載機之磁性材料2074A’-2074C’以形成裝載機與裝載埠之間(例如裝載機門2016與埠門2310之間及裝載機殼體與裝載埠框架之間)之磁性鎖死/解鎖聯結。在實施例中之裝載埠之磁性部2374A-2374C亦與裝載機之磁鐵2074A-2074C或磁性材料部2074A’-2074C’配合以形成裝載機位置補助裝置,可用以調整裝載機在裝載部上之位置以達至以下將述之定位。圖示之磁性部2374A-2374C之配置僅作為實例說明,而在變更態樣中之裝載埠非接觸式裝載機聯結部之磁性部係可依預期方式予以配置/構築。磁性部2374A-2374C係操作式磁鐵可啟動磁性開關,當啟動時會產生預期磁場以對裝載機中之磁鐵或磁性部作預期方向之偏移(例如產生裝載機與裝載埠之鎖止/聯結)及/或給予裝載機之校正作用力。由第37A及37D圖可見,實施例中之裝載埠介面具有非接觸式對準系統2380以教示裝載機輸送系統有關裝載埠之位置/定位及提供裝載機在裝載埠介面上之初始設置。如前所述,裝載埠之設置區係大致沒有突緣,而裝載機在設置區上之初始設置在裝載機與裝載埠之間係大致沒有接觸(即沒有摩擦接觸)。圖示實施例中,對準系統2380具有可由適當感應器成像之記號排列或圖案。第37D圖中所示之標記圖案僅作為實例說明,而在變更態樣中可使用任何適當之標記圖案以供適當感應器予以成像及設定所有預期自由度之定位資訊。感應器(未予圖示)係設置於輸送系統之裝載機支承部上,係諸如CCD或CMOS成像感應器等可形成圖像及其空間特徵之裝置。該圖案之成像數據可藉作為裝載機定位數據之記錄及聯接之適當處理器輸送至圖案以測定裝載埠設置區相對於裝載機輸送器之位置及教示裝載機輸送器之該位置。Referring again to Figures 37A-37D, the non-contact coupling portion 2374 of the loading cassette has magnetic portions 2374A-2374C disposed to match the magnets 2074A-2074C (see Figure 36C) or the magnetic material 2074A'-2074C' of the loader to form A magnetically locked/unlocked connection between the loader and the loader (eg, between the loader door 2016 and the door 2310 and between the loader housing and the load frame). The magnetic portion 2374A-2374C of the loading cassette in the embodiment also cooperates with the magnets 2074A-2074C or the magnetic material portions 2074A'-2074C' of the loader to form a loader position assisting device for adjusting the loader on the loading portion. Position to achieve the positioning described below. The arrangement of the illustrated magnetic portions 2374A-2374C is described by way of example only, and the magnetic portion of the loaded non-contact loader coupling portion in the modified aspect can be configured/constructed as intended. The magnetic part 2374A-2374C is an operating magnet that activates a magnetic switch that, when activated, produces an expected magnetic field to deflect the magnet or magnetic part of the loader in the desired direction (eg, to create a lock/coupling of the loader and loader). And/or corrective force imparted to the loader. As seen in Figures 37A and 37D, the load port interface of the embodiment has a non-contact alignment system 2380 to teach the loader conveyor system about the position/positioning of the load port and to provide an initial setting of the loader on the load port interface. As previously mentioned, the mounting area of the loading cassette is substantially free of flanges, and the initial setting of the loader on the setting area is substantially non-contacting (i.e., without frictional contact) between the loader and the loading cassette. In the illustrated embodiment, alignment system 2380 has a symbol arrangement or pattern that can be imaged by a suitable sensor. The marking pattern shown in Fig. 37D is for illustrative purposes only, and any suitable marking pattern may be used in the modified aspect for imaging by appropriate sensors and setting positioning information for all desired degrees of freedom. A sensor (not shown) is provided on the loader support of the transport system, such as a CCD or CMOS imaging sensor, which forms an image and its spatial characteristics. The imaged data of the pattern can be conveyed to the pattern by a suitable processor for recording and coupling of the loader positioning data to determine the position of the loading cassette setting area relative to the loader conveyor and to teach the position of the loader conveyor.

實施例中之裝載機2000係由輸送系統設置於在設置區2302P內沒有突緣之裝載平面上。實施例中之設置區係由裝載機+/-之尺寸所形成之區域,例如相對於裝載埠之對準軸之大約20mm。實際之設置定位誤差係任何數值而非取決於前述數值,並可以相對於定位裝載機後之補助機制之比例予以指定。因此此種聯結之對準複現性係與傳統聯結方式者大致相同,同時增加可容許裝載機輸送定位誤差。當裝載機被裝載埠感應到之後,將啟動空氣薄膜(空氣支承)以提升裝載機及消除裝載機與裝載埠介面之間之摩擦。此時作用於裝載機上之作用力係其質量及相對於水平參考面與提升力本身之重力之相對位置。裝載機提升面與裝載埠上之空氣墊片連接以提升裝載機及達至裝載機相對於裝載埠之複現性定位(角向及橫向)。浮動於空氣薄膜上之裝載機將作相對於裝載埠之對準定位。如前所述,可利用磁性聯結以施加作用力於裝載機以使裝載機平移或旋轉。磁性方式以外之任何方法均可採用以施加作用力予裝載機,只要具備充份衝擊及可預測目標位置。完成裝載機與裝載埠之聯結係將二物件夾在一起及抓持定位。The loader 2000 of the embodiment is disposed by the transport system on a loading plane having no flanges in the set area 2302P. The set area in the embodiment is the area formed by the size of the loader +/-, for example about 20 mm with respect to the alignment axis of the load cassette. The actual set positioning error is any value and not dependent on the aforementioned values, and can be specified relative to the ratio of the subsidy mechanism after positioning the loader. Therefore, the alignment reproducibility of such a connection is substantially the same as that of the conventional coupling method, and at the same time increases the allowable loader positioning error. After the loader is loaded and sensed, an air film (air bearing) is activated to lift the loader and eliminate friction between the loader and the loading jaw interface. The force acting on the loader at this time is the relative position of its mass and the gravity relative to the horizontal reference surface and the lifting force itself. The loader lifting surface is coupled to the air shims on the loading raft to lift the loader and achieve reproducible positioning (angular and lateral) of the loader relative to the loading ram. The loader floating on the air film will be positioned relative to the loading jaw. As previously mentioned, a magnetic coupling can be utilized to apply a force to the loader to translate or rotate the loader. Any method other than the magnetic method can be used to apply force to the loader as long as it has sufficient impact and predictable target position. The connection between the loader and the loading raft is completed to clamp the two objects together and grasp the positioning.

參照第36A-36C圖所示之實施例,當裝載機2000係在設置區時,永久性磁鐵2074A-2074C係疊合於裝載埠介面上之磁鐵2374A-2374C。空氣支承係被激化及裝載埠磁性係藉電子或機械裝置所啟動以展示相對磁極予裝載機磁鐵。介面沒有摩擦將使裝載機可自由移動於X,Y及θZ軸直至磁極自然對準但沒有產生實質接觸。在整個過程中之空氣支承係由裝載機及裝載埠中之磁鐵預先施加磁作用力。預先施力可有效維持裝載機之控制及增加空氣支承之靭度。經過預定時段或利用感應器回饋後可停止空氣支承,使裝載機降低至裝載埠之埠門。此時之磁鐵係完全接觸及提供夾持力以將裝載機支承於埠門。Referring to the embodiment illustrated in Figures 36A-36C, when the loader 2000 is in the set area, the permanent magnets 2074A-2074C are superimposed on the magnets 2374A-2374C on the load port interface. The air bearing system is energized and the loading magnetic system is activated by an electronic or mechanical device to display the opposing magnetic pole preloader magnets. The absence of friction in the interface will allow the loader to move freely over the X, Y and θZ axes until the poles are naturally aligned but do not make substantial contact. The air bearing system throughout the process is pre-applied with magnetic forces by the loader and the magnets in the loading bowl. Pre-stressing can effectively maintain the control of the loader and increase the toughness of the air bearing. After a predetermined period of time or feedback from the inductor, the air bearing can be stopped, causing the loader to lower to the door of the loader. The magnet at this point is in full contact and provides a clamping force to support the loader to the cardia.

第36D圖所示之實施例中,裝載機2000具有鋼鐵材料墊片2074A及2074C(見第36D圖),其尺寸足以設於(由裝載機輸送系統)定位後之裝載埠聯結點之磁場範圍內。啟動空氣支承及裝載埠上之磁鐵係以電子或機械方式啟動以引進磁場至裝載機之鐵墊片上。介面處沒有摩擦力將使磁鐵與鐵墊片之間產生吸引力以將裝載機平移或旋轉至對準位置。空氣支承係被預先施加磁力。預先施力可有效維持裝載機之控制及增加空氣支承之靭度。經過預定時段或利用感應器回饋後,可停止空氣支承,使裝載機降低至裝載埠之埠門。在鐵墊片上之磁力將提供夾持力以將裝載機支承於埠門。In the embodiment shown in Fig. 36D, the loader 2000 has steel material spacers 2074A and 2074C (see Fig. 36D) sized to be placed in the magnetic field range of the loading and uncoupling point (positioned by the loader conveyor system). Inside. The magnet that activates the air bearing and the loading jaw is electronically or mechanically activated to introduce a magnetic field onto the iron shim of the loader. The absence of friction at the interface will create an attractive force between the magnet and the iron shim to translate or rotate the loader to the aligned position. The air bearing system is pre-applied with a magnetic force. Pre-stressing can effectively maintain the control of the loader and increase the toughness of the air bearing. After a predetermined period of time or feedback from the inductor, the air bearing can be stopped and the loader can be lowered to the door of the loader. The magnetic force on the iron shim will provide a clamping force to support the loader to the cardia.

另一實施例中之裝載機可由導引空氣管嘴2372’(見第37E圖)所驅動,如第37E圖所示之導引空氣管嘴係與空氣支承面整合為一。實施例中之空氣管嘴2372係提供側向施加壓力予底面而產生裝載機之運動。該運動係由控制器所控制,激化適當管嘴組以導引裝載機之X或Y軸移動直至裝載機上之磁鐵對準裝載埠。變更態樣中乏管嘴排列係安裝於平板上,可激化平板之轉動/傾斜以提供管嘴之預期方向。管嘴係導引排出口相反於裝載機之運動方向。此項動作將提供側向作用力以平移裝載機直至對準磁鐵。諸如來自磁性聯結之回饋等類型之感應器回饋可用以偵測裝載機之實際位置及比較對準位置。此項資訊可用以測定裝載機平移方向及如何以空氣管嘴施加作用力予裝載機。變更態樣中之管嘴與磁性聯結係組合使用以將裝載機對準於其預期位置。The loader of another embodiment can be driven by a pilot air nozzle 2372' (see Fig. 37E), and the pilot air nozzle system shown in Fig. 37E is integrated into the air bearing surface. The air nozzle 2372 in the embodiment provides lateral application of pressure to the bottom surface to create movement of the loader. The motion is controlled by the controller to energize the appropriate nozzle set to guide the X or Y axis of the loader until the magnet on the loader is aligned with the load port. The modified nozzle array is mounted on the plate to intensify the rotation/tilt of the plate to provide the desired orientation of the nozzle. The nozzle guides the discharge port opposite to the direction of movement of the loader. This action will provide a lateral force to translate the loader until the magnet is aligned. Sensor feedback, such as feedback from magnetic coupling, can be used to detect the actual position of the loader and compare alignment positions. This information can be used to determine the direction of the loader's translation and how to apply force to the loader with the air nozzle. The nozzle in the modified aspect is used in combination with a magnetic coupling to align the loader to its intended position.

第37F圖顯示另一實施例之裝載埠介面之平面圖。本實施例中之裝載埠2300”係與前述者類似,除了設置於裝載埠之磁鐵2374’係連接於可作第37E圖之箭頭所示移動方向之移動性X-Y平台上。於此實施例中之裝載機係設置於裝載埠而空氣支承被啟動時裝載機磁鐵係被吸引至聯結於X-Y平台2374S”之裝載埠磁鐵。該X-Y平台2374S”係諸如空氣圓筒,無螺紋螺栓或電子線圈,係線性編碼以提供平移位置之報告。聯結裝載機磁鐵與裝載埠磁鐵係被驅動回返對準位置。當抵達目的地點時該支承將被停止而裝載機係被降低至埠門及被夾持。同理,此方法可適用於現存動能聯結法,其中各動能銷係聯結於X-Y平台。此實施例中之二個動能銷係被驅動以對準X,Y及θZ。雖然無法在非接觸之前提下操作,但係一種最低磨損下增進裝載機設置限度之可行性方法。Figure 37F shows a plan view of another embodiment of the load port interface. The loading cassette 2300" in this embodiment is similar to the above, except that the magnet 2374' provided on the loading cassette is connected to the movable X-Y platform which can be moved in the direction indicated by the arrow of Fig. 37E. In the example, the loader is placed on the loading cassette and the loader magnet is attracted to the loading neodymium magnet coupled to the X-Y platform 2374S" when the air bearing is activated. The X-Y platform 2374S" is an air cylinder, unthreaded bolt or electronic coil that is linearly coded to provide a translational position report. The coupling loader magnet and the loading neodymium magnet are driven back to the aligned position. When the support is stopped and the loader is lowered to the door and clamped. Similarly, the method can be applied to the existing kinetic energy coupling method, in which each kinetic energy pin is coupled to the X-Y platform. The two kinetic pin systems are driven to align X, Y and θZ. Although it is not possible to lift the operation before non-contact, it is a feasible method to increase the loader setting limit with minimum wear.

第37G圖顯示類似裝載埠2300A之另一實施例,其差異在於裝載機係由機械啟動推手2374M所驅動以定位裝載機及將裝載機之聯結點對準裝載埠。圖示實施例中,裝載平面係相對於θX及θY作樞轉安裝(如箭頭R,P所示)。自由度與空氣支承之組合可用以使裝載平面傾斜以轉移裝載機之重心而產生相對於樞轉角方向之平移。此項方法利用位置回饋以操縱裝載平面於適當裝載機方向以使裝載機對準裝載埠磁鐵。當裝載機就位後,可停止空氣支承而裝載機係夾持於埠門。最後裝載平面係樞動回返原始位置以使達至適當對準埠門作為移除埠門。Figure 37G shows another embodiment of a similar load magazine 2300A, with the difference that the loader is driven by the mechanical start pusher 2374M to position the loader and align the loader's junction point with the load magazine. In the illustrated embodiment, the loading plane is pivotally mounted relative to θX and θY (as indicated by arrows R, P). The combination of degrees of freedom and air support can be used to tilt the loading plane to shift the center of gravity of the loader to produce translation relative to the pivot angle. This method utilizes position feedback to manipulate the loading plane in the proper loader orientation to align the loader with the loading neodymium magnet. When the loader is in place, the air bearing can be stopped and the loader is clamped to the cardia. The final loading plane pivots back to the original position to achieve proper alignment of the card as a removal trick.

如前所述,裝載機內之環境將取決於前項程序及晶圓與裝載機內部之環境而改變。於是聯結於裝載埠或裝載站之裝載機內之環境(例如氣體種類,潔淨度,或壓力)係與現有程序之環境有所不同。舉例而言,裝載機之晶圓之特定加工程序可採用惰氣。因此裝載機與特定工具之裝載埠之間之介面可允許適當氣體種類如預期被輸入或排出以使壓力差成為最小或在裝載機開啟時引進非預期氣體種類。另一實施例中之工具環境係真空,而配對工具之裝載埠之裝載機係通過介面被抽取成低壓以供來自裝載機之晶圓係被直接裝載於真空裝載鎖。裝載機與裝載埠之間之介面及環境控制系統可提供裝載機與工具之間之環境配合,大致與前述及第10-10A圖與第14圖所示者類似。裝載機裝載埠介面與環境配對系統之另一適當實施例係見述於8/25/05立案之美國專利申請案第11/210,918號,已援引作為本案參考。爰參照第38A圖係顯示將裝載機之環境配合具有不同控制環境之裝載埠之程序之流程圖。於第38A圖所示之實施例中,裝載機與裝載埠兩者均保有相同氣體種類(例如相同種類之惰氣)。於此實施例中,如果裝載機係比處理壓力具有更高壓力,裝載機將(通過介面)排氣予裝載埠艙室(或其他適當空腔)直至達至平衡為止,若裝載機係在較低壓力下,則來自裝載埠或其他適當供源之氣體可(通過介面)引入裝載機直至裝載機與裝埠/工具環境之間達至平衡為止。於第38B圖所示實施例中,裝載埠可具有圍氛環境(例如高度潔淨空氣),而裝載機與裝載埠之間之平衡可藉類似前述第38A圖所述方式達成。第38C圖顯示裝載埠具有真空環境之實施例之程序。變更態樣中之裝載機與裝載埠具有初始差異氣體種類,裝載機之初始環境係經過抽取然後係在埠門開啟之前將裝載埠中之氣體種類輸入(例如經由裝載埠)裝載機。As mentioned earlier, the environment within the loader will vary depending on the procedures in the previous procedure and the environment inside the wafer and loader. The environment (e.g., gas type, cleanliness, or pressure) associated with the loader or loader is then different from the environment of the existing program. For example, the specific processing of the wafer of the loader can use inert gas. Thus the interface between the loader and the loading port of a particular tool may allow for the appropriate gas species to be input or discharged as intended to minimize pressure differentials or to introduce undesired gas species when the loader is turned on. In another embodiment, the tool environment is vacuum, and the loader of the mating tool is drawn through the interface to a low pressure for the wafer from the loader to be loaded directly onto the vacuum load lock. The interface between the loader and the loading cassette and the environmental control system provide an environmental fit between the loader and the tool, substantially similar to that described above and shown in Figures 10-10A and 14. Another suitable embodiment of the loader loading interface and environment matching system is described in U.S. Patent Application Serial No. 11/210,918, the entire disclosure of which is incorporated herein by reference. Referring to Figure 38A, a flow chart showing the procedure for loading the environment of the loader with loads of different control environments is shown. In the embodiment illustrated in Figure 38A, both the loader and the load magazine retain the same gas species (e.g., the same type of inert gas). In this embodiment, if the loader has a higher pressure than the process pressure, the loader will vent (via the interface) to the load compartment (or other suitable cavity) until equilibrium is reached, if the loader is at a higher level At low pressure, the gas from the loading bowl or other suitable source can be introduced (via the interface) into the loader until the loader and the mounting/tool environment are in equilibrium. In the embodiment illustrated in Figure 38B, the load weir may have an ambient environment (e.g., highly clean air), and the balance between the loader and the load weir may be achieved in a manner similar to that described above in Figure 38A. Figure 38C shows the procedure for an embodiment in which the load port has a vacuum environment. The loader and load magazine in the modified aspect have an initial difference in gas type, and the initial environment of the loader is extracted and then the gas type in the load port is input (e.g., via load magazine) before the door is opened.

再參照第37A圖,及如前所述,實施例中之裝載埠具有可升降埠門2310(以開啟及關閉埠口)及升降裝載機上之晶圓匣盒至裝載埠艙室中之預期高度以進行晶圓加工之調位器2306。該調位器2306係與前述第8,9,10-10A,14及18圖所示之實施例者類似,而調位機制係與晶圓所佔據之體積/環境隔離。總結而言,調位機制之適當實例係具有下列配置:1.具有風箱之導螺桿-此種機制係採用由附接於裝載埠之埠板上之電動機所驅動之導螺桿。進入潔淨區之導螺桿之部份係由風箱所圍封。該風箱係諸如金屬,塑膠或纖織布等在操作期間可保持清潔及撓性而不致疲乏之任何材料。風箱提供污染源機制與設置晶圓之潔淨區之間之屏障。風箱之撓性特質提供傳動器之整個衝程之隔離。機制之回饋係通過電動機上之轉子編碼器,或導螺桿;或沿着運動路徑之線性編碼器。(見第14圖)Referring again to Figure 37A, and as previously described, the load magazine of the embodiment has a liftable door 2310 (to open and close the cornice) and a desired height in the wafer cassette on the lift loader to the load compartment. A positioner 2306 for wafer processing. The positioner 2306 is similar to the embodiment shown in Figures 8, 9, 10-10A, 14 and 18 above, and the positioning mechanism is isolated from the volume/environment occupied by the wafer. In summary, a suitable example of a positioning mechanism has the following configuration: 1. A lead screw with a bellows - this mechanism employs a lead screw driven by an electric motor attached to a rafter mounted on a raft. The part of the lead screw that enters the clean area is enclosed by a bellows. The bellows are any materials such as metal, plastic or fiber woven fabric that remain clean and flexible during operation without fatigue. The bellows provides a barrier between the source of the contamination and the clean area where the wafer is placed. The flexible nature of the bellows provides isolation of the entire stroke of the actuator. The feedback of the mechanism is through a rotor encoder on the motor, or a lead screw; or a linear encoder along the path of motion. (See Figure 14)

2.具有風箱之壓縮氣缸-類似前述實施例,唯一差別在於驅動機制係壓縮氣缸。可應用於諸如在二位置間移動;例如關閉及降低之分離艙。(見第9圖)2. Compressed Cylinder with Bellows - Similar to the previous embodiment, the only difference is that the drive mechanism is a compression cylinder. It can be applied to moving compartments such as between two positions; for example, closing and lowering compartments. (See Figure 9)

3.壓縮氣缸遠程驅動器之導螺桿-類似前項實施例,唯一不同在於驅動機制係遠程設置於晶圓體外側(見第10圖)。裝載埠之埠板係藉支承結構連接於驅動器。驅動器係曝置於潔淨區但係通過空氣流徑或迷宮環控制污染。使用空氣流必需將驅動器設置於晶圓下游致使可能產生之污染物係位於晶圓下側並被掃除。添設迷宮環或其他“非摩擦式”密封可進一步限制微粒侵入,在驅動器與潔淨區之間提供固體屏障。其次,驅動器可遠程設置於加工工具環境以外。此舉可將潛在性污物機制設置於較不潔淨FAB環境但使用迷宮環以防護加工工具環境。3. Compression cylinder remote drive lead screw - similar to the previous embodiment, the only difference is that the drive mechanism is remotely placed outside the wafer body (see Figure 10). The loading raft is connected to the drive by a support structure. The drive is exposed to the clean area but is controlled by air flow paths or labyrinth rings. The use of air flow necessitates that the driver be placed downstream of the wafer such that contaminants that may be generated are located on the underside of the wafer and are swept away. Adding a labyrinth ring or other "non-friction" seal further limits particle intrusion and provides a solid barrier between the drive and the clean zone. Second, the drive can be remotely located outside of the processing tool environment. This would place the potential fouling mechanism in a less dirty FAB environment but use a labyrinth ring to protect the processing tool environment.

4.具有磁性聯結埠板之區動機制-此實施例採用磁性聯結於埠板與驅動機制之間(見第8圖但反置之實例)。磁性聯結可藉通過空氣隙之非鐵質壁部以允許驅動區被隔離在潔淨區以外。驅動方式係任何前述類型者,例如導螺桿,壓縮氣缸或線性電動機。後者係設在潔淨區內,因其傳承性能可與空氣支承導引組合操作以限制運動方向。4. Zone-action mechanism with magnetically coupled jaws - this embodiment uses magnetic coupling between the jaw and the drive mechanism (see Figure 8 but the reverse example). The magnetic coupling can be passed through the non-ferrous wall portion of the air gap to allow the drive zone to be isolated outside of the clean zone. The driving method is any of the foregoing types, such as a lead screw, a compression cylinder or a linear motor. The latter is located in the clean zone and can be combined with the air bearing guide to limit the direction of motion due to its heritage.

第39圖顯示裝載埠2300A與所連接之裝載機2000A,及另一實施例之晶圓空氣流管理系統之斷面圖。裝載機2000A與裝載埠2300A係與前述實施例之裝載機與裝載埠類似。於第39圖所示之實施例中,埠門係開啟及匣盒係被調位至裝載埠艙室並定位以進行加工。當裝載機開啟及晶圓係定位進行加工時,空氣流通於晶圓周圍可保持晶圓之潔淨度。舉例而言,取決於加工程序,晶圓可長時間保持在降低位置而增加微粒澱積在晶圓表面上之風險。除此之外當沒有適當空氣流時,由裝載埠機制所產生之任何污染物將會澱積在晶圓表面。如實施例所示,至少部份在加工環境中之空氣流將會被“截留”及重新導引以流過晶圓。然後空氣係被排返加工環境之晶圓轉移平面(WTP)之下游。實施例中之空氣流形式係以平行於晶圓頂面之方向水平通過及從晶圓匣盒背面排出。當離開匣盒時排出路徑將垂直曳引空氣及導引它通過排出埠口流向地面。此項方法可在開放迴路或密封環境下保持潔淨固定空氣流通晶圓表面。舉例而言,當裝載埠在諸如氮氣或氬氣等空氣種類依附程序之環境中操作時,如圖示之重新導引現存空氣流及重新回流至主流係支援控制氣體種類所用之密封迴路環境。Figure 39 is a cross-sectional view showing the load cassette 2300A and the attached loader 2000A, and the wafer air flow management system of another embodiment. The loader 2000A and the load cassette 2300A are similar to the loader of the previous embodiment. In the embodiment illustrated in Fig. 39, the cardia opening and cassette are positioned to the loading chamber and positioned for processing. When the loader is turned on and the wafer is positioned for processing, air flows around the wafer to maintain wafer cleanliness. For example, depending on the processing procedure, the wafer can remain in a lowered position for a long time to increase the risk of particulate deposition on the wafer surface. In addition to this, when there is no proper air flow, any contaminants generated by the loading mechanism will be deposited on the wafer surface. As shown in the embodiment, at least a portion of the air flow in the processing environment will be "trapped" and redirected to flow through the wafer. The air is then routed downstream of the wafer transfer plane (WTP) of the processing environment. The air flow pattern in the embodiment is horizontally passed in parallel with the top surface of the wafer and discharged from the back side of the wafer cassette. When exiting the cassette, the discharge path will traverse the air vertically and direct it through the discharge port to the ground. This method maintains a clean, fixed air flow through the wafer surface in an open loop or sealed environment. For example, when the load port is operated in an environment of an air type attachment program such as nitrogen or argon, the existing air flow is redirected as shown and reflowed back to the sealed circuit environment used to support the control gas species.

如第39圖所示,實施例中之空氣供應薄膜係被安裝於晶圓存取之區域上側至加工微環境之垂直面。此位置係在現有SEMI E63標準之FOUP開門器之保留空間。空氣薄膜係設計以截留來自微環境之現存空氣層流之體積及使空氣流從垂直被轉彎至水平方向。實施例中,當裝載埠之外側表面之內部被降低時係將擴散器元件設在晶圓匣盒背面。擴散器係諸如由取決於流動特徵而作局部開口之固體平板所構成。擴散器係設計以管理通過晶圓之水平空氣流之均勻度,同時在空氣進入管道之排氣端之前提供壓力差距。實施例中之迴路之排氣端係被強制引流以確保穩定及均勻之空氣流流過晶圓。舉例而言,在排氣端管道之內側安裝軸向風扇以將輸出導引至加工工具微環境埠口。另一方面,該單元可在不設風扇及空氣供應薄膜之情況下使用,可配置擴散器及排氣管道以確保穩定均勻空氣流流經晶圓。As shown in Fig. 39, the air supply film in the embodiment is mounted on the upper side of the wafer access region to the vertical surface of the processing microenvironment. This position is reserved for the existing SEMI E63 standard FOUP door opener. The air film is designed to trap the volume of the existing laminar flow from the microenvironment and to allow the air flow to be turned from vertical to horizontal. In an embodiment, the diffuser element is placed on the back of the wafer cassette when the interior of the outer side surface of the loading cassette is lowered. The diffuser is constructed, for example, of a solid plate that is partially open depending on the flow characteristics. The diffuser is designed to manage the uniformity of the horizontal air flow through the wafer while providing a pressure differential before the air enters the exhaust end of the pipe. The exhaust end of the circuit in the embodiment is forced to drain to ensure a stable and uniform flow of air through the wafer. For example, an axial fan is mounted inside the exhaust end conduit to direct the output to the processing tool micro-environment port. On the other hand, the unit can be used without a fan and air supply film, and the diffuser and exhaust duct can be configured to ensure a stable and uniform air flow through the wafer.

第40A-40D圖顯示相對實施例之裝載機之晶圓限動器之示意斷面圖。第40A圖所示之實施例係顯示一種徑向夾晶圓限動器。可藉平移匣盒側壁以提供夾緊作用。機制係存留在匣盒內及由裝載埠或吊艙外殼傳動至匣盒介面(Z軸)。變更態樣係平移側壁內部至吊艙外殼。機制係存留在吊艙外殼及由裝載埠,吊艙外殼至埠門(OHT之Z軸)或吊艙至匣盒(裝載埠之Z軸)所傳動。使用進階材料以傳動(即形狀記憶金屬或磁限動器等)。第40B圖所示之實施例係顯示採用大致正切晶圓頂面之夾緊力之晶圓限動器。實施例中之垂直平移指狀物係與匣盒一體成型。機制係存留在匣盒中。機制係由裝載埠,吊艙至埠門(OHT之Z軸)或吊艙至匣盒(裝載埠之Z軸)所傳動。變更態樣中之偏離軸平移指狀物係與吊艙外殼或匣盒一體成型。指狀物以偏離水平角平移向晶圓(見第40C圖)。機制係由裝載埠,吊艙至埠門(OHT之Z軸)或吊艙至匣盒(裝載埠之Z軸)所傳動。在另一實施例中之2DOF指狀物係與吊艙外殼或匣盒一體成型。指狀物係旋轉然後垂直平移以接合晶圓(見第40D圖)。機制係由裝載埠,吊艙至埠門(OHT之Z軸)或吊艙至匣盒(裝載埠之Z軸)所傳動。變更態樣中受侷限於裝載機之晶圓可具有任何其他適當構造。舉例而言,在晶圓邊緣接觸支承之間係作成楔形,諸如在匣盒上形成與晶圓接觸之線性邊緣之支承指狀物。40A-40D are schematic cross-sectional views showing wafer stoppers of the loader of the embodiment. The embodiment shown in Fig. 40A shows a radial clip wafer stopper. The side wall of the cassette can be translated to provide clamping. The mechanism remains in the cassette and is driven by the loading cassette or pod housing to the cassette interface (Z-axis). The changing aspect is the translation of the interior of the sidewall to the pod housing. The mechanism is retained in the pod shell and is driven by the load weir, the pod shell to the door (the O-axis of OHT) or the pod to the cassette (loaded by the Z-axis). Use advanced materials to drive (ie shape memory metal or magnetic limiters, etc.). The embodiment shown in Fig. 40B shows a wafer stopper that employs a clamping force that substantially tangentially the top surface of the wafer. The vertical translation fingers in the embodiment are integrally formed with the cassette. The mechanism is kept in the box. The mechanism is driven by the loading raft, the nacelle to the stern (the O-axis of the OHT) or the pod to the cassette (the Z-axis of the loading raft). The off-axis translation fingers in the modified aspect are integrally formed with the pod housing or cassette. The fingers are translated toward the wafer at a deviation from the horizontal angle (see Figure 40C). The mechanism is driven by the loading raft, the nacelle to the stern (the O-axis of the OHT) or the pod to the cassette (the Z-axis of the loading raft). In another embodiment, the 2DOF fingers are integrally formed with the pod housing or cassette. The fingers are rotated and then translated vertically to engage the wafer (see Figure 40D). The mechanism is driven by the loading raft, the nacelle to the stern (the O-axis of the OHT) or the pod to the cassette (the Z-axis of the loading raft). The wafers that are limited to the loader in the modified aspect can have any other suitable configuration. For example, a wedge shape is formed between the wafer edge contact supports, such as support fingers that form a linear edge in contact with the wafer on the cassette.

爰參照第41-41b圖,分別顯示具有另一實施例之加工工具PT與輸送系統之代表性加工配備之示意透視圖,端部立面圖及頂面圖。加工工具PT係如同排列於FAB加工隔艙之工具列。實施例中之輸送系統3000可提供服務予加工隔艙之工具,例如輸送系統3000係FAB寬輸送系統之隔艙內部份。實施例中之輸送系統3000係大致類似前述第29A-29D圖所示之AMHS系統之一部份。輸送系統3000可通過第41圖所示之適當輸送介面與FAB AMHS系統之其他(例如隔艙間)部份3102連通。如前所示,圖示工具列中之加工工具PT之配置僅作為實例說明,具有多排工具列(圖示實施例係顯示二排R1,R2,而變更態樣中可具有更多或更少工具列)。圖示實施例中,工具列係配置成大致平行(幾何形式,但可互相呈一角度配置)及可形成大致平行之加工方向。在不同工具列之加工方向可相同或互相對向。同時沿着特定排列之加工方向可逆轉以使沿着工具列之一部份或區域之加工方向係單向,而相同工具列之另一部份或區域之加工方向係相反方向。R1,R2行之加工工具係分配以設定不同加工區ZA-ZC(見第41圖之實例)。各加工區ZA-ZC係包括有在R1,R2行之一或多個加工工具。變更態樣中之加工區具有設置於單排之工具。由此可知,特定區之加工工具係具有相關性加工者,例如具有互補加工及/或具有類似工具生產量速率。舉例而言,工具區ZA具有高生產量之工具(例如每小時大約500個晶圓(WPH)),具有中等生產量之工具(例如大約75WPH至低於500WPH)可設置於工具區ZB,而具有低生產量之工具(例如大約15WPH至100WPH)可設置於工具區ZC。須知工具設定任何特定區不一定相同,而特定區內之一或多個工具可具有與特定區內之其他工具具有不同之生產量或加工程序,但區域內之工具之間存有關係以致至少相對於輸送目的有組織性適當度,在同一區內之工具係經過管理。第41圖所示之工具區僅作為實例說明,而在變更態樣中之工具區可具有任何其他預期配置。Referring to Figures 41-41b, there are shown schematic perspective views, end elevations and top views, respectively, of a representative processing apparatus having a processing tool PT and a conveyor system of another embodiment. The processing tool PT is like a tool train arranged in the FAB processing compartment. The delivery system 3000 of the embodiment can provide a tool for servicing the processing compartment, such as a compartment internal portion of the delivery system 3000 series FAB wide delivery system. The delivery system 3000 in the embodiment is substantially similar to a portion of the AMHS system shown in Figures 29A-29D above. The delivery system 3000 can be in communication with other (e.g., compartment) portions 3102 of the FAB AMHS system via a suitable delivery interface as shown in FIG. As indicated previously, the configuration of the processing tool PT in the illustrated tool column is for illustrative purposes only, with multiple rows of tool columns (the illustrated embodiment shows two rows R1, R2, and the modified aspect may have more or more Less toolbars). In the illustrated embodiment, the tool trains are configured to be substantially parallel (geometric, but may be disposed at an angle to each other) and may form a substantially parallel machine direction. The machining directions of the different tool rows can be the same or opposite each other. At the same time, the machining direction along a particular arrangement can be reversed such that the machining direction along a portion or region of the tool row is unidirectional, while the machining direction of another portion or region of the same tool train is in the opposite direction. The processing tools of R1 and R2 are assigned to set different processing zones ZA-ZC (see the example in Figure 41). Each processing zone ZA-ZC includes one or more processing tools in the R1, R2 rows. The processing area in the modified aspect has tools set in a single row. From this it can be seen that the processing tools of a particular zone are associated processors, for example with complementary processing and/or with similar tool throughput rates. For example, the tool area ZA has a high throughput tool (eg, about 500 wafers per hour (WPH)), and a medium throughput tool (eg, about 75 WPH to less than 500 WPH) can be placed in the tool zone ZB, but Low throughput tools (eg, approximately 15 WPH to 100 WPH) can be placed in the tool zone ZC. It should be noted that the tool setting is not necessarily the same for any particular area, and one or more tools in a particular area may have different production volumes or processing procedures than other tools in a particular area, but there is a relationship between the tools in the area so that at least The tooling in the same area is managed with a tissue suitability for the purpose of transport. The tool area shown in Figure 41 is for illustrative purposes only, and the tool area in the modified aspect may have any other desired configuration.

由第41圖可見,輸送系統3000可用以輸送裝載機往返於工具。輸送系統3000係與前述第29-35圖所示之實施例者大致類似。第41-41B圖所示之實施例中之輸送系統3000具有高架構造(例如輸送系統係設置於工具上側/頭部)。變更態樣中之輸送系統具有任何其他適當構造,例如具有地底構造(例如輸送系統係設置於工具下側,與第30-33圖所示之輸送系統類似)。由第41-41B圖可見,輸送系統一般具有數個輸送次系統或部份。實施例中之輸送系3000一般具有批量材料/快速輸送部3100,例如傳動器(例如與前述第20-25B圖所述之固態傳動器或任何其他適當傳動器)。傳動器可延伸通過所有工具區,並可以大致固定輸送速率以輸送裝載機而不致在裝載機設置/卸離傳動器時停止/變緩。實施例中之輸送系統3000亦包括有儲存站/位置3000S(亦見第41B圖),具有可進取一或多個儲存站/位置之穿梭機3202之穿梭系統部3200(見第42圖),及介面輸送系統部3300。實施例中之介面輸送系統部可進取由批量輸送傳動部3100所輸送或在儲存站之裝載機,並將裝載機轉移至加工工具之裝載部。實施例中之儲存站,穿梭系統部3200及介面輸送系統部可成型於可選擇性安裝於輸送系統上之選擇性安裝部。實施例中之輸送系統部3100,3300,3200可模組化以便安裝選擇安裝於輸送系統中之系統部之部份。選擇沿着輸送系統安裝之輸送系統穿梭系統,介面系統,及儲存系統部之部份係對應於加工工具之區域ZA-ZC。由此可知,輸送系統3000可設計以對應加工工具或加工工具區。此外,實施例中之輸送系統可構築於區域TA-TC,一般係相稱及相應於加工工具區ZA-ZC。因此輸送系統具有不同系統部構造之不同區域。實施例中之儲存系統與穿梭系統係構築於輸送系統之各區域TA-TC。再者,實施例中之介面輸送系統部係構築於各區域。實施例中之介面輸送系統具有可添加,卸除及可以數種不同定向安裝於各輸送系統區TA-TC中之選擇性安裝介面輸送器(於第41圖所示實施例中之高架起重機)部份3310,3320。可將預定介面輸送系統安裝於輸送系統區以提供預期工具介面及存取速率,例如與對應工具區ZA-AC之加工工具之生產率相匹配。如第41A圖所詳示,介面輸送系統部具有選擇性變異數目之輸送器行進平面(例如部份區域TC具有單一介面輸送器行進平面,見第48圖,而其他區域TA,TB具有超過一個輸送器行進平面ITC1,ITC2,見第41A及46圖)。具有多個平面之區域中之輸送器可互相跨越對方。圖示有二個平面,但可提供更多或更少之輸送器平面。雖然在實施例中之輸送系統係配置有大致水平之行進平面,而變更態樣中之輸送系統可具有包括垂直行進平面之任何其他預期配置以供介面輸送器繞道。As seen in Figure 41, the conveyor system 3000 can be used to transport the loader to and from the tool. The conveyor system 3000 is substantially similar to the embodiment shown in Figures 29-35 above. The delivery system 3000 of the embodiment illustrated in Figures 41-41B has an elevated configuration (e.g., the delivery system is disposed on the upper side/head of the tool). The delivery system in the modified aspect has any other suitable configuration, such as having a substructure (e.g., the delivery system is disposed on the underside of the tool, similar to the delivery system illustrated in Figures 30-33). As can be seen from Figures 41-41B, the delivery system typically has several delivery subsystems or portions. The conveyor system 3000 in the embodiment typically has a batch material/fast delivery portion 3100, such as an actuator (such as the solid state actuator described above with respect to Figures 20-25B or any other suitable actuator). The actuator can extend through all of the tool zones and can be substantially fixed at the delivery rate to deliver the loader without stopping/slowing when the loader is set up/unloaded from the actuator. The transport system 3000 of the embodiment also includes a storage station/location 3000S (see also FIG. 41B), with a shuttle system portion 3200 of the shuttle 3202 that can advance one or more storage stations/locations (see Figure 42), And interface transport system unit 3300. The interface transport system portion of the embodiment can advance the loader transported by the bulk transport drive unit 3100 or at the storage station and transfer the loader to the loading portion of the processing tool. In the storage station of the embodiment, the shuttle system portion 3200 and the interface transport system portion can be formed in a selective mounting portion that can be selectively mounted on the transport system. The conveyor system portions 3100, 3300, 3200 of the embodiment can be modularized to mount portions of the system portion that are selectively installed in the conveyor system. The transport system, the interface system, and the portion of the storage system that are installed along the conveyor system are selected to correspond to the area ZA-ZC of the processing tool. It can be seen that the delivery system 3000 can be designed to correspond to a processing tool or processing tool zone. In addition, the transport system of the embodiment may be constructed in the area TA-TC, generally commensurate with and corresponding to the processing tool area ZA-ZC. The delivery system therefore has different regions of different system configurations. The storage system and the shuttle system in the embodiment are constructed in each area TA-TC of the conveying system. Further, the interface conveying system portion in the embodiment is constructed in each region. The interface transport system of the embodiment has a selectable mounting interface conveyor (additional crane in the embodiment shown in Fig. 41) which can be added, removed and can be installed in various transport system areas TA-TC in several different orientations. Part 3310, 3320. The predetermined interface delivery system can be installed in the delivery system area to provide the desired tool interface and access rate, for example, to match the productivity of the processing tool of the corresponding tool zone ZA-AC. As detailed in FIG. 41A, the interface delivery system portion has a selectively varying number of conveyor travel planes (eg, a partial region TC has a single interface conveyor travel plane, see Figure 48, while other regions TA, TB have more than one Conveyor travel plane ITC1, ITC2, see Figures 41A and 46). Conveyors in areas with multiple planes can span each other. The illustration has two planes, but more or fewer conveyor planes are available. While the transport system in the embodiment is configured with a generally horizontal travel plane, the transport system in the modified aspect can have any other desired configuration including a vertical travel plane for the interface conveyor to bypass.

高架起重機系統(OGS)可設計於低度,中度,或高度生產率者。通過現場重建模組化裝配可符合改變因素或加工性能。該模組化配備可被分成三種類型;低生產率,中度生產率,及高生產率。各種模組之配置係取決於多項因素,包括預期移動速率,儲存容量,及隔艙中之預期生產率之分配等。The overhead crane system (OGS) can be designed for low, medium, or high productivity. Modular assembly through on-site reconstruction can be adapted to changing factors or processing performance. The modular equipment can be divided into three types; low productivity, moderate productivity, and high productivity. The configuration of the various modules depends on a number of factors, including the expected rate of movement, storage capacity, and the distribution of expected productivity in the bay.

低生產率:舉例說明,低生產率工具或工具區可充份容納單一高架起重機3310。此項構造可提供所有預期移動而不需使用“饋送器”自動操縱裝置3320或穿梭系統3200。除了將裝載機從儲存器轉移至工具以外,高架起重機可從隔艙內傳動器抓取裝載機及轉移至儲存位置。為了使裝載機可移動至毗鄰高架起重機區域,裝載機係設置於隔艙內傳動器或設置於儲存基座以供毗鄰高架起重機之搜索。藉此構造可供一高架起重機跨越另一高架起重機直至中間之高架起重機已被移動為止。當二個或以上高架起重機係一起操作而其中一個失效時,毗鄰高架起重機將取代失效者之作業。雖然工作容量將會減低,但不致完全關機。Low Productivity: As an illustration, a low productivity tool or tool area can adequately accommodate a single overhead crane 3310. This configuration can provide all of the intended movement without the use of a "feeder" robotic manipulator 3320 or shuttle system 3200. In addition to transferring the loader from the storage to the tool, the overhead crane can grab the loader from the inter-vessel drive and transfer it to a storage location. In order to allow the loader to be moved to an adjacent overhead crane area, the loader is placed in the compartment or placed on the storage base for search by an adjacent overhead crane. This configuration allows an overhead crane to span another elevated crane until the overhead overhead crane has been moved. When two or more overhead cranes are operated together and one of them fails, the adjacent overhead crane will replace the operation of the ineffective. Although the working capacity will be reduced, it will not be completely shut down.

中度生產率:舉例而言,中度生產率工具或工具區可滿足於添設“饋送器”自動操縱裝置3320(例如附加之高架起重機/輸送器)。此種構造與低生產率配置大致類似,僅添加一饋送器自動操縱裝置3320及排序器/穿梭機33200。實施例中之饋送器自動操縱裝置及排序器/穿梭機係用以執行隔艙內傳動器至儲存器移動之指定裝置。每一個饋送器自動操縱裝置可在饋送器之兩側(見第44圖)採用兩個高架起重機裝載器自動操縱裝置3310,3312。然而在變更態樣中之饋送器可與一裝載器自動操縱裝置配對。排序器/穿梭機之目的在於接收來自饋送器之裝載機及排列以備儲存。藉此構造該“裝載器”自動操縱裝置可焦注於儲存器至工具之雙向移動而沒有從隔艙內傳動器中抓取裝載機之附加負荷。該系統可與毗鄰低,中度,或高生產率模組配合操作。當裝載器自動操縱裝置失效時,毗鄰之裝載器自動操縱裝置將進入及在失效之自動操縱裝置之區域內操作(見第46及47圖)。當一饋送器機制失效時,個別之裝載器自動操縱裝置將以低生產率構造之相同方式操作。在該兩種失效場合保持有效但容量減低。Moderate Productivity: For example, a medium productivity tool or tool zone may be satisfied with the addition of a "feeder" robotic manipulator 3320 (eg, an additional overhead crane/conveyor). This configuration is generally similar to the low productivity configuration, with only one feeder automatic manipulator 3320 and sequencer/shuttle 33200 added. The feeder automatic manipulator and the sequencer/shuttle in the embodiment are used to perform the actuator-to-storage designation of the compartment. Each feeder automatic manipulator can employ two overhead crane loader automatic controls 3310, 3312 on either side of the feeder (see Figure 44). However, the feeder in the modified aspect can be paired with a loader automatic manipulator. The purpose of the sequencer/shuttle is to receive the loader from the feeder and arrange it for storage. Thereby the "loader" automatic manipulator is constructed to focus on the two-way movement of the reservoir to the tool without the additional load of grabbing the loader from the inter-chassis actuator. The system works with adjacent low, medium, or high productivity modules. When the loader automatic manipulator fails, the adjacent loader automatic manipulator will enter and operate within the area of the failed automatic manipulator (see Figures 46 and 47). When a feeder mechanism fails, the individual loader robots will operate in the same manner as the low productivity configuration. It remains effective in both failure scenarios but with reduced capacity.

高生產率:舉例說明,高生產率應用中之高架起重機模組係重新設計以符合特定工具或工具區之需求。高生產率配備具有設在隔艙之兩側之裝載器自動操縱裝置,與中度生產率區類似之饋送器自動操縱裝置配備,及將裝載機排序至儲存器之類似排序器/穿梭機(見第45圖)。裝載器自動操縱裝置係對應於設置在隔艙一側之工具,可允許較短程移動。裝載機係通過隔艙內傳動器系統進出於高生產率區。高生產率構造對裝載器自動操縱裝置失效及/或饋送器自動操縱裝置失效具有失效容許限度。如果其中一個裝載器自動操縱裝置失效時,另一個裝載器自動操縱裝置將在失效自動操縱裝置移出區域後操作於隔艙之兩側。如果饋送器失效時,裝載器自動操縱裝置將負責從隔艙內傳動器系統中抓取裝載機。如果裝載器自動操縱裝置與饋送器自動操縱裝置兩者均失效,其中一個裝載器自動操縱裝置將負責所有預期移動。High Productivity: For example, overhead crane modules in high-productivity applications are redesigned to meet the needs of specific tools or tool areas. The high productivity is equipped with a loader automatic manipulator on both sides of the compartment, a feeder automatic manipulator similar to the medium productivity zone, and a similar sequencer/shuttle that sorts the loader to the reservoir (see section 45 picture). The loader automatic manipulator corresponds to a tool placed on one side of the compartment, allowing for shorter movements. The loader enters the high productivity zone through the inter-vehicle actuator system. High productivity configurations have failure tolerance limits for loader automatic manipulator failure and/or feeder automatic manipulator failure. If one of the loader automatic manipulators fails, the other loader automatic manipulator will operate on both sides of the compartment after the failed automatic manipulator has been removed from the area. If the feeder fails, the loader automatic manipulator will be responsible for grabbing the loader from the inter-chassis actuator system. If both the loader automatic manipulator and the feeder robotic device fail, one of the loader robotic controls will be responsible for all expected movements.

各項構造:低生產率,中度生產率,及高生產率可取決於預期移動速率而作為單一個體操作或毗鄰於該三種配備之任何一種。該系統沒有任何單一點失效而使系統中之裝載機流程完全失去功效者。除了個別或多個組件失效之失效容許限度之外,該系統可提供裝載機之多重有效移動路徑。主控制器採用標準移動組合,具有在正常操作條件下之特定裝載機之優先移動之持續性等級。為了解決裝載機流量中之周期性脉衝,工具失效,或上游限制,可利用主機控制邏輯以啟動重新導引及分歧裝載機流向遠離有問題區域。第50圖顯示實施例中將裝載機從A點移至B點之多項方法。Various configurations: low productivity, moderate productivity, and high productivity may operate as a single individual or adjacent to any of the three configurations depending on the expected rate of movement. The system does not have any single point of failure and the loader process in the system is completely ineffective. In addition to the failure tolerance limits for individual or multiple component failures, the system provides multiple effective movement paths for the loader. The master controller uses a standard mobile combination with a level of continuity of priority movement of a particular loader under normal operating conditions. To address periodic pulses, tool failures, or upstream restrictions in loader flow, host control logic can be utilized to initiate redirection and diverging loader flow away from problematic areas. Figure 50 shows a number of methods for moving the loader from point A to point B in the embodiment.

實施例中之“饋送器”自動操縱裝置可從隔艙內傳動器系統中搜索裝載機及將其設置於適當之儲存位置。視需要該饋送器自動操縱裝置可供工具裝載自動操縱裝置僅只焦注於儲存器至工具之移動及增進系統之總移動容量。饋送器利用快速短程移動以供隔艙間傳動器作有限度或無中斷之移動(例如當從類似第20圖之存取道進入裝載機時不會發生傳動器中斷)。饋送器機制可減輕高架起重機系統之工作負荷。可支援各項運動之驅動機制包括線性電動機,球形螺桿,壓縮驅動器,皮帶驅動器,摩擦驅動器,及磁性推進器等。以下實施例可根據前述方式實施:1.饋送器自動操縱裝置係類似高架起重機裝載自動操縱裝置,不同之處在於它係固定於x方向(隔艙之長度)及具有y(隔艙橫向)及z(垂直)方向之自由度。饋送器機制係設置於工具裝載自動操縱裝置下方之平面上以供裝載器自動操縱裝置在沒有有效負荷之情況下通過。裝載埠區以上之區域可供裝載器自動操縱裝置在有效負荷之情況下自由移動通過饋送器。饋送器系統係垂直設置使當機動車在升起狀態時可通過隔艙內傳動器及具有足夠空間以移動通過及抓緊裝載機。饋送器從上方進入裝載機,利用短程垂直衝程以從隔艙內傳動器系統抓取設置裝載機至預期之儲存凸緣。此項構造之儲存車道係與隔艙內傳動器在共同平面上。儲存槽具有雙向排序器/穿梭機機制用以使裝載機沿着儲存列穿梭至下一位置。穿梭機驅動機制係諸如可使裝載機沿着隔艙長度移動至少一個螺距者。一個螺距係指高架起重機工具裝載自動操縱裝置沿着饋送器自動操縱裝置傍邊作無中斷之行進及抓取裝載機之距離。排序器/穿梭機亦用以將裝載機輸送於毗鄰之裝載器自動操縱裝置與儲存槽之間。例如裝載機之移動順序如下:隔艙內傳動器暫時停止於饋送器自動操縱裝置沿着隔艙長度之固定X位置。The "feeder" robotic manipulator of the embodiment can search the loader from the inter-vehicle actuator system and set it in a suitable storage position. The feeder automatic manipulator can be used to load the robotic manipulator as needed to focus only on the movement of the reservoir to the tool and to increase the overall movement capacity of the system. The feeder utilizes fast short-range movement for limited or uninterrupted movement of the inter-chamber transmission (e.g., no transmission interruption occurs when entering the loader from an access path similar to Figure 20). The feeder mechanism reduces the workload of the overhead crane system. The driving mechanisms that support various sports include linear motors, ball screws, compression drives, belt drives, friction drives, and magnetic thrusters. The following embodiments can be implemented as described above: 1. The feeder automatic handling device is similar to an overhead crane loading automatic operating device, except that it is fixed in the x direction (the length of the compartment) and has y (the lateral direction of the compartment) and Degree of freedom in the z (vertical) direction. The feeder mechanism is placed on a plane below the tool loading robotic manipulator for the loader robotic manipulator to pass without a payload. The area above the loading area allows the loader automatic manipulator to move freely through the feeder under payload conditions. The feeder system is vertically disposed such that when the vehicle is in the raised state, it can pass through the inter-chassis actuator and have sufficient space to move through and grasp the loader. The feeder enters the loader from above and utilizes a short range vertical stroke to grab the set loader from the inter-vehicle actuator system to the desired storage flange. The storage lane of this configuration is on a common plane with the inter-chassis actuator. The storage tank has a two-way sequencer/shuttle mechanism for the loader to shuttle along the storage column to the next position. The shuttle drive mechanism is such that the loader can move at least one pitch along the length of the compartment. A pitch refers to the distance between the overhead crane tool loading automatic manipulator along the feeder automatic manipulator edge for uninterrupted travel and grabbing the loader. The sequencer/shuttle is also used to transport the loader between the adjacent loader robot and the storage tank. For example, the order of movement of the loader is as follows: The inter-chassis actuator temporarily stops at the fixed X position of the feeder automatic manipulator along the length of the compartment.

饋送器自動操縱裝置從前項Y位置行進至隔艙內傳動器上之裝載機之上方。 The feeder automatic manipulator travels from the front item Y position to above the loader on the inter-vessel drive.

饋送器自動操縱裝置抓取裝載機。 The feeder automatic manipulator grabs the loader.

饋送器自動操縱裝置沿着Y方向(橫向於隔艙)行進至特定穿梭機道。 The feeder automatic maneuver travels along the Y direction (transverse to the compartment) to a particular shuttle lane.

饋送器自動操縱裝置將裝載機設置於穿梭機上及繼續下一動作。 The feeder automatic manipulator sets the loader on the shuttle and continues the next action.

穿梭機/排序器機制將裝載機驅動於X方向。 The shuttle/sequencer mechanism drives the loader in the X direction.

高架起重機裝載自動操縱裝置移至儲存位置,然後將裝載機抓取及設置於適當工具上。 The overhead crane loading automatic manipulator is moved to the storage position and the loader is then grasped and placed on the appropriate tool.

實施例之系統之優點包括比傳統系統有增進之晶圓生產率,有多個移動路徑以完成裝載機移動,及具有增進之失效容許限度。Advantages of the system of the embodiments include increased wafer productivity over conventional systems, multiple moving paths to complete loader movement, and increased failure tolerance.

根據第48圖所示之另一實施例,饋送器自動操縱裝置係駐留在穿梭機及隔艙內傳動器系統下方之平面上之線性機台。該機台具有實施例1之相同自由度,係從下方抓取裝載機而非上方。當裝載機從隔艙內傳動器中被抓取時係被橫向驅動至隔艙及被釋放於適當穿梭機上。此項設計之優點係可供傳動器車道設置於裝備界限之間之任何位置。舉例而言,隔艙內傳動器可存在於中央而非如實施例1所示之外側。此設計之另一項優點在於裝載器自動操縱裝置可沿着隔艙內之任何Y位置通過具有有效負荷之饋送器機制,而實施例1中之裝載器係限制於僅可在位於裝載埠區內進行此項移動。此外,裝載器自動操縱裝置無需與饋送器連通以防止撞擊。該饋送器與裝載器自動操縱裝置兩者均可佔有相同之具有有效負荷之垂直空間而非彼此互相連接。此項構造之移動順序係與實施例1相同,不同之處係從下方而非從上方抓緊裝載機。According to another embodiment, shown in Fig. 48, the feeder automatic manipulator is a linear machine that resides on a plane below the shuttle and the inter-vehicle actuator system. The machine has the same degree of freedom as in Embodiment 1, and the loader is grabbed from below instead of above. When the loader is grabbed from the inter-chassis actuator, it is driven laterally to the compartment and released to the appropriate shuttle. The advantage of this design is that the drive lane can be placed anywhere between the equipment limits. For example, the inter-chamber actuator may be present at the center rather than the outer side as shown in embodiment 1. Another advantage of this design is that the loader automatic manipulator can pass the feeder mechanism with payload at any Y position within the compartment, while the loader of embodiment 1 is limited to being located only in the loading area. Make this move inside. In addition, the loader automatic manipulator does not need to be in communication with the feeder to prevent impact. Both the feeder and the loader automatic manipulator can occupy the same vertical space with payload and are not connected to each other. The movement sequence of this configuration is the same as that of Embodiment 1, except that the loader is grasped from below rather than from above.

在變更態樣中,下方之高架或機制可沿着X(隔艙長度),Y(隔艙橫向),及Z(垂直)方向移動。在此構造中由於3軸饋送器可視需要移至特定儲存車道及槽道,因此不需採用穿梭機/排序器。舉例而言,裝載機係從隔艙內傳動器移出,定位於適當儲存車道然後垂直平移至儲存器中之裝載機初始排位。如第49圖所示之另一實施例,可藉提供垂直儲存欄以增進儲存容量,該垂直儲存欄可供裝載機儲存於與藉OHT系統將FAB台面延伸至最高抵達點之裝載機形狀相同之容積中,可沿着隔艙整體長度設置。In the variant, the lower overhead or mechanism can be moved along X (slot length), Y (slot lateral), and Z (vertical) directions. In this configuration, the shuttle/sequencer is not required because the 3-axis feeder can be moved to a specific storage lane and channel as needed. For example, the loader is removed from the inter-chassis drive, positioned in the appropriate storage lane and then vertically translated to the loader initial position in the reservoir. As another embodiment shown in Fig. 49, the vertical storage bar can be provided to increase the storage capacity, and the vertical storage column can be stored by the loader in the same shape as the loader that extends the FAB table to the highest arrival point by the OHT system. The volume can be set along the entire length of the compartment.

變更態樣中,圓柱形裝載機槽座係視需要設置以提供FAB中之較高儲存密度。圓柱形儲存槽座可將裝載機互相疊置及提供機制以升降裝載機至特定高度。垂直運動之機制係壓縮,機械,或磁力。In a variation, the cylindrical loader slot is set up as needed to provide a higher storage density in the FAB. A cylindrical storage tank mounts the loaders on top of each other and provides a mechanism to lift the loader to a specific height. The mechanism of vertical motion is compression, mechanical, or magnetic.

第51圖顯示另一實施例之輸送系統4000之示意平面圖。第51圖所示之輸送系統係一代表性部份,諸如FAB有線輸送系統之隔艙間部份,而在變更態樣中之輸送系統具有任何預期尺寸及構造。第51圖所示實施例中之輸送系統4000係與前述第41-50圖所示之輸送系統3000類似。類似之部件係作類似編號。與輸送系統3000類似,第51圖所示之輸送系統4000具有批量或快速批量輸送部4100(例如輸送器)及介面部4200。本實施例中之介面部4200僅作為實例說明,而在變更態樣中可具有任何預期構造,具有任何預期數目之次部件(例如與前述相似之儲存部,穿梭部)。一般上介面部4200具有數個饋送器自動操縱裝置,可連接裝載機於批量輸送系統部4100與加工工具之間。批量輸送系統部4100一般上係與前述之輸送系統500類似,其中一部份係示於第20圖。第51圖所示之實施例中,批量輸送系統部4100包括具有固體狀態傳動系統之軌道。該軌道係類似美國專利申請案第10/697,528號及第11/211,236號所述之傳動器軌道。在第51圖所示之實施例中,輸送系統4100係異步輸送系統(類似輸送系統500),其中由輸送系統所輸送之裝載機係與輸送中之其他裝載機之動作脫接。因此一或多個裝載機可在輸送過程中獨立運作(例如加速/減速,停止,裝載/卸載)而不影響輸送系統之裝載機輸送流中之其他毗連或毗鄰裝載機之輸送速率。Figure 51 shows a schematic plan view of a delivery system 4000 of another embodiment. The conveyor system shown in Fig. 51 is a representative portion, such as the inter-chamber portion of the FAB cable conveyor system, and the conveyor system in the modified aspect has any desired size and configuration. The transport system 4000 of the embodiment shown in Fig. 51 is similar to the transport system 3000 shown in the aforementioned 41-50. Similar components are numbered similarly. Similar to the delivery system 3000, the delivery system 4000 illustrated in FIG. 51 has a batch or rapid batch delivery unit 4100 (eg, a conveyor) and a mediator face 4200. The intervening face 4200 in this embodiment is illustrated by way of example only, and may have any contemplated configuration in any variation, with any desired number of sub-components (e.g., storage portions similar to those previously described, shuttle). Typically, the upper face 4200 has a plurality of feeder automatic controls that can be coupled between the bulk conveyor system portion 4100 and the processing tool. The batch delivery system portion 4100 is generally similar to the previously described delivery system 500, with a portion of which is shown in FIG. In the embodiment illustrated in Figure 51, the batch delivery system portion 4100 includes a track having a solid state transmission system. The track is similar to the actuator track described in U.S. Patent Application Serial Nos. 10/697,528 and 11/211,236. In the embodiment illustrated in Figure 51, the conveyor system 4100 is an asynchronous conveyor system (similar to the conveyor system 500) in which the loader conveyor transported by the conveyor system is disconnected from the action of other loaders in transit. Thus one or more loaders can operate independently during the transport (eg, accelerate/decelerate, stop, load/unload) without affecting the delivery rate of other adjacent or adjacent loaders in the loader conveyor stream of the conveyor system.

第51圖所示之實施例中,批量輸送系統部(以下係以批量輸送器4100代表)一般係具有一主輸送軌道4100M。批量輸送器4100亦具有數個側軌4100S。第51圖所示之主輸送軌道4100M係一迴路,而在變更態樣中可為任何其他預期形狀,可形成由批量輸送器所輸送之裝載機之主輸送路徑(或輸送流)。雖然實施例中之說明係以裝載機為主,而其中所述之特徵同樣適用於變更態樣,其中(基板)裝載機可座設於有效負荷平面或其他由批量輸送器所輸送之活動裝置上。實施例中之主輸送路徑具有連續及大致固定之速度。因此在主輸送軌道4100M上輸送之裝載機可保持在主要路徑上之輸送之行進生產率之高速率而沒有停止於輸送系統上之裝載機所阻礙。側軌或支軌4100S可將在批量輸送器上之裝載機之輸送速率測定作業與主輸送路徑之斷接。如前所述,該速率測定作業係由側軌執行而不受主輸送路徑所影響。於是側軌4100S可形成裝載機緩衝,裝卸站或路徑轉換裝置。在實施例中係顯示一個側軌作為實例,而在變更態樣中可設有任何預期數目之側軌。圖示實施例之側軌之構造係在主軌之大致直線段分叉及重接,亦僅作為實例說明,而在變更態樣中之側軌係具有任何其他預期構造者。舉例而言,側軌可在主軌(在特定隔艙內)之相對側之間分路,或在不同隔艙間或隔艙間-隔艙內輸送部(如第29A,29B圖所示)之主軌之間分路。In the embodiment illustrated in Fig. 51, the batch conveyor system portion (hereinafter referred to as the batch conveyor 4100) generally has a main conveyor track 4100M. The batch conveyor 4100 also has a number of side rails 4100S. The main conveyor track 4100M shown in Fig. 51 is a circuit, and in any other desired shape, may form the main conveyor path (or transport stream) of the loader transported by the bulk conveyor. Although the description in the embodiment is based on a loader, and the features described therein are equally applicable to the modified aspect, the (substrate) loader can be seated on a payload plane or other moving device transported by the bulk conveyor. on. The primary transport path in the embodiment has a continuous and substantially fixed velocity. Thus the loader transported on the main conveyor track 4100M can maintain a high rate of travel productivity of the transport on the main path without being hindered by the loader that stops on the conveyor system. The side rails or rails 4100S can disconnect the conveyor rate measurement operation of the loader on the batch conveyor from the main conveyor path. As previously mentioned, the rate determination operation is performed by the side rails without being affected by the main transport path. The side rails 4100S can then form loader cushioning, loading stations or path switching devices. In the embodiment a side rail is shown as an example, and any desired number of side rails may be provided in the modified aspect. The construction of the side rails of the illustrated embodiment is bifurcated and re-attached to the substantially straight section of the main rail, and is also illustrated by way of example only, and the side rails in the modified aspect have any other contemplated constructors. For example, the side rails may be split between opposite sides of the main rail (in a particular compartment) or in different compartments or compartments - compartments (as shown in Figures 29A, 29B) ) The main track is split between.

實施例中之主軌與側軌4100M,4100S可包括模組化連接以組裝批量輸送器之軌道之模組化軌段A,B,C,D,L。裝載機係被諸如線性電動機驅動於批量輸送器之軌道4100S,4100M上。與前述軌道500類似,線性電動機之強制器可設置於軌道4100M,4100S上,而線性電動機之反應部可設在裝載機上。裝載機可由諸如無接觸式或潤滑支承(例如空氣/氣體支承)maglev系統,或接觸式支承(例如滾筒,球/滾輪支承)等適當裝置移動自如地支承於軌道上,作用於裝載機之適當固態支承構件上。變更態樣中之裝載機具有一體成型之活動支承,諸如輪子,滾輪,氣體/空氣支承等。將裝載機支承於主軌與側軌上之活動支承係在軌道上具有可將各裝載機穩定支承於軌道上之任何預期配置,並可沿着主軌及側軌分佈以供裝載機沿着軌道自由移動者。實施例中之線性電動機係諸如線性引導電動機(LIM),而在變更態樣中可用任何預期線性電動機或任何類型之電動機/驅動器以促使裝載機在批量輸送器之主軌及側軌上移動。如前所述,實施例中之LIM之強制器(或步驟捲動器)4120,4120M,4120S係設置於形成輸送器之主軌與側軌之軌道模組A,B,C,D,L上,裝載機具有LIM之反應速率/構件,以下將予詳述。The main rail and side rails 4100M, 4100S in the embodiment may include modularized rails A, B, C, D, L that are modularly coupled to assemble the rails of the bulk conveyor. The loader is driven by a linear motor to the track 4100S, 4100M of the bulk conveyor. Similar to the aforementioned track 500, a linear motor forcing can be placed on the rails 4100M, 4100S, and a linear motor reaction portion can be provided on the loader. The loader can be freely supported on the track by suitable means such as a contactless or lubricated support (e.g., air/gas support) maglev system, or contact support (e.g., roller, ball/roller support), suitable for the loader. On the solid support member. The loader in the modified aspect has integrally formed movable supports such as wheels, rollers, gas/air bearings, and the like. The movable support that supports the loader on the main rail and the side rails has any desired configuration on the rail that can stably support the loaders on the rails and can be distributed along the main rails and side rails for the loader to follow along The track is free to move. The linear motor in the embodiment is a linear guided motor (LIM), and any desired linear motor or any type of motor/driver can be used in the modified form to cause the loader to move on the main rail and side rails of the bulk conveyor. As described above, the LIM forcing device (or step reel) 4120, 4120M, 4120S in the embodiment is disposed on the track modules A, B, C, D, L forming the main rail and the side rail of the conveyor. Above, the loader has the reaction rate/component of LIM, as will be detailed below.

再參照第51圖,圖示之主軌4100M及側軌4100S之軌道模組A,B,C,D,L係代表性,而在變更態樣中係具有任何預期構造。除非另有註明,軌道模組A,B,C,D,L係大致類似。如第51圖所示,實施例中之軌道段件(模組)一般係包括單一軌道段件(例如A,C,D,L)及交接(軌道轉換)段件。變更態樣中可採用任何其他預期模組化軌道部件。舉例而言,變更態樣中之特定軌道模組可包括多個軌道(各形不同裝載機輸送路徑)大致相併延伸,係被稱為非交接多軌模組。實施例中之單軌段件可包括大致直線之段件A,D,L及彎曲段件C,而在變更態樣中之單軌段件可具有任何其他預期形狀。交接段件B,4102,4102’係側軌或支軌4100S與主軌4100M滙接之處。第51圖所示之實施例中,顯示二個交接軌道段件4102,4102’係作為實例說明。而第51圖所示之交接軌道段件4102,4102’之構造僅作為說明,具有單一支軌滙接/分支於主軌4100M之一側(例如相對於第51圖之X軸所示方向之左側)。變更態樣中,交接段件可分支於主軌之右側。在另一變更態樣中,交接段件可具有任何其他預期構造,例如在一段件中之多個分支,在主軌之對側分支,係大致直接彼此相對或對置,或在主軌之一側(例如左側及/或右側)之多個分支。在實施例中之單軌段件A,C,D,L雖然具有不同形狀(例如直線,彎曲等)亦可為相似者。各軌段件A,C,D,L可包括在LIM強制器4120中之對應部。同時亦如第51圖所示,當模組化軌段件係在結合操作(利用適當控制器)組裝於LIM強制器部件(不同軌部)時可形成主軌與側軌之大致連續性之LIM強制器4120M,4120S以在裝載機之反應板上操作及驅動裝載機在主軌與側軌之整體長度上移動。變更態樣中之軌道可包括沒有積體強制器部之一或多個段件。Referring again to Fig. 51, the orbital modules A, B, C, D, L of the main rail 4100M and the side rails 4100S are representative, and have any desired configuration in the modified aspect. Track modules A, B, C, D, L are generally similar unless otherwise noted. As shown in Fig. 51, the track segments (modules) in the embodiment generally include a single track segment (e.g., A, C, D, L) and a transfer (track transition) segment. Any other expected modularized track components can be used in the variant. For example, a specific track module in a modified aspect may include a plurality of tracks (various loader transport paths of different shapes) extending substantially and extending, which is referred to as a non-crossover multi-track module. The monorail members of the embodiment may include substantially straight segments A, D, L and curved segments C, while in the modified aspect the monorail members may have any other desired shape. The junction sections B, 4102, 4102' are where the side rails or rails 4100S meet the main rail 4100M. In the embodiment shown in Fig. 51, two transfer track segments 4102, 4102' are shown as an example. The configuration of the transfer rail segments 4102, 4102' shown in Fig. 51 is for illustrative purposes only, with a single rail junction/branch on one side of the main rail 4100M (e.g., relative to the direction indicated by the X-axis of Figure 51). Left side). In the variant, the junction segment can be branched to the right of the main rail. In another variation, the interface segment can have any other desired configuration, such as multiple branches in a segment, on opposite sides of the main rail, generally directly opposite or opposite each other, or in the main rail Multiple branches on one side (eg left and/or right). The monorail members A, C, D, L in the embodiment may have similar shapes although they have different shapes (e.g., straight lines, bends, etc.). Each of the rail members A, C, D, L may be included in a corresponding portion of the LIM enforcer 4120. At the same time, as shown in Fig. 51, when the modular rail members are assembled in the LIM compensator components (different rails) in a combined operation (with appropriate controllers), the substantially continuous continuity of the main rails and the side rails can be formed. The LIM Forcer 4120M, 4120S operates on the reaction plate of the loader and drives the loader to move over the entire length of the main rail and the side rails. The track in the modified aspect may include one or more segments of the integrated body portion.

強制器4120或作為LIM之主要綫圈裝配者一般係包括諸如鋼製叠層及相繞組等可與軌段件一體成型或可被圍封於與軌段件連接之強制器罩殼中。各軌段件A,C,D,L中之強制器部(見第52圖所示之段件C)可為分段或連續。彎曲軌段件C可具有強制器部4120C,其相繞組係經設計使綫圈裝配形成與軌道之弧度相配之曲線,或具有分段之強制器部’而該段件係經設計以形成大致呈彎曲之強制器部。變更態樣中之軌段件之強制器部可具有任何其他預期形狀。軌段件A,C,D,L之強制器部可對稱設置於軌道及座設在軌道上之裝載機。變更態樣中之強制器可反對稱設置於軌道及其上之裝載機。第54圖顯示典型段件A及移動自如支承於其上之典型裝載機5000之示意端部圖。如前所述,軌道(主軌及側軌)4100M,4100S一般係設有裝載機5000之活動強制/衝擊器,活動支承及導引以啟動裝載機沿着軌道之移動。如前所述,實施例中驅動裝載機之電動機係LIM,軌道上之強制器4120M,4120S係操作於裝載機上之反應板/元件5100。再參照第53圖,係顯示典型裝載機5000與裝載機之反應板5100之底面圖。第53圖所示之裝載機上之反應板之配置僅作為實例說明,而在變更態樣中之裝載機之反應板可具有任何其他適當設計。變更態樣中可具有更多或更少之反應板。在實施例中,反應板5100係示於裝載機之底側,而在變更態樣中之反應板可設在裝載機之任何其他預期側面或部份。實施例中之反應板5100可由諸如鋼鐵或鋁材等金屬製成,然而亦可用任何其他適當材料製成。其中一或多個反應板可由鋼材(磁性)製成,以下將予詳述。裝載機上之反應板可包括一或多個對應於軌道4100M,4100S上之強制器4120M,4120S之板片5102,藉以提供沿着主軌或側軌之推動力。如第54圖所略示。在第53圖中之反應板5102係示意為單一板片,但亦可包括任何預期數目之板片,例如第20C,20D圖所示之設計。如前所述,軌道上之強制器4120(及第52,54圖中相對段件之強制器部4120A,4120C)與對應之反應板5102係大致對稱配置於裝載機及軌道。變更態樣中之LIM係反對稱者。The forcing device 4120 or the primary coil assembler as the LIM typically includes, for example, a steel laminate and phase windings that may be integrally formed with the rail members or may be enclosed in a compellator housing that is coupled to the rail members. The forcing portion of each of the rail members A, C, D, L (see segment C shown in Fig. 52) may be segmented or continuous. The curved track member C can have a forcing portion 4120C whose phase windings are designed such that the coils are assembled to form a curve that matches the curvature of the track, or have a segmented forcer portion that is designed to form a substantially The forced portion of the bend. The force portion of the rail member in the modified aspect can have any other desired shape. The compensator portions of the rail members A, C, D, and L can be symmetrically disposed on the rail and the loader seated on the rail. The force changer in the change pattern can oppose the loader placed on the track and above. Figure 54 shows a schematic end view of a typical segment A and a typical loader 5000 that is freely supported thereon. As previously mentioned, the track (main rail and side rail) 4100M, 4100S is typically provided with an active force/impacter for the loader 5000, which is movably supported and guided to initiate movement of the loader along the track. As previously mentioned, in the embodiment, the motor that drives the loader is LIM, and the on-track forceers 4120M, 4120S operate on the load plate/element 5100 on the loader. Referring again to Figure 53, a bottom view of a typical loader 5000 and loader reaction plate 5100 is shown. The configuration of the reaction plates on the loader shown in Fig. 53 is for illustrative purposes only, and the reaction plates of the loader in the modified form may have any other suitable design. There may be more or fewer reaction plates in the modified aspect. In an embodiment, the reaction plate 5100 is shown on the bottom side of the loader, and in the modified aspect the reaction plate can be placed on any other desired side or portion of the loader. The reaction plate 5100 in the embodiment may be made of a metal such as steel or aluminum, but may be made of any other suitable material. One or more of the reaction plates may be made of steel (magnetic) as will be described in detail below. The reaction plates on the loader may include one or more plates 5102 corresponding to the forces 4120M, 4120S on the tracks 4100M, 4100S to provide urging forces along the main or side rails. As shown in Figure 54. The reaction plate 5102 in Fig. 53 is illustrated as a single plate, but may also include any desired number of plates, such as those shown in Figures 20C, 20D. As described above, the orbiter 4120 (and the forcing portions 4120A, 4120C of the opposing segments in Figs. 52 and 54) are disposed substantially symmetrically with the corresponding reaction plate 5102 on the loader and the track. The LIM in the change pattern is the opponent.

第54圖所示之實施例中之裝載機5000係藉適當空氣支承4200移動自如地支承於軌道上。第54圖所示之空氣支承之分佈僅作為實例說明,而在變更態樣中之排出口可用以提供任何其他預期氣壓分佈以穩定支承裝載機於軌道上。變更態樣中之氣孔係設在裝載機上排氣以將裝載機從軌道上提升。如前所述,在其他變更態樣中之裝載機與軌道之間之活動支承係任何其他預期類型者,並係依附於軌段件或裝載機。空氣支承4200之氣孔及/或裝載機上之氣體撞擊區可用以產生方向性作用力以啟動裝載機相對於軌道之水平導引。於第51-52圖及第54圖所示之實施例中,軌道4100M,4100S可包括控制及導引系統4130以導引裝載機沿着軌道被推動時之移動。導引系統4130係沿着主軌與側軌4100M,4100S延伸之非接觸式系統。實施例中之各個軌段件A,C,D,L係包括導引系統4130A,4130C之對應部份(見第52及54圖),當段件連接時可組合形成軌道之大致連續性導引系統。變更態樣中之導引系統係獨立安裝於軌道上。其他變更態樣中之導引系統係任何適當類型者,並可與軌道之支承系統(例如在其間連接之軌道或裝載機上之滾輪或輪子以助保持裝載機沿着軌道移動時之定向及水平定位)合併為一體,及/或與線性電動機(以下將予詳述)合併為一體,及/或與裝載機支承與線性電動機獨立設置。實施例中設在軌道4100M,4100S之導引系統4130一般具有大致平行於軌道中之LIM強制器4120延伸之導引磁軌4130M,4130S。該導引磁軌可與裝載機中之鋼材導引板/元件互相作動以維持裝載機於相對於軌道4100M,4100S之預期水平位置。如前所述,實施例中之軌段件A,C,D,L可各具有如第52及54圖所示之導引磁軌之對應部1430A,1430L。實施例中之之軌段件A,C,D,L之導引磁軌部1430A,1430L具有二個沿着LIM強制器4120A但設於對側之導引磁軌4132,4134(如第54圖所示)。圖示之導引磁軌之位置係作為實例說明用。變更態樣中更多或更少之導引磁軌可設置於任何預期位置。與導引磁軌配合之裝載機之鋼材導引板/元件係以下將述之線性電動機之其他部份之偏軸(相對於X軸)線性電動機反應板5104R,5106R,5104L,5106L(見第53圖),或與線性電動機反應板獨立設置之其他適當鋼材板/元件。在其他變更態樣中,裝載機可導引磁性元件,而軌道可具有設置以與裝載機上之磁鐵相配合以形成軌道導引系統之鋼材/磁性材料軌道。導引系統亦包括連接於控制器以啟動裝載機沿着軌道之移動之定位/位置感應系統/裝置。該定位系統/裝置係與前所援引之美國專利申請案第11/211,236號所述者類似。亦可藉LIM之適當霍耳效應感應器以提供沿着主軌與側軌之定位回饋。The loader 5000 of the embodiment shown in Fig. 54 is movably supported on the rail by a suitable air bearing 4200. The distribution of the air bearing shown in Fig. 54 is for illustrative purposes only, and the discharge port in the modified aspect can be used to provide any other desired air pressure distribution to stably support the loader on the track. The vents in the modified aspect are vented on the loader to lift the loader from the track. As previously mentioned, the movable support between the loader and the track in other variations is any other desired type and is attached to the rail member or loader. The air holes of the air bearing 4200 and/or the gas impact zone on the loader can be used to create a directional force to initiate horizontal guidance of the loader relative to the track. In the embodiments illustrated in Figures 51-52 and Figure 54, the rails 4100M, 4100S can include a control and guidance system 4130 to guide movement of the loader as it is pushed along the track. The guiding system 4130 is a non-contact system that extends along the main rail and side rails 4100M, 4100S. Each of the rail members A, C, D, L in the embodiment includes a corresponding portion of the guiding systems 4130A, 4130C (see Figures 52 and 54), which can be combined to form a substantially continuous guide of the rail when the segments are connected Lead system. The guidance system in the modified aspect is independently mounted on the track. The guidance system in other variations is of any suitable type and can be oriented with the support system of the track (eg, a roller or wheel on a track or loader connected thereto to help maintain the orientation of the loader as it moves along the track) The horizontal positioning) is combined and/or integrated with a linear motor (described in more detail below) and/or independently of the loader support and the linear motor. The guidance system 4130 disposed in the track 4100M, 4100S in the embodiment generally has guide tracks 4130M, 4130S extending substantially parallel to the LIM forcing 4120 in the track. The guide track can be actuated with the steel guides/elements in the loader to maintain the loader in a desired horizontal position relative to the track 4100M, 4100S. As previously mentioned, the rail members A, C, D, L of the embodiment may each have a corresponding portion 1430A, 1430L of the guide track as shown in Figures 52 and 54. The guide track portions 1430A, 1430L of the rail members A, C, D, L in the embodiment have two guide tracks 4132, 4134 along the LIM actuator 4120A but disposed on the opposite side (eg, 54th) Figure shows). The position of the illustrated guide track is used as an example. More or fewer guide tracks in the change pattern can be placed at any desired location. The steel guide plate/component of the loader that cooperates with the guide track is the off-axis (relative to the X-axis) linear motor reaction plates 5104R, 5106R, 5104L, 5106L of the other parts of the linear motor described below (see Figure 53), or other suitable steel plates/components that are independently placed with the linear motor reaction plate. In other variations, the loader can direct the magnetic elements, and the track can have a steel/magnetic material track that is configured to cooperate with the magnets on the loader to form the track guide system. The guidance system also includes a position/position sensing system/device coupled to the controller to initiate movement of the loader along the track. The positioning system/device is similar to that described in the previously cited U.S. Patent Application Serial No. 11/211,236. The appropriate Hall effect sensor of the LIM can also be used to provide positioning feedback along the main rail and the side rail.

爰參照第52圖所示之前述之軌段件C之示意平面圖及典型之交接段件B。批量輸送器4100之其他交接段件係與交接段件B大致類似。實施例中之段件B亦具有轉換線性電動機強制器部4125。在實施例中與主軌及側軌之線性電動機獨立之獨立線性電動機係設在交接部以啟動裝載機在主軌與側軌之間之轉換,以下將予詳述。在實施例中之轉換線性電動機係LIM,然而亦可採用其他適當線性電動機。變更態樣可採用任何其他適當電子或機械轉換系統。如第52圖所示,本實施例中之LIM強制器4125(供轉換電動機用)係偏離主軌與側軌之LIM強制器4120M,4120S而設置。主軌之強制器部亦可如圖示般進一步分段4122,4124,4126。主軌強制器之分段4122,4124,4126係如圖示般實質上分離,或可通過控制器作互相虛擬分離以供跨過轉換LIM強制器4125之分段4124可獨立斷開而不關連其他主軌LIM強制器分段4122,4126。如第52圖所示之強制器分段4122,4125,4124,4126及交接分段之導引系統僅作為實例說明,而變更態樣中交接分段可具有任何其他預期構造。如第52圖所示,實施例中之轉換LIM強制器4125係沿着側軌滙合/分支之方向(例如X軸之左側)偏離主軌與側軌強制器。實施例中之轉換LIM強制器4125具有與主軌方向(示為X軸)大致平行定向之一端部4125M,及與側軌局部方向(如第52圖所示之b軸)大致平行定向之另一端部4125S。在實施例中,側軌在主軌進出之局部方向(b軸)係定向於相對於主軌行進方向(X軸)之銳角。因此裝載機可藉X軸之衝量以啟動轉換而在移至側軌時不會解除整體X軸衝量(例如不會停在主軌)。變更態樣中,側軌之進出口與主軌方向之間之角度係視所需而設定。(諸如對角,即使於該場合,轉換線性電動機之構造可利用X軸衝量)。如第52-53圖所示,轉換LIM強制器4125之端部4125M係設置以操作主軌或側軌LIM之一或多個反應板5104,5106。反應板5104,5106係側向偏離(沿着Y軸)。此外,反應板5106L,5106R亦可(沿着X軸)從裝載機之預期參考點(例如中心點)作縱向(沿着X軸)偏離。實施例中之反應板係設在與側軸Y呈不同角度α,β之對角軸上。變更態樣中之裝載機可具有較多或較少反應板之任何其他預期反應板配置。如前所述,一或多個反應板5104L,5106L可採用轉換LIM強制器4125以將裝載機從主軌4100M轉換至側軌4100S(及如第51圖所示在側軌4100S,分段4102’之另一端之滙合交接點作相反作用)。Referring to the schematic plan view of the aforementioned rail member C shown in Fig. 52 and the typical junction section B. The other transfer segments of the bulk conveyor 4100 are generally similar to the transfer segment B. The segment B in the embodiment also has a conversion linear motor forcing portion 4125. In the embodiment, a separate linear motor independent of the linear motor of the main rail and the side rail is provided at the intersection to initiate the conversion of the loader between the main rail and the side rail, as will be described in detail below. The linear motor system LIM is switched in the embodiment, however other suitable linear motors may be employed. Any other suitable electronic or mechanical conversion system can be used to modify the aspect. As shown in Fig. 52, the LIM forcing device 4125 (for the switching motor) in this embodiment is provided offset from the LIM forcing units 4120M, 4120S of the main rail and the side rail. The forcing portion of the main rail can also be further segmented 4122, 4124, 4126 as shown. The segments 4122, 4124, 4126 of the main rail forcing are substantially separated as shown, or may be virtually separated from each other by the controller for independent separation of the segments 4124 across the conversion LIM forcing 4125 without being related. Other main rail LIM enforcer segments 4122, 4126. The guidance system for the forcer segments 4122, 4125, 4124, 4126 and the handover segment as shown in Figure 52 is for illustrative purposes only, and the handover segment in the modified aspect may have any other contemplated configuration. As shown in Fig. 52, the conversion LIM forcing unit 4125 in the embodiment is offset from the main rail and side rail forcing in the direction of the side rail junction/branch (e.g., to the left of the X axis). The conversion LIM forcing 4125 in the embodiment has one end portion 4125M oriented substantially parallel to the main rail direction (shown as the X-axis) and another direction substantially parallel to the side rail local direction (such as the b-axis shown in FIG. 52). One end 4125S. In an embodiment, the side rails are oriented at an acute angle relative to the direction of travel of the main rail (X-axis) in a local direction (b-axis) of the main rail. Therefore, the loader can use the X-axis impulse to initiate the transition and does not release the overall X-axis impulse when moving to the side rail (for example, does not stop at the main rail). In the modified aspect, the angle between the entrance and exit of the side rail and the direction of the main rail is set as desired. (such as diagonal, even in this case, the configuration of the conversion linear motor can utilize the X-axis impulse). As shown in Figures 52-53, the end 4125M of the conversion LIM forcing 4125 is configured to operate one or more of the reaction plates 5104, 5106 of the main rail or side rail LIM. The reaction plates 5104, 5106 are laterally offset (along the Y axis). In addition, the reaction plates 5106L, 5106R can also be longitudinally (along the X-axis) offset from the intended reference point (eg, the center point) of the loader (along the X-axis). The reaction plates in the examples are disposed on a diagonal axis different from the side axis Y by an angle α, β. The loader in the modified aspect may have any other desired reaction plate configurations with more or fewer reaction plates. As previously mentioned, one or more of the reaction plates 5104L, 5106L may employ a conversion LIM forcing 4125 to convert the loader from the main rail 4100M to the side rails 4100S (and at side rails 4100S, segment 4102 as shown in Figure 51). 'The other end of the convergence junction makes the opposite effect.

如第52圖所示,實施例中之導引磁性部4130係用以轉換於主軌與側軌之間。由第52圖可見,實施例中之導引磁軌4136(毗鄰側軌進口之側)係中斷,於是可免除裝載機在轉換區中之磁性導引。對向之導引磁軌4132(側軌進口之相對側)可包括具有可開關之傳動磁場之部件4132J。舉例而言,導軌之部件4132J可作成類似具有永久性磁鐵與捲繞線圈之磁性夾頭,電流通過線圈時將可開關導引磁部件之磁場。變更態樣中之傳動性磁部件可具有任何其他預期配置。當裝載機係持續在主軌上時,導引磁部件4132J係“開啟”,而裝載機轉換至側軌時則被“關閉”。將導引磁部件4132J“關閉”時將可供裝載機作側向自由移動(偏離主軌),因它可能將不再保持於主軌上。變更態樣中之導引磁鐵係在裝載機上,交接段件導引系統可包括適當捲圈以產生相對於裝載機磁碟之制衡磁場。交接段件另外具有與側軌之進口(b軸)大致對準之一或多個傳動性/操作性導引磁碟(未予圖示),在被“開啟”時將導引裝載機(由強制器4125所移動)進入側軌4100S。當裝載機係移動超過交接及持續在主軌上時,該導引磁部件將被“關閉”。因此將裝載機從主軌轉換至側軌時,LIM強制器部4124係被關閉,而導引磁部件4132J係被“關閉”。轉換LIM強制器4125係被啟動。裝載機在動力下從諸如強制器4122移動,直至轉換LIM強制器4125操作於對應之反應板5104L,5106L上。強制器4125將促使裝載機從主軌移向側軌進口,並使裝載機移向側軌直至側軌LIM強制器4120S操作於對應反應板5102以持續沿着側軌4100S移動。導引磁軌4130S獲得裝載機之磁性元件以導引裝載機沿着側軌4100S移動。在裝載機轉換於主軌至側軌時之位置回饋係由導引/定位系統所進行,該導引/定位系統係用以在交接予轉換LIM強制器之前取得裝載機在主軌上之定位,當裝載機通過轉換LIM之轉換時持續位置回饋,並允許交接予側軌LIM。因此定位裝置係任何適當類型者,連續式或分配式裝置(例如光學,磁性,條碼,基準帶,鐳射/光束範圍或無線電範圍)定位以供轉換時之位置回饋。As shown in Fig. 52, the guiding magnetic portion 4130 in the embodiment is for switching between the main rail and the side rail. As can be seen from Fig. 52, the guide track 4136 (the side adjacent to the side rail inlet) in the embodiment is interrupted, thereby eliminating the magnetic guidance of the loader in the transition zone. The opposing guide track 4132 (opposite side of the side rail inlet) may include a component 4132J having a switchable transmission magnetic field. For example, the rail member 4132J can be made to resemble a magnetic chuck having a permanent magnet and a wound coil that will switch to direct the magnetic field of the magnetic member as it passes through the coil. The transmission magnetic component in the modified aspect can have any other desired configuration. When the loader system continues on the main rail, the guided magnetic component 4132J is "on" and the loader is "closed" when transitioning to the side rail. When the guide magnet member 4132J is "closed", the loader will be allowed to move laterally freely (offward from the main rail) as it may no longer remain on the main rail. The steering magnet in the modified aspect is attached to the loader, and the interface member guiding system can include a suitable coil to create a counterbalance magnetic field relative to the loader disk. The interface member additionally has one or more transmission/operability guide disks (not shown) that are generally aligned with the inlet (b-axis) of the side rails, and will guide the loader when "turned on" ( Moved by the forcing device 4125) into the side rail 4100S. The guiding magnetic component will be "closed" when the loader moves over the handoff and continues on the main rail. Therefore, when the loader is switched from the main rail to the side rail, the LIM forcing portion 4124 is closed and the guiding magnetic member 4132J is "closed". The conversion LIM enforcer 4125 is activated. The loader is moved from power such as the forcing device 4122 until the conversion LIM forcing unit 4125 operates on the corresponding reaction plates 5104L, 5106L. The forcer 4125 will cause the loader to move from the main rail to the side rail inlet and move the loader to the side rail until the side rail LIM enforcer 4120S operates on the corresponding reaction plate 5102 to continue moving along the side rail 4100S. The guide track 4130S obtains the magnetic elements of the loader to guide the loader to move along the side rails 4100S. The position feedback mechanism when the loader is switched to the main rail to the side rail is performed by a guiding/positioning system for obtaining the positioning of the loader on the main rail before handing over the conversion LIM forcing device. When the loader continues to position feedback by converting the conversion of the LIM, and allows the handover to the side rail LIM. The positioning device is therefore positioned by any suitable type, continuous or distributed device (eg optical, magnetic, bar code, reference band, laser/beam range or radio range) for position feedback during conversion.

參照第52A圖之另一實施例之批量輸送器之交接段件B’之另一平面圖。本實施例中之交接段件B’係與第52圖中所示之段件B類似,除了另有註明者以外。第52A圖中之導引磁軌係未予圖示。在段件B’上之主軌強制器部4120M亦具有與毗鄰強制器4122’,4126’中斷之分段4124’。於此實施例中之側軌LIM強制器4120B’可沿着主軌延伸,當裝載機係在主軌時可供操作於裝載機之反應板5106L’。如第52A圖所示之用以啟動轉換之裝載機之反應板5102’,5106L’(如虛線所示)。軌道LIM之反應板5102’係設置於主軌強制器段件4124’(例如與毗鄰“上游”主軌強制器4122’及反應板遠離5106L’)可用以與側軌LIM強制器4120B’配合操作。因此,轉換主軌段件4124’可能中斷及側軌強制器4120B’可能啟動以將裝載機導引至側軌。從側軌轉換至主軌亦以類似方式達成。變更態樣中之主軌與側軌之線性電動機係任何適當線性電動機,諸如DC無刷電動機或其他無刷鐵芯電動機。變更態樣中之永久性磁鐵反應元件係設在裝載機中,而另一變更態樣中之永久性磁鐵係設在軌段件(裝載機中之芯電動機)。在變更態樣中之相位捲繞器係如預期設在軌道上(與第20A,20B圖所示者類似),或裝載機取消磁鐵與電動機芯之間之磁場以免除由電動機之磁/鐵芯元件之相互作用所提供之導引,並允許裝載機由一軌道轉換至另一軌道。Referring to another plan view of the transfer section B' of the batch conveyor of another embodiment of Fig. 52A. The junction section B' in this embodiment is similar to the section B shown in Fig. 52, unless otherwise indicated. The guide track in Fig. 52A is not shown. The main rail forcing portion 4120M on the segment B' also has a segment 4124' that is interrupted by the adjacent compensators 4122', 4126'. The side rail LIM enforcer 4120B' in this embodiment can extend along the main rail and is operable to operate the reaction plate 5106L' of the loader when the loader is on the main rail. The reaction plates 5102', 5106L' (shown in phantom) for the loader to initiate the conversion as shown in Fig. 52A. The reaction plate 5102' of the track LIM is disposed on the main rail forcing member 4124' (eg, adjacent to the "upstream" main rail forcing 4122' and the reaction plate away from 5106L') for operation with the side rail LIM forcing 4120B' . Thus, the transition main rail member 4124' may be interrupted and the side rail forcing 4120B' may be activated to guide the loader to the side rail. Switching from the side rail to the main rail is also done in a similar manner. The linear motor of the main rail and the side rail in the modified aspect is any suitable linear motor, such as a DC brushless motor or other brushless core motor. The permanent magnet reaction element in the modified aspect is provided in the loader, and the permanent magnet in the other modification is provided in the rail member (the core motor in the loader). The phase winder in the modified aspect is intended to be placed on the track (similar to those shown in Figures 20A, 20B), or the loader cancels the magnetic field between the magnet and the motor core to avoid magnetic/iron by the motor. The interaction provided by the core elements provides guidance and allows the loader to switch from one track to another.

再參照第51圖,實施例中之一或多個軌段件L可具有供例如相互作用部4200之自動操縱裝置將裝載機從軌道上舉升之區域I。該提升區I中之導引磁軌4130S係設有具備傳動磁場之部件,與第2圖所示之部件4132J類似。變更態樣中之相位捲繞器可用以取消在軌道或裝載機中之磁鐵與線性電動機鐵芯或在軌道或裝載機中之鋼材反應板之間之磁場,藉以“解放”被截留在軌道上之裝載機,及有助於從軌道上提升裝載機。Referring again to Fig. 51, one or more of the rail members L of the embodiment may have an area I for lifting the loader from the rail by, for example, an automatic manipulator of the interaction portion 4200. The guiding track 4130S in the lifting zone I is provided with a component having a transmission magnetic field, similar to the component 4132J shown in FIG. The phase winder in the modified aspect can be used to cancel the magnetic field between the magnet in the track or loader and the linear motor core or the steel reaction plate in the track or loader, thereby "liberating" being trapped in the track. The loader and helps to lift the loader from the track.

再參照第53圖,實施例中之一或多個裝載機5000可具有聯結器5200以將一或多個裝載機聯結成一裝載機列車。聯結器係任何適當類型者,諸如磁性聯結器可操作式連接於控制器以控制聯結或解放。變更態樣中之裝載機間聯結器係諸如機械聯結器。聯結器5200係概略圖示於第53圖,而在變更態樣中係如預期設置於裝載機上。裝載機間聯結器可用以將由批量輸送器4100所輸送之二個以上裝載機聯結在一起。此舉可供一或多個被運送之裝載機作為該列車之發動引擎,而列車中之其他裝載機係被動者。第51圖顯示實施例之一裝載機列車。由圖中可知,被運送裝載機在運送過程中成為整批次,提供由列車中之“發動引擎”裝載機之移動所控制之所有裝載機之移動。此舉可顯著減低控制器之負荷。列車中之特定裝載機之位置資訊係記錄於裝載機列車相對於預期參考之控制中(例如“發動引擎”裝載機之基準)。於是,視需要時控制器可識別及定位裝載機而不需追蹤各裝載機作為列車移動時之個別裝載機移動,當需要執行列車中之特定裝載機之個別控制時,控制器將搜尋軌道上之列車位置,及相對於列車上預定參考之特定裝載機之位置以識別裝載機在軌道上之概略位置。可利用軌道定位系統作細部定位。變更態樣中,與裝載機列車脫接後之定位可藉任何其他預期方式達成。列車中之任何裝載機均可作為發動引擎裝載機。裝載機列車中之發動引擎之定位可達成以支援預期操作參數。此外,發動引擎之位置可藉停止一發動引擎裝載機及啟動列車中之另一裝載機成為發動引擎時予以轉換。Referring again to Figure 53, one or more of the loaders 5000 of the embodiment can have a coupler 5200 to couple one or more loaders into a loader train. The coupler is of any suitable type, such as a magnetic coupler, operatively coupled to the controller to control the coupling or liberation. The loader couplings in the modified aspect are such as mechanical couplings. The coupler 5200 is schematically illustrated in Figure 53, and in the modified aspect is intended to be placed on the loader. The loader coupler can be used to join together two or more loaders transported by the bulk conveyor 4100. This allows one or more of the loaded loaders to be the engine of the train, while the other loaders in the train are passive. Figure 51 shows one of the loader trains of the embodiment. As can be seen from the figure, the transported loader becomes the entire batch during transport, providing movement of all loaders controlled by the movement of the "starting engine" loader in the train. This can significantly reduce the load on the controller. The location information for a particular loader in the train is recorded in the control of the loader train relative to the intended reference (eg, the "Start Engine" loader benchmark). Thus, the controller can identify and position the loader as needed without having to track each loader as individual loader movements as the train moves. When it is desired to perform individual control of a particular loader in the train, the controller will search for tracks. The location of the train, and the location of the particular loader relative to the train on the train, to identify the approximate location of the loader on the track. Track positioning systems can be used for detailed positioning. In the variant, the positioning after disconnection from the loader train can be achieved by any other intended means. Any loader in the train can be used as a starter engine loader. The positioning of the engine in the loader train can be achieved to support the expected operating parameters. In addition, the position of the engine can be switched by stopping the engine loader and starting another loader of the train to become the engine.

須知前述說明僅作為本發明之說明用途。精於此藝者當可在不脫離本發明之情況下作成各種變更態樣。因此本發明之申請專利範圍係涵蓋所有變更態樣。It is to be understood that the foregoing description is only illustrative of the invention. It will be apparent to those skilled in the art that various changes can be made without departing from the invention. Therefore, the scope of the patent application of the present invention covers all modifications.

10,10’...自動化材料操持系統10,10’. . . Automated material handling system

15...隔艙內輸送系統部15. . . Compartment conveyor system

20...隔艙間輸送系統部20. . . Compartment conveyor system

35...隔艙排位部35. . . Compartment compartment

25...輸送支線或分路部25. . . Conveyor branch or branch

45...加工隔艙45. . . Processing compartment

35A...輸入部35A. . . Input section

35B...輸出部35B. . . Output department

200...工作件裝載機200. . . Work piece loader

202...艙室202. . . cabin

204...開口204. . . Opening

210...匣盒210. . .匣 box

210S...長形支承210S. . . Long support

212...罩箱212. . . Cover box

214...中空部(外殼)214. . . Hollow part

216...壁部(帽/蓋)216. . . Wall (cap/cover)

220...裝載機220. . . Loader

221C’,222C’...斜切密封面221C', 222C’. . . Beveled sealing surface

221CD’,224CD’...密封面221CD’, 224CD’. . . Sealing surface

222’,224’、320、320"、321"...密封部222', 224', 320, 320", 321". . . Sealing part

240...外側支承240. . . Outer support

300...裝載機300. . . Loader

314、314"...外殼314, 314"...shell

316、316"...壁部316, 316"... wall

314I’...外殼介面314I’. . . Shell interface

326’,328’...支承凸緣/部位326’, 328’. . . Support flange/portion

300"...裝載機300"...loader

328"...支承部328"...support

400...真空式艙室(裝載鎖)400. . . Vacuum cabin (load lock)

410...調位器410. . . Positioner

412...驅動部412. . . Drive department

414...聯接部414. . . Connection department

416...穿梭機416. . . Shuttle

430...裝載機門空間430. . . Loader door space

440...通孔440. . . Through hole

300F...裝載機300F. . . Loader

400F...裝載鎖艙室400F. . . Loading lock compartment

316F...底壁部316F. . . Bottom wall

314F...環形部314F. . . Ring

314PD...頂壁部314PD. . . Top wall

470F...凹槽470F. . . Groove

300G...頂部密封裝載機300G. . . Top seal loader

400G...裝載鎖艙室400G. . . Loading lock compartment

314DR...裝載機門314DR. . . Loader door

500...輸送系統軌道500. . . Conveyor system track

500A,C,D...段件500A, C, D. . . Segment

500M...主行進路徑500M. . . Main path

500S...存取路徑500S. . . Access path

1000...工具站1000. . . Tool station

第1圖係具有本案實施例之特徵之工作件裝載機,及設置於裝載機上之工作件或基板S之示意立面圖;及第1A-1B圖分別表示本發明另一實施例之裝載機之工作件支架之示意局部平面圖及立面圖;第2A圖係第1圖所示之裝載機之示意斷面圖及另一實施例之工具埠介面;第2B圖係另一實施例之工具埠介面及裝載機之另一斷面圖;第3A-3C圖係另一實施例之工具埠介面與裝載機之三種不同位置之示意斷面圖;第4圖係再一實施例之裝載機與工具介面之示意立面圖,及第4A-4C圖係分別為不同實施例之介面構造之裝載機與工具之間之介面部份之擴大斷面圖;第5A-5C圖係另一實施例之裝載機與工具介面之示意局部立面圖,顯示在三種相對位置下之裝載機與工具介面;第6A-6B圖係另一實施例之工作件裝載機之相對示意立面圖;第7A-7B圖係另一實施例之工作件裝載機之示意立面圖,分別顯示不同位置下之裝載機,第7C圖為第7B圖之7C部分的放大圖;第8圖係另一實施例之工具介面與裝載機之另一示意立面圖;第9圖係另一實施例之工具介面與裝載機之另一示意立面圖;第10圖係另一實施例之工具介面與裝載機之另一示意立面圖;而第10A圖係另一實施例之加工工具與介置其間之裝載機之示意局部立面圖;第11圖係另一實施例之加工工具部與介置其間之裝載機之示意立面圖;第12A-12B圖係第11圖之裝載機(工作件轉移)開口與裝載機之搬運門之示意底面圖;第13A-13B圖係第11圖之工具部之介面與搬運門介面之工具之示意平面圖;第14圖係再一實施例之加工工具與介置其間之裝載機之示意立面圖;第15圖係再一實施例之工具介面與裝載機之示意立面圖;第16A-16B圖係另一實施例之工具介面與裝載機在兩種不同位置之示意立面圖;第17圖係裝載機之示意側面圖,及第17A-17C圖係另一實施例之裝載機與工具介面之另一示意立面圖及工具介面之平面圖;第18-19圖係另一實施例之工具介面與裝載機之示意立面圖;第20圖係另一實施例之輸送系統之示意平面圖;第20A-20B圖係第10圖之輸送系統之部份之示意局部平面圖;及第20C-20D圖係另一實施例之輸送系統之不同有效負載之示意底面圖;第21圖係另一實施例之輸送系統之另一部份之示意局部平面圖;第22-24圖係另一實施例之輸送系統之部份之另一示意局部平面圖;第25A-25B圖係另一實施例之輸送系統與加工工具之不同立面圖;第26A-26B圖係另一實施例之將裝載機轉移在輸送系統與工具之間之轉移介面系統之不同示意立面圖;第27圖係另一實施例之輸送系統之示意局部立面圖,及第27A-27B圖係輸送系統在不同位置下之其他示意局部立面圖;第28圖係另一實施例之輸送系統之另一示意立面圖;第29A-29B圖係另一實施例之輸送系統之示意平面圖;第29C圖係另一實施例之輸送系統與加工工具之示-意平面圖;第30圖係第29C圖所示之輸送系統與加工工具之示意局部立面圖;第31圖係輸送系統之另一示意局部立面圖;第32圖係另一實施例之輸送系統之另一示意局部立面圖;第33-34圖分別係另一實施例之另一輸送系統之示意平面圖及立面圖;第35圖係另一實施例之輸送系統之另一示意平面圖;第36A-36C圖係另一實施例之輸送裝置之底面圖,立面圖及底面圖;第36D圖係另一實施例之輸送裝置之另一底面圖;第37A-37D圖係一實施例之工具裝載站之透視圖,端部及側視圖,及頂面圖;第37E圖係另一實施例之另一種工具裝載站之平面圖;第37F圖係又一實施例之另一種工具裝載站之平面圖;第37G圖係再一實施例之另一種工具裝載站之平面圖;第38A-38C圖係顯示不同實施例之不同程序之流程圖;第39圖係另一實施例之工具裝載站之斷面圖;第40A-40D圖係另一實施例之基板支承之示意斷面圖;第41圖及第41A-41B圖係另一實施例之加工系統之示意透視圖,端部立面圖及頂面圖;第42圖係第41圖所示系統之示意分解透視圖,而第43-47圖係不同實施例之系統不同選擇性配備之示意圖;第48圖係再一實施例之系統之系統之示意立面圖;第49圖係另一實施例之系統之示意局部透視圖;第50圖係另一實施例之加工系統之另一示意平面圖;及第51圖係另一實施例之輸送系統之示意平面圖;第51A圖係顯示批量尺寸與輸送速率之間之關係;第52-52A圖係另一實施例之輸送系統之部份之示意局部平面圖;第53圖係第51圖所示輸送系統之機動車之示意平面圖;第54圖係另一實施例之輸送系統之示意立面圖。1 is a schematic elevational view of a workpiece loader having the features of the embodiment of the present invention, and a workpiece or substrate S disposed on the loader; and FIGS. 1A-1B respectively showing loading of another embodiment of the present invention FIG. 2A is a schematic cross-sectional view of the loader shown in FIG. 1 and a tool interface of another embodiment; FIG. 2B is another embodiment of the present invention; FIG. 3A-3C is a schematic cross-sectional view showing three different positions of the tool interface and the loader of another embodiment; FIG. 4 is a loading of still another embodiment; A schematic elevational view of the interface between the machine and the tool, and 4A-4C are respectively enlarged cross-sectional views of the interface between the loader and the tool of the interface construction of the different embodiments; FIG. 5A-5C is another A schematic partial elevational view of the loader and tool interface of the embodiment, showing the loader and tool interface in three relative positions; and FIGS. 6A-6B are relative schematic elevational views of the workpiece loader of another embodiment; 7A-7B is a schematic diagram of a workpiece loader of another embodiment The figure shows the loader in different positions, the 7C is an enlarged view of the 7C part of the 7B drawing; the 8th is another schematic elevation of the tool interface and the loader of the other embodiment; Another schematic elevational view of the tool interface and loader of another embodiment; FIG. 10 is another schematic elevational view of the tool interface and loader of another embodiment; and FIG. 10A is another embodiment A schematic partial elevational view of the processing tool and the loader interposed therebetween; FIG. 11 is a schematic elevational view of the machining tool portion of the other embodiment and the loader interposed therebetween; 12A-12B is the 11th Figure 4A-13B is a schematic plan view of the interface of the tool part of Figure 11 and the tool for handling the door interface; Figure 14 is a schematic view of the tool of the loader opening of the loader; 1 is a schematic elevational view of a tool and a loader interposed therebetween; FIG. 15 is a schematic elevational view of a tool interface and a loader in still another embodiment; and FIGS. 16A-16B are another embodiment Schematic elevation of the tool interface and loader in two different positions; Figure 17 FIG. 17A-17C is another plan view of the loader and tool interface of another embodiment and a plan view of the tool interface; and FIGS. 18-19 are tool interfaces of another embodiment. Figure 20 is a schematic plan view of a transport system of another embodiment; 20A-20B is a schematic partial plan view of a portion of the transport system of Figure 10; and 20C-20D Figure 2 is a schematic bottom plan view of another portion of the delivery system of another embodiment; Figure 21 is a schematic partial plan view of another portion of the delivery system of another embodiment; and Figures 22-24 are another embodiment of delivery Another schematic partial plan view of a portion of the system; 25A-25B is a different elevational view of the transport system and processing tool of another embodiment; and FIGS. 26A-26B are another embodiment of transferring the loader to transport A different schematic elevational view of the transfer interface system between the system and the tool; Figure 27 is a schematic partial elevational view of the transport system of another embodiment, and other indications of the 27A-27B system of transport systems at different locations Partial elevation; section 28 Another schematic elevational view of a delivery system of another embodiment; 29A-29B is a schematic plan view of another embodiment of the delivery system; and FIG. 29C is a representation of another embodiment of the delivery system and processing tool - Figure 30 is a schematic partial elevational view of the delivery system and processing tool shown in Figure 29C; Figure 31 is another schematic partial elevational view of the delivery system; Figure 32 is another embodiment of the delivery Another schematic partial elevational view of the system; Figures 33-34 are schematic plan and elevational views, respectively, of another delivery system of another embodiment; Figure 35 is another schematic plan view of another embodiment of the delivery system 36A-36C are a bottom view, an elevation view and a bottom view of the transport device of another embodiment; 36D is another bottom view of the transport device of another embodiment; and 37A-37D is an implementation A perspective view of the tool loading station, an end view and a side view, and a top view; Figure 37E is a plan view of another tool loading station of another embodiment; and Figure 37F is another tool loading of another embodiment. Plan of the station; Fig. 37G is another embodiment A plan view of another tool loading station; Figures 38A-38C are flow diagrams showing different procedures for different embodiments; Figure 39 is a cross-sectional view of another embodiment of the tool loading station; and Figures 40A-40D are another Schematic cross-sectional view of the substrate support of the embodiment; Fig. 41 and 41A-41B are schematic perspective views of the processing system of another embodiment, end elevation and top view; Fig. 42 is the 41st drawing A schematic exploded perspective view of the system shown, and Figures 43-47 are schematic views of different alternative configurations of the system of the different embodiments; Figure 48 is a schematic elevational view of the system of the further embodiment; A schematic partial plan view of a system of another embodiment; Fig. 50 is another schematic plan view of a processing system of another embodiment; and Fig. 51 is a schematic plan view of a transport system of another embodiment; The relationship between the batch size and the transport rate; the 52-52A is a schematic partial plan view of a portion of the transport system of another embodiment; and the 53rd is a schematic plan view of the motor vehicle of the transport system shown in FIG. 51; Figure 54 is a transport system of another embodiment The schematic elevation.

200...工作件裝載機200. . . Work piece loader

202...艙室202. . . cabin

204...開口204. . . Opening

210...匣盒210. . .匣 box

210S...長形支承210S. . . Long support

212...罩箱212. . . Cover box

214...中空部(外殼)214. . . Hollow part

216...壁部(帽/蓋)216. . . Wall (cap/cover)

240...外側支承240. . . Outer support

S...工作件S. . . Work piece

Claims (11)

一種半導體工作件加工系統,包括:至少一加工工具,用於加工半導體工作件;主輸送系統,具有半導體工作件的一或多個定速輸送迴路和自動地運動裝載機,建構該一或多個定速輸送迴路和該等自動地運動裝載機,使得該等自動地運動裝載機以定速流動沿著該主輸送系統自動地行進;次輸送系統,具有一或多個定速輸送迴路,以致該等自動地運動裝載機以定速流動沿著該次輸送系統自動地行進,該次輸送系統係經由排列部連接至該主輸送系統,其中建構該等排列部用於允許該等自動地運動裝載機在該主輸送系統與次輸送系統之間運動而不致干擾該主輸送系統或次輸送系統之流動;及一或多個介面,其經由介面分路連接至該次輸送系統的一或多個輸送迴路以與該至少一個加工工具介接,其中建構該等介面分路用於允許材料在該次輸送系統的一或多個輸送迴路及該一或多個介面之間運動而不致干擾該次輸送系統之流動;其中沿著該主輸送系統與次輸送系統之材料的流動係連續性,且該次輸送系統、和該等排列部及該等介面分路中至少一者包括固態馬達和固態開關,該固態馬達使其上的裝載機能夠行進,該固態開關使得能夠在該次輸送系統、和該等排列部及該等介面分路中至少一者之間轉移。 A semiconductor workpiece processing system comprising: at least one processing tool for processing a semiconductor work piece; a main transport system, one or more fixed speed transport circuits with a semiconductor work piece, and an automatic motion loader, constructing the one or more a fixed speed conveying circuit and the automatic motion loaders such that the automatic motion loaders automatically travel along the main conveying system at a constant speed; the secondary conveying system has one or more fixed speed conveying circuits, So that the automatic motion loaders automatically travel along the secondary conveyor system at a constant speed, the secondary conveyor system being coupled to the primary conveyor system via an alignment portion, wherein the alignment portions are configured to allow such automatic The sport loader moves between the primary conveyor system and the secondary conveyor system without interfering with the flow of the primary conveyor system or the secondary conveyor system; and one or more interfaces that are connected via an interface to the one of the secondary conveyor systems Multiple delivery circuits to interface with the at least one processing tool, wherein the interface shunts are configured to allow material to be one or more of the delivery systems Movement between the delivery circuit and the one or more interfaces without interfering with the flow of the secondary delivery system; wherein the flow along the material of the primary delivery system and the secondary delivery system is continuous, and the secondary delivery system, and the like At least one of the alignment portion and the interface branches includes a solid state motor and a solid state switch that enables a loader thereon to travel, the solid state switch enabling the delivery system, the alignments, and the like Transfer between at least one of the interface branches. 如申請專利範圍第1項的半導體工作件加工系統,其中建構該固態開關用於使該自動地運動裝載機的動量產生槓桿作用,沿著該次輸送系統、該等排列部、和該等介面分路其中一者行進,以便能夠轉移。 The semiconductor workpiece processing system of claim 1, wherein the solid state switch is configured to leverage the momentum of the automatic motion loader along the secondary delivery system, the alignment portions, and the interfaces One of the branches travels so that it can be transferred. 一種半導體工作件加工系統,包含:至少一加工工具,用於加工半導體工作件;至少一半導體工作件裝載機;批量輸送部,具有第一固態輸送系統,被建構用於沿著該批量輸送部以實質地等速驅動該至少一半導體工作件裝載機;和介面部,其耦合至該批量輸送部,該介面部包括第二固態輸送系統,建構該介面部用於介接在該批量輸送部和該至少一加工工具之間的該至少一半導體工作件裝載機;其中該第一固態輸送系統和該第二固態輸送系統包括固態開關,用於轉移在該批量輸送部和該介面部間之該至少一半導體工作件裝載機的輸送路徑。 A semiconductor workpiece processing system comprising: at least one processing tool for processing a semiconductor workpiece; at least one semiconductor workpiece loader; and a bulk conveying portion having a first solid state conveying system configured to be along the batch conveying portion Driving the at least one semiconductor workpiece loader at substantially constant speed; and a dielectric portion coupled to the bulk transport portion, the dielectric portion including a second solid state transport system configured to interface with the bulk transport portion The at least one semiconductor workpiece loader between the at least one processing tool; wherein the first solid state delivery system and the second solid state delivery system comprise solid state switches for transfer between the batch transport portion and the intervening face a transport path of the at least one semiconductor workpiece loader. 如申請專利範圍第3項的半導體工作件加工系統,其中該批量輸送部被建構成異步輸送部,以致在該批量輸送部上的一基板工作件裝載機的輸送動作,被從在該批量輸送部上的其它不同半導體工作件裝載機的輸送動作解除耦合。 The semiconductor workpiece processing system of claim 3, wherein the batch conveying portion is constructed as an asynchronous conveying portion, so that a conveying operation of a substrate workpiece loading machine on the batch conveying portion is carried out from the batch conveying The conveying action of the other different semiconductor workpiece loaders on the part is decoupled. 如申請專利範圍第4項的半導體工作件加工系統,其中該一基板工作件裝載機的輸送率不受其它不同 半導體工作件裝載機的輸送率影響。 The semiconductor workpiece processing system of claim 4, wherein the substrate workpiece loader has a different transfer rate The influence of the transfer rate of the semiconductor workpiece loader. 如申請專利範圍第3項的半導體工作件加工系統,其中該介面部包括滑動軌道,其被建構使得沿著該批量輸送部能夠實質地定速。 A semiconductor workpiece processing system according to claim 3, wherein the interfacial portion comprises a sliding track configured to be substantially constant speed along the bulk conveying portion. 如申請專利範圍第3項的半導體工作件加工系統,其中該介面部被建構用於利用該至少一基板工作件裝載機的動量,用於將該至少一基板工作件裝載機的行進路徑從該批量運送路徑轉移至該介面部。 The semiconductor workpiece processing system of claim 3, wherein the dielectric portion is configured to utilize the momentum of the at least one substrate workpiece loader for routing the at least one substrate workpiece loader from the The bulk shipping path is transferred to the face. 如申請專利範圍第3項的半導體工作件加工系統,其中該批量輸送部和該介面部包括模組軌道段件。 The semiconductor workpiece processing system of claim 3, wherein the batch conveying portion and the interfacial portion comprise a module rail segment. 如申請專利範圍第8項的半導體工作件加工系統,其中該固態開關被整合於至少一模組軌道段件內,用於在該批量輸送部和介面部之間轉移該至少一半導體基板裝載機的行進路徑。 The semiconductor workpiece processing system of claim 8, wherein the solid state switch is integrated in at least one module track segment for transferring the at least one semiconductor substrate loader between the batch conveying portion and the interface portion The path of travel. 如申請專利範圍第3項的半導體工作件加工系統,其中該至少一半導體工作件裝載機包括聯結器,用於將該至少一半導體工作件裝載機耦合於該至少一半導體工作件裝載機之其它者,以形成裝載機列車。 The semiconductor workpiece processing system of claim 3, wherein the at least one semiconductor workpiece loader includes a coupler for coupling the at least one semiconductor workpiece loader to the other of the at least one semiconductor workpiece loader To form a loader train. 如申請專利範圍第10項的半導體工作件加工系統,其中在該裝載機列車內的至少一半導體工作件裝載機,沿著該批量輸送部和該介面部其中一者或多者而被動地運動。 A semiconductor workpiece processing system according to claim 10, wherein at least one semiconductor workpiece loader in the loader train is passively moved along one or more of the batch transport portion and the dielectric portion .
TW096116737A 2006-05-11 2007-05-11 Reduced capacity carrier, transport, load port, buffer system TWI405290B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US79990806P 2006-05-11 2006-05-11

Publications (2)

Publication Number Publication Date
TW200816351A TW200816351A (en) 2008-04-01
TWI405290B true TWI405290B (en) 2013-08-11

Family

ID=38694507

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096116737A TWI405290B (en) 2006-05-11 2007-05-11 Reduced capacity carrier, transport, load port, buffer system

Country Status (4)

Country Link
JP (4) JP2009537075A (en)
CN (1) CN101490833B (en)
TW (1) TWI405290B (en)
WO (1) WO2007133701A2 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8297319B2 (en) 2006-09-14 2012-10-30 Brooks Automation, Inc. Carrier gas system and coupling substrate carrier to a loadport
US9105673B2 (en) 2007-05-09 2015-08-11 Brooks Automation, Inc. Side opening unified pod
JP2010144199A (en) * 2008-12-17 2010-07-01 Canon Anelva Corp Vacuum vessel, vacuum treatment apparatus having vacuum vessel, and method for manufacturing vacuum vessel
CN101712130B (en) * 2009-12-22 2012-11-14 中国电子科技集团公司第四十五研究所 Positioning conversion device applied to chemical mechanical polishing equipment of silicon wafer
JP6599094B2 (en) * 2014-11-13 2019-10-30 株式会社ミツトヨ Optical device
JP6456177B2 (en) * 2015-02-12 2019-01-23 株式会社ディスコ Wafer processing system
US9601360B2 (en) * 2015-03-16 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer transport method
CN105543807B (en) * 2015-12-15 2018-06-26 华中科技大学 A kind of alternating temperature thin film deposition system
JP6718596B2 (en) * 2016-11-14 2020-07-08 村田機械株式会社 Ceiling transfer system, relay transfer device and transfer method used therefor
US11031266B2 (en) * 2018-07-16 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer handling equipment and method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5411358A (en) * 1992-08-04 1995-05-02 International Business Machines Corporation Dispatching apparatus with a gas supply distribution system for handling and storing pressurized sealable transportable containers
JP2004227060A (en) * 2003-01-20 2004-08-12 Murata Mach Ltd Automated guided vehicle system
JP2004274034A (en) * 2003-01-27 2004-09-30 Applied Materials Inc System and method for transporting substrate carriers of small lot size between processing tools
JP2005101059A (en) * 2003-09-22 2005-04-14 Dainippon Screen Mfg Co Ltd Substrate treatment equipment

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0221740U (en) * 1988-07-28 1990-02-14
JPH04171841A (en) * 1990-11-05 1992-06-19 Matsushita Electron Corp Self-traveling transfer truck
US5204739A (en) * 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
DE69403890T2 (en) * 1994-01-14 1998-01-08 Ibm Assembly / disassembly device for sealable pressurized transport containers
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
JP4056141B2 (en) * 1998-08-07 2008-03-05 松下電器産業株式会社 Substrate transfer device
KR100303321B1 (en) * 1999-05-20 2001-09-26 박종섭 Appratus for controlling abnormal lot in automization system to produce semiconductor and control method using the same
JP2005294280A (en) * 2002-04-12 2005-10-20 Hirata Corp Sealed container transfer system
EP1535313B1 (en) * 2002-07-22 2018-10-31 Brooks Automation, Inc. Substrate processing apparatus
JP4487302B2 (en) * 2003-05-20 2010-06-23 株式会社安川電機 Load port
JP4470576B2 (en) * 2003-05-20 2010-06-02 ムラテックオートメーション株式会社 Transport system
US20050095087A1 (en) * 2003-10-30 2005-05-05 Sullivan Robert P. Automated material handling system
JP2005243729A (en) * 2004-02-24 2005-09-08 Asyst Shinko Inc Conveyer system
JP2006051886A (en) * 2004-08-12 2006-02-23 Murata Mach Ltd Ceiling traveling vehicle system
JP2008511178A (en) * 2004-08-23 2008-04-10 ブルックス オートメーション インコーポレイテッド Elevator-based tool loading and buffering system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5411358A (en) * 1992-08-04 1995-05-02 International Business Machines Corporation Dispatching apparatus with a gas supply distribution system for handling and storing pressurized sealable transportable containers
JP2004227060A (en) * 2003-01-20 2004-08-12 Murata Mach Ltd Automated guided vehicle system
JP2004274034A (en) * 2003-01-27 2004-09-30 Applied Materials Inc System and method for transporting substrate carriers of small lot size between processing tools
JP2005101059A (en) * 2003-09-22 2005-04-14 Dainippon Screen Mfg Co Ltd Substrate treatment equipment

Also Published As

Publication number Publication date
JP6073262B2 (en) 2017-02-01
TW200816351A (en) 2008-04-01
JP2019192942A (en) 2019-10-31
CN101490833A (en) 2009-07-22
JP6896027B2 (en) 2021-06-30
WO2007133701A9 (en) 2008-01-17
JP2009537075A (en) 2009-10-22
WO2007133701A3 (en) 2008-12-04
CN101490833B (en) 2013-08-14
WO2007133701A2 (en) 2007-11-22
JP6630296B2 (en) 2020-01-15
JP2017069583A (en) 2017-04-06
JP2014146825A (en) 2014-08-14

Similar Documents

Publication Publication Date Title
JP7405699B2 (en) Semiconductor workpiece processing system
TWI405290B (en) Reduced capacity carrier, transport, load port, buffer system
US10679882B2 (en) Reduced capacity carrier, transport, load port, buffer system
US8267634B2 (en) Reduced capacity carrier, transport, load port, buffer system
US8328495B2 (en) Reduced capacity carrier, transport, load port, buffer system
CN101356636B (en) Reduced capacity carrier, transport, load port, buffer system
JP5543205B2 (en) Semiconductor workpiece processing system
TWI557838B (en) Reduced capacity carrier, transport, load port, buffer system