JP6630296B2 - Reduced capacity carrier, transporter, loading port, shock absorber system - Google Patents

Reduced capacity carrier, transporter, loading port, shock absorber system Download PDF

Info

Publication number
JP6630296B2
JP6630296B2 JP2017000254A JP2017000254A JP6630296B2 JP 6630296 B2 JP6630296 B2 JP 6630296B2 JP 2017000254 A JP2017000254 A JP 2017000254A JP 2017000254 A JP2017000254 A JP 2017000254A JP 6630296 B2 JP6630296 B2 JP 6630296B2
Authority
JP
Japan
Prior art keywords
carrier
exemplary embodiment
transport
section
port
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017000254A
Other languages
Japanese (ja)
Other versions
JP2017069583A (en
Inventor
マイケル エル. ブファノ
マイケル エル. ブファノ
ユリシーズ ギルクリスト
ユリシーズ ギルクリスト
ウィリアム フォスナイト
ウィリアム フォスナイト
クリストファー ホフマイスター
クリストファー ホフマイスター
ダニエル エー. バッブス
ダニエル エー. バッブス
ロバート シー. メイ
ロバート シー. メイ
Original Assignee
ブルックス オートメーション インコーポレイテッド
ブルックス オートメーション インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルックス オートメーション インコーポレイテッド, ブルックス オートメーション インコーポレイテッド filed Critical ブルックス オートメーション インコーポレイテッド
Publication of JP2017069583A publication Critical patent/JP2017069583A/en
Priority to JP2019138208A priority Critical patent/JP6896027B2/en
Application granted granted Critical
Publication of JP6630296B2 publication Critical patent/JP6630296B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67386Closed carriers characterised by the construction of the closed carrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Description

本明細書に記載される例示的な実施形態は、基板処理システム、特に基板搬送システム、搬送機キャリア、処理ツール接触面への搬送、および配置に関する。   The exemplary embodiments described herein relate to a substrate processing system, particularly a substrate transport system, a transport carrier, transport to a processing tool contact surface, and placement.

従来技術Conventional technology

[関連出願の相互参照]
本願は、2006年5月11日に出願された米国仮出願シリアル番号第60/799,908号の利益を主張するものであり、2005年11月7日に出願された米国特許仮出願番号第60/733,813号の利益を主張する、2006年11月3日に出願された米国特許出願シリアル番号第11/556,584号の一部継続である、2006年11月7日に出願された米国特許出願シリアル番号第11/594,365号の一部継続である2007年4月18日に出願された米国特許出願シリアル番号第11/787,981号の一部継続であり、これらすべては、参照することによりその全体が本明細書に組み込まれる。
[Cross-reference of related applications]
This application claims the benefit of US Provisional Application Serial No. 60 / 799,908, filed May 11, 2006, which is incorporated by reference. No. 60 / 733,813, filed on Nov. 7, 2006, which is a continuation-in-part of U.S. Patent Application Serial No. 11 / 556,584, filed on Nov. 3, 2006, which claims the benefit of US Pat. US Patent Application Serial No. 11 / 787,981, filed April 18, 2007, which is a continuation of US Patent Application Serial No. 11 / 594,365, all of which are incorporated herein by reference. Is incorporated herein by reference in its entirety.

電子デバイスの製造における主な推進力は、より低価格でより有能かつより小さな電子デバイスに対する消費者の要望である。主な推進力は、さらなる小型化および製造効率における改善に対する製造業者の機動力へと形を変える。その結果製造業者は、可能な限り利益を追求する。半導体デバイスの場合、従来の製作設備またはFABは、半導体基板に1つ以上のプロセスを実行するために、本質的に(または編成構造上)別個の処理ツール、例えば集合ツールを有する。したがって従来のFABは、処理ツールの周囲に編成され、半導体基板を所望の電子デバイスに変えるために、所望の構成に配置される場合がある。例えば、処理ツールは、処理ベイの従来のFAB内に配列される場合がある。理解され得るように、ツール内にある間、ツール間で処理中の基板が実質的に同様の清浄度状態を保つように、ツール間で、基板はSMF、FOUR等のキャリア内に保持される。ツール間の連絡は、基板キャリアをFAB内の所望の処理ツールに搬送することができるハンドリングシステム(自動材料ハンドリングシステム(AMHS)等)によって提供されてもよい。ハンドリングシステムと処理ツールとの間の接合部分は、例示目的のために、一般的に2つの部分、キャリアを処理ツールの積載(loading)ステーションに積み込み(load)/から取り出す(unload)ためのハンドリングシステムとツールとの間の接合部分と、キャリアとツールとの間の基板の積み込み及び取り出しを可能にするキャリアとツールとの間の接合部分(すなわち、別個のまたはグループの)と、を有すると考えてもよい。処理ツールをキャリアおよび材料ハンドリングシステムに接合する数多くの従来の接合システムが既知である。従来の接合システムの多くは、基板を処理ツールに積み込みおよび取り出す際のコストを増加する、または効率の悪さの原因となるという望ましくない機構を有する、処理ツール接合部分、キャリアの接合部分、または材料ハンドリングシステム接合部分のうちの1つ以上をもたらす複雑性の問題がある。以下に、従来のシステムの問題を克服する例示的な実施形態をより詳細に記載する。   A major driving force in the manufacture of electronic devices is the consumer's desire for lower cost, more capable and smaller electronic devices. The main impetus translates into manufacturer mobility for further miniaturization and improvements in manufacturing efficiency. As a result, the manufacturer seeks profit as much as possible. In the case of semiconductor devices, conventional fabrication facilities or FABs have essentially (or knitted) separate processing tools, eg, collective tools, to perform one or more processes on a semiconductor substrate. Thus, conventional FABs are knitted around processing tools and may be arranged in a desired configuration to turn a semiconductor substrate into a desired electronic device. For example, processing tools may be arranged in a conventional FAB in a processing bay. As can be appreciated, between the tools, the substrates are held in a carrier such as a SMF, FOUR, etc., such that the substrates being processed between the tools maintain substantially similar cleanliness conditions while in the tools. . Communication between the tools may be provided by a handling system (such as an automatic material handling system (AMHS)) that can transport the substrate carrier to the desired processing tool in the FAB. The interface between the handling system and the processing tool is, for illustrative purposes, generally two parts, the handling for loading / unloading the carrier to / from the loading station of the processing tool. Having a junction between the system and the tool and a junction between the carrier and the tool that allows loading and unloading of the substrate between the carrier and the tool (i.e., a separate or group). You may think. Many conventional joining systems for joining processing tools to carriers and material handling systems are known. Many conventional bonding systems often include processing tool bonding, carrier bonding, or materials that have undesirable features that increase the cost of loading and unloading substrates from the processing tool or cause inefficiencies. There are complexity issues that result in one or more of the handling system interfaces. The following describes in more detail exemplary embodiments that overcome the problems of conventional systems.

業界動向は、将来のICデバイスが約45nm以下の構造を有する可能性があることを示している。効率を向上し、作製コストを削減するために、この規模のICデバイスは、可能な限り大きな半導体基板またはウェハを使用して製造されることが望ましい。従来のFABは、一般的に200mmまたは300mmのウェハを取り扱うことができる。業界動向は、将来的に、FABが450mmのウェハ等、300mmより大きいウェハを取り扱うことができることが望ましいことを示している。理解され得るように、より大きなウェハを使用することは、ウェハ当たりの処理時間が長くなるという結果となり得る。したがって、300mm以上のウェハ等、より大きなウェハを採用する場合、FAB内の仕掛品(WIP)を削減するために、ウェハ処理に、より小さなロットサイズを用いることが望ましい場合がある。また、より小さなウェハロットサイズは、任意のサイズのウェハのロット処理、またはいかなる他の基板もしくは例えばフラットスクリーンディスプレイのフラットパネルを含むフラットパネルに特に望ましい場合がある。WIPの削減および効率を特徴とするロット処理は、それらを使用することによって実施可能となるが、FAB内に小さな処理ロットを採用することは、従来のFAB処理量に悪影響を与える可能性がある。例えば、より小さなロットサイズは、より大きなロットサイズと比較した場合、任意の容量の搬送システム(ウェハロットを搬送する)の搬送システム負荷を増大する傾向がある。これを、図51Aに示されるグラフに図示する。図51Aのグラフは、多くの異なるFAB率(月当たり等の所望の期間毎に開始されるウェハとして示される、例えばWSPM)に対するロットサイズと搬送速度との間の関係(1時間当たりの移動として示される)を図示している。また図51Aのグラフは、従来のFABハンドリングシステムの最大容量(例えば、1時間当たり約6000〜7000を移動する)を示す線を示す。したがって、ハンドリングシステム容量線とFAB率曲線との間の交点は、曲線が利用可能なロットサイズに対する表面を同定する。例えば、任意の従来の搬送システムで約24,000WSPMのFAB率を達成するためには、最小ロットサイズは約15ウェハである。より小さなウェハロットを使用すると、FAB率が減少する。したがって、FAB率に悪影響を与えることなく、1つと小さいウェハロットおよび所望の大きさの大きなウェハロットを使用できるように、ウェハキャリア、キャリアと処理ツールとの間の接合部分、およびキャリア搬送システム(FAB内のツール間、ストレージ位置間等でキャリアを搬送する)が中に配置されるシステムを提供することが望ましい。   Industry trends indicate that future IC devices may have structures below about 45 nm. To increase efficiency and reduce fabrication costs, IC devices of this size are desirably manufactured using as large a semiconductor substrate or wafer as possible. Conventional FABs can typically handle 200 mm or 300 mm wafers. Industry trends indicate that in the future it would be desirable to be able to handle wafers larger than 300 mm, such as 450 mm FAB wafers. As can be appreciated, using larger wafers can result in longer processing times per wafer. Therefore, when employing a larger wafer, such as a 300 mm or larger wafer, it may be desirable to use a smaller lot size for wafer processing in order to reduce work in process (WIP) in the FAB. Also, smaller wafer lot sizes may be particularly desirable for lot processing of wafers of any size, or any other substrate or flat panel including, for example, a flat panel of a flat screen display. Lot processing characterized by WIP reduction and efficiency can be implemented by using them, but employing small processing lots in the FAB can adversely affect conventional FAB throughput. . For example, a smaller lot size tends to increase the transfer system load of a transfer system of an arbitrary capacity (for transferring a wafer lot) when compared to a larger lot size. This is illustrated in the graph shown in FIG. 51A. The graph of FIG. 51A shows the relationship between lot size and transport speed (as movements per hour) for many different FAB rates (shown as wafers started every desired period, such as per month, for example, WSPM). (Shown). Also, the graph of FIG. 51A shows a line indicating the maximum capacity of a conventional FAB handling system (eg, traveling about 6000-7000 per hour). Thus, the intersection between the handling system capacity line and the FAB rate curve identifies the surface for the lot size for which the curve is available. For example, to achieve a FAB rate of about 24,000 WSPM with any conventional transport system, the minimum lot size is about 15 wafers. The use of smaller wafer lots reduces the FAB rate. Therefore, the wafer carrier, the interface between the carrier and the processing tool, and the carrier transport system (in the FAB) can be used to use one smaller wafer lot and a larger wafer lot of the desired size without adversely affecting the FAB rate. It is desirable to provide a system in which (the carrier is transported between tools, storage locations, etc.).

半導体部品処理システムの例示的な実施形態を提供する。システムは、部品を処理するための少なくとも1つの処理装置と、一次搬送システムと、二次搬送システムと、第1の搬送システムと第2の搬送システムとの間の1つ以上の接合部分と、を有する。一次および二次搬送システムはそれぞれ、等速セクションに通じる待ち行列セクション内に1つ以上の実質的に等速のセクションを有する。   1 provides an exemplary embodiment of a semiconductor component processing system. The system includes at least one processing device for processing the parts, a primary transport system, a secondary transport system, one or more junctions between the first transport system and the second transport system, Having. The primary and secondary transport systems each have one or more substantially constant velocity sections in a queue section that leads to constant velocity sections.

本発明の前述の態様およびその他の機構を、添付の図面と関連して以下の説明に記載する。   The foregoing aspects and other features of the present invention are described in the following description in connection with the accompanying drawings.

例示的な実施形態による機構を組み込む部品キャリア、およびキャリア上に置かれた部品または基板Sの概略正面図である。1 is a schematic front view of a component carrier incorporating features according to an exemplary embodiment, and a component or substrate S placed on the carrier. 別の例示的な実施形態によるキャリアの部品支持体の概略部分平面図である。FIG. 4 is a schematic partial plan view of a component support of a carrier according to another exemplary embodiment. 別の例示的な実施形態によるキャリアの部品支持体の概略部正面図である。FIG. 7 is a schematic front view of a component support of a carrier according to another exemplary embodiment. 図1のキャリアおよび別の例示的な実施形態によるツールポート接合部分の概略断面正面図である。FIG. 2 is a schematic cross-sectional front view of the carrier of FIG. 1 and a tool port interface according to another exemplary embodiment. 別の例示的な実施形態によるツールポート接合部分およびキャリアの別の概略断面正面図である。FIG. 9 is another schematic cross-sectional front view of a tool port interface and carrier according to another exemplary embodiment. 図3A−3Cはそれぞれ異なる位置から見た、別の例示的な実施形態によるツールポート接合部分およびキャリアを図示する概略断面正面図である。3A-3C are schematic cross-sectional front views illustrating a tool port interface and a carrier according to another exemplary embodiment, each viewed from a different location. 図3A−3Cはそれぞれ異なる位置から見た、別の例示的な実施形態によるツールポート接合部分およびキャリアを図示する概略断面正面図である。3A-3C are schematic cross-sectional front views illustrating a tool port interface and a carrier according to another exemplary embodiment, each viewed from a different location. 図3A−3Cはそれぞれ異なる位置から見た、別の例示的な実施形態によるツールポート接合部分およびキャリアを図示する概略断面正面図である。3A-3C are schematic cross-sectional front views illustrating a tool port interface and a carrier according to another exemplary embodiment, each viewed from a different location. さらに別の例示的な実施形態によるキャリアとツールの接合部分の概略正面図である。FIG. 9 is a schematic front view of a carrier and tool interface according to yet another exemplary embodiment. 図4A−4Cはそれぞれキャリアとツールとの間の接合部分の拡大断面図であって、異なる例示的な実施形態による接合部分構成を図示する。4A-4C are enlarged cross-sectional views of the interface between the carrier and the tool, respectively, illustrating the interface configuration according to different exemplary embodiments. 図4A−4Cはそれぞれキャリアとツールとの間の接合部分の拡大断面図であって、異なる例示的な実施形態による接合部分構成を図示する。4A-4C are enlarged cross-sectional views of the interface between the carrier and the tool, respectively, illustrating the interface configuration according to different exemplary embodiments. 図4A−4Cはそれぞれキャリアとツールとの間の接合部分の拡大断面図であって、異なる例示的な実施形態による接合部分構成を図示する。4A-4C are enlarged cross-sectional views of the interface between the carrier and the tool, respectively, illustrating the interface configuration according to different exemplary embodiments. 図5A−5Cは、さらに別の例示的な実施形態によるキャリアとツールの接合部分の概略部分正面図であり、それぞれの位置のキャリアとツールの接合部分を示す。5A-5C are schematic partial front views of a carrier and tool interface in accordance with yet another exemplary embodiment, showing the carrier and tool interface at respective locations. 図5A−5Cは、さらに別の例示的な実施形態によるキャリアとツールの接合部分の概略部分正面図であり、それぞれの位置のキャリアとツールの接合部分を示す。5A-5C are schematic partial front views of a carrier and tool interface in accordance with yet another exemplary embodiment, showing the carrier and tool interface at respective locations. 図5A−5Cは、さらに別の例示的な実施形態によるキャリアとツールの接合部分の概略部分正面図であり、それぞれの位置のキャリアとツールの接合部分を示す。5A-5C are schematic partial front views of a carrier and tool interface in accordance with yet another exemplary embodiment, showing the carrier and tool interface at respective locations. その他の異なる例示的な実施形態による部品キャリアの概略正面図である。FIG. 7 is a schematic front view of a component carrier according to another different exemplary embodiment. その他の異なる例示的な実施形態による部品キャリアの概略正面図である。FIG. 7 is a schematic front view of a component carrier according to another different exemplary embodiment. 図7A−7Cはそれぞれ別の例示的な実施形態による部品キャリアの概略正面図であり、異なる位置にあるキャリアを示す。7A-7C are schematic front views of component carriers according to different exemplary embodiments, showing the carrier in different positions. 図7A−7Cはそれぞれ別の例示的な実施形態による部品キャリアの概略正面図であり、異なる位置にあるキャリアを示す。7A-7C are schematic front views of component carriers according to different exemplary embodiments, showing the carrier in different positions. 図7A−7Cはそれぞれ別の例示的な実施形態による部品キャリアの概略正面図であり、異なる位置にあるキャリアを示す。7A-7C are schematic front views of component carriers according to different exemplary embodiments, showing the carrier in different positions. 別の例示的な実施形態によるツール接合部分およびキャリアの別の概略正面図である。FIG. 9 is another schematic front view of a tool interface and carrier according to another exemplary embodiment. 別の例示的な実施形態によるツール接合部分およびキャリアの別の概略正面図である。FIG. 9 is another schematic front view of a tool interface and carrier according to another exemplary embodiment. 別の例示的な実施形態によるツール接合部分およびキャリアの別の概略正面図である。FIG. 9 is another schematic front view of a tool interface and carrier according to another exemplary embodiment. 別の例示的な実施形態によるプロセスツールおよびそこに接合されたキャリアの概略部分正面図である。FIG. 4 is a schematic partial front view of a process tool and a carrier bonded thereto according to another exemplary embodiment. 別の例示的な実施形態によるプロセスツールセクションおよびそこに接合されたキャリアの概略正面図である。FIG. 9 is a schematic front view of a process tool section and a carrier bonded thereto according to another exemplary embodiment. 図11のキャリアのキャリア(部品移送)開口部の概略底面図である。FIG. 12 is a schematic bottom view of a carrier (component transfer) opening of the carrier of FIG. 11. 図11のキャリアのキャリアドアの概略底面図である。FIG. 12 is a schematic bottom view of a carrier door of the carrier of FIG. 11. 図11の接合部分及びツールセクションのキャリアドア接合部分のツールの概略上面図である。FIG. 12 is a schematic top view of the tool at the interface of FIG. 11 and at the carrier door interface of the tool section. 図11の接合部分及びツールセクションのキャリアドア接合部分のツールの概略上面図である。FIG. 12 is a schematic top view of the tool at the interface of FIG. 11 and at the carrier door interface of the tool section. さらに別の例示的な実施形態によるプロセスツールおよびそこに接合されたキャリアの概略正面図である。FIG. 9 is a schematic front view of a process tool and a carrier bonded thereto according to yet another example embodiment. さらに別の例示的な実施形態によるツール接合部分およびキャリアの概略正面図である。FIG. 10 is a schematic front view of a tool interface and carrier according to yet another example embodiment. 図16A及び16Bはそれぞれ異なる位置に示される、別の例示的な実施形態によるツール接合部分およびキャリアの概略正面図である。16A and 16B are schematic front views of a tool interface and carrier according to another exemplary embodiment, shown in different positions, respectively. 図16A及び16Bはそれぞれ異なる位置に示される、別の例示的な実施形態によるツール接合部分およびキャリアの概略正面図である。16A and 16B are schematic front views of a tool interface and carrier according to another exemplary embodiment, shown in different positions, respectively. キャリアの概略側面図である。It is a schematic side view of a carrier. 別の例示的な実施形態による、キャリアおよびツール接合部分のその他の概略正面図である。FIG. 9 is another schematic front view of a carrier and tool interface, according to another exemplary embodiment. 別の例示的な実施形態による、キャリアおよびツール接合部分のその他の概略正面図である。FIG. 9 is another schematic front view of a carrier and tool interface, according to another exemplary embodiment. 別の例示的な実施形態による、ツール接合部分の平面図である。FIG. 9 is a plan view of a tool interface, according to another example embodiment. 別の例示的な実施形態によるツール接合部分およびキャリアの概略正面図である。FIG. 6 is a schematic front view of a tool interface and carrier according to another exemplary embodiment. 別の例示的な実施形態によるツール接合部分およびキャリアの概略正面図である。FIG. 6 is a schematic front view of a tool interface and carrier according to another exemplary embodiment. 別の例示的な実施形態による搬送システムの概略平面図である。FIG. 4 is a schematic plan view of a transport system according to another exemplary embodiment. 図10の搬送システムのトラック部分の概略部分平面図である。FIG. 11 is a schematic partial plan view of a track portion of the transport system of FIG. 10. 図10の搬送システムのトラック部分の概略部分平面図である。FIG. 11 is a schematic partial plan view of a track portion of the transport system of FIG. 10. 図20C及び20Dはそれぞれその他の例示的な実施形態による、ペイロードの異なる搬送システムの概略底面図である。20C and 20D are schematic bottom views of different payload delivery systems, respectively, according to other example embodiments. 図20C及び20Dはそれぞれその他の例示的な実施形態による、ペイロードの異なる搬送システムの概略底面図である。20C and 20D are schematic bottom views of different payload delivery systems, respectively, according to other example embodiments. 別の例示的な実施形態による搬送システムの別の部分の概略部分平面図である。FIG. 9 is a schematic partial plan view of another portion of the transport system according to another exemplary embodiment. その他の例示的な実施形態による搬送システムの一部分の他の概略部分平面図である。FIG. 9 is another schematic partial plan view of a portion of a transport system according to another exemplary embodiment. その他の例示的な実施形態による搬送システムの一部分の他の概略部分平面図である。FIG. 9 is another schematic partial plan view of a portion of a transport system according to another exemplary embodiment. その他の例示的な実施形態による搬送システムの一部分の他の概略部分平面図である。FIG. 9 is another schematic partial plan view of a portion of a transport system according to another exemplary embodiment. その他の例示的な実施形態による搬送システムの一部分の他の概略部分平面図である。FIG. 9 is another schematic partial plan view of a portion of a transport system according to another exemplary embodiment. 図25A及び25Bは、それぞれ別の例示的な実施形態による搬送システムおよび処理ツールの異なる正面図を示す。25A and 25B show different front views of a transport system and a processing tool, respectively, according to another exemplary embodiment. 図25A及び25Bは、それぞれ別の例示的な実施形態による搬送システムおよび処理ツールの異なる正面図を示す。25A and 25B show different front views of a transport system and a processing tool, respectively, according to another exemplary embodiment. 図26A及び26Bは、それぞれ別の例示的な実施形態による搬送システムとツールとの間でキャリアを移送するための移送接合システムの異なる概略正面図である。26A and 26B are different schematic front views of a transfer joining system for transferring a carrier between a transfer system and a tool according to another exemplary embodiment. 図26A及び26Bは、それぞれ別の例示的な実施形態による搬送システムとツールとの間でキャリアを移送するための移送接合システムの異なる概略正面図である。26A and 26B are different schematic front views of a transfer joining system for transferring a carrier between a transfer system and a tool according to another exemplary embodiment. 別の例示的な実施形態による搬送システムの概略部分正面図である。FIG. 4 is a schematic partial front view of a transport system according to another exemplary embodiment. 図27A及び27Bは、それぞれ異なる位置にある搬送システムの他の概略部分正面図である。27A and 27B are other schematic partial front views of the transport system at different positions. 図27A及び27Bは、それぞれ異なる位置にある搬送システムの他の概略部分正面図である。27A and 27B are other schematic partial front views of the transport system at different positions. 別の例示的な実施形態による搬送システムの別の概略正面図である。FIG. 4 is another schematic front view of a transport system according to another exemplary embodiment. 別の例示的な実施形態による搬送システムの概略平面図である。FIG. 4 is a schematic plan view of a transport system according to another exemplary embodiment. 別の例示的な実施形態による搬送システムの概略平面図である。FIG. 4 is a schematic plan view of a transport system according to another exemplary embodiment. 別の例示的な実施形態による搬送システムおよび処理ツールの概略平面図である。FIG. 4 is a schematic plan view of a transport system and a processing tool according to another exemplary embodiment. 図29Cの搬送システムおよび処理ツールの概略部分正面図である。FIG. 29C is a schematic partial front view of the transport system and processing tool of FIG. 29C. 搬送システムの別の概略部分正面図である。FIG. 4 is another schematic partial front view of the transport system. 別の例示的な実施形態による搬送システムの別の概略部分正面図である。FIG. 9 is another schematic partial front view of a transport system according to another exemplary embodiment. その他の例示的な実施形態による別の搬送システムの概略平面図である。FIG. 7 is a schematic plan view of another transport system according to another exemplary embodiment. その他の例示的な実施形態による別の搬送システムの正面図である。FIG. 9 is a front view of another transport system according to another exemplary embodiment. 別の例示的な実施形態による搬送システムのさらに別の概略平面図である。FIG. 9 is yet another schematic plan view of a transport system according to another exemplary embodiment. 別の例示的な実施形態による、搬送デバイスの底面傾斜図である。FIG. 9 is a bottom perspective view of a transport device, according to another exemplary embodiment. 別の例示的な実施形態による、搬送デバイスの平面図である。FIG. 9 is a plan view of a transport device according to another example embodiment. 別の例示的な実施形態による、搬送デバイスの底平面図である。FIG. 9 is a bottom plan view of a transport device, according to another exemplary embodiment. 別の例示的な実施形態による搬送デバイスの別の底平面図である。FIG. 9 is another bottom plan view of a transport device according to another exemplary embodiment. コンプライント運動学的連結具の一部分の概略断面図である。FIG. 4 is a schematic cross-sectional view of a portion of a compliant kinematic coupler. 例示的な実施形態による、ツール積載ステーションの斜視図である。FIG. 4 is a perspective view of a tool loading station according to an exemplary embodiment. 例示的な実施形態による、ツール積載ステーションの端面図である。FIG. 4 is an end view of a tool loading station, according to an exemplary embodiment. 例示的な実施形態による、ツール積載ステーションの側面図である。FIG. 4 is a side view of a tool loading station according to an exemplary embodiment. 例示的な実施形態による、ツール積載ステーションの平面図である。FIG. 4 is a plan view of a tool loading station, according to an exemplary embodiment. 別の例示的な実施形態による別のツール積載ステーションの平面図である。FIG. 10 is a plan view of another tool loading station according to another exemplary embodiment. さらに別の例示的な実施形態による、さらに別のツール積載ステーションの平面図である。FIG. 15 is a plan view of yet another tool loading station, according to yet another example embodiment. さらに別の例示的な実施形態による、さらに別のツール積載ステーションの平面図である。FIG. 15 is a plan view of yet another tool loading station, according to yet another example embodiment. 異なる例示的な実施形態による、それぞれ図式的に異なるプロセスを図示するフローチャートである。4 is a flow chart illustrating a respectively different process schematically according to different exemplary embodiments. 異なる例示的な実施形態による、それぞれ図式的に異なるプロセスを図示するフローチャートである。4 is a flow chart illustrating a respectively different process schematically according to different exemplary embodiments. 異なる例示的な実施形態による、それぞれ図式的に異なるプロセスを図示するフローチャートである。4 is a flow chart illustrating a respectively different process schematically according to different exemplary embodiments. は、別の例示的な実施形態によるツール積載ステーションの断面図である。FIG. 4 is a cross-sectional view of a tool loading station according to another example embodiment. 例示的な実施形態による基板支持体の概略断面図である。FIG. 2 is a schematic cross-sectional view of a substrate support according to an exemplary embodiment. 例示的な実施形態による基板支持体の概略断面図である。FIG. 2 is a schematic cross-sectional view of a substrate support according to an exemplary embodiment. 例示的な実施形態による基板支持体の概略断面図である。FIG. 2 is a schematic cross-sectional view of a substrate support according to an exemplary embodiment. 例示的な実施形態による基板支持体の概略断面図である。FIG. 2 is a schematic cross-sectional view of a substrate support according to an exemplary embodiment. さらに別の例示的な実施形態による処理システムの概略斜視図である。FIG. 9 is a schematic perspective view of a processing system according to yet another example embodiment. さらに別の例示的な実施形態による処理システムの端部正面図である。FIG. 9 is an end elevation view of a processing system according to yet another example embodiment. さらに別の例示的な実施形態による処理システムの平面図である。FIG. 9 is a plan view of a processing system according to yet another example embodiment. 図41のシステムのセクションの概略分解組立斜視図である。FIG. 42 is a schematic exploded perspective view of a section of the system of FIG. 41. 異なる例示的な実施形態によるシステムの異なる選択可能な配置を図示する概略図である。FIG. 3 is a schematic diagram illustrating different selectable arrangements of the system according to different exemplary embodiments. 異なる例示的な実施形態によるシステムの異なる選択可能な配置を図示する概略図である。FIG. 3 is a schematic diagram illustrating different selectable arrangements of the system according to different exemplary embodiments. 異なる例示的な実施形態によるシステムの異なる選択可能な配置を図示する概略図である。FIG. 3 is a schematic diagram illustrating different selectable arrangements of the system according to different exemplary embodiments. 異なる例示的な実施形態によるシステムの異なる選択可能な配置を図示する概略図である。FIG. 3 is a schematic diagram illustrating different selectable arrangements of the system according to different exemplary embodiments. 異なる例示的な実施形態によるシステムの異なる選択可能な配置を図示する概略図である。FIG. 3 is a schematic diagram illustrating different selectable arrangements of the system according to different exemplary embodiments. さらに別の例示的な実施形態によるシステムの概略正面図である。FIG. 9 is a schematic front view of a system according to yet another example embodiment. さらに別の例示的な実施形態によるシステムの概略部分斜視図である。FIG. 9 is a schematic partial perspective view of a system according to yet another example embodiment. 別の例示的な実施形態による処理システムの別の概略平面図である。FIG. 4 is another schematic plan view of a processing system according to another exemplary embodiment. 別の例示的な実施形態による搬送システムの概略平面図である。FIG. 4 is a schematic plan view of a transport system according to another exemplary embodiment. ロットサイズと搬送速度との間の関係を図示するグラフである。5 is a graph illustrating the relationship between lot size and transport speed. その他の例示的な実施形態による搬送システムの一部分を示す概略部分平面図である。FIG. 4 is a schematic partial plan view illustrating a portion of a transport system according to another exemplary embodiment. その他の例示的な実施形態による搬送システムの一部分を示す概略部分平面図である。FIG. 4 is a schematic partial plan view illustrating a portion of a transport system according to another exemplary embodiment. 別の例示的な実施形態による搬送システムの別の部分平面図である。FIG. 9 is another partial plan view of a transport system according to another exemplary embodiment. 図51に示される搬送システムの運搬車の概略平面図である。FIG. 52 is a schematic plan view of a transport vehicle of the transport system shown in FIG. 51. さらに別の実施形態による搬送システムの概略端部正面図である。FIG. 9 is a schematic end elevation view of a transport system according to yet another embodiment. さらに別の例示的な実施形態による搬送システムの概略端部正面図である。FIG. 9 is a schematic end elevation view of a transport system according to yet another exemplary embodiment. 搬送システムの概略部分側面斜視図である。FIG. 2 is a schematic partial side perspective view of a transport system. 図55B及び図55Cは、それぞれ異なる位置にある搬送システムによって搬送されるキャリアを示す搬送システムの部分平面図である。FIGS. 55B and 55C are partial plan views of the transport system showing the carriers transported by the transport systems at different positions. 図55B及び図55Cは、それぞれ異なる位置にある搬送システムによって搬送されるキャリアを示す搬送システムの部分平面図である。FIGS. 55B and 55C are partial plan views of the transport system showing the carriers transported by the transport systems at different positions. 搬送システムの接合部分の正面図である。It is a front view of the junction part of a conveyance system. さらに別の例示的な実施形態による搬送システムの概略平面図である。FIG. 6 is a schematic plan view of a transport system according to yet another exemplary embodiment. さらに別の例示的な実施形態による搬送システムの端面図である。FIG. 9 is an end view of a transport system according to yet another example embodiment.

さらに図1を参照すると、部品キャリア200は、チャンバの外部雰囲気から隔離できる環境内で部品Sを運ぶことができるチャンバ202を画定する。図1に示されるキャリア200の形状は、例示に過ぎず、別の実施形態では、キャリアはいかなる他の所望の形状を有してもよい。キャリア200は、示されるように、キャリア内に部品Sを支持するためのカセット210をチャンバ内に収容することができる。一般的にカセット210は、支持体の列または積み重ねを提供するために上に部品支持棚210Vが施された細長い支持体210S(実施形態では、例えば2つが示される)、または示されるように1つ以上の部品が別々に支持される棚を有する。カセットは、以下により詳細に記載されるように、キャリア構造体に搭載されるか、または取り付けられてもよい。別の実施形態では、キャリアはカセットを有さなくてもよく、部品支持体は、一体型であるか、またはキャリア構造体との単一構造として形成されてもよい。部品は、350mm、300mm、200mm、もしくはあらゆる所望の寸法および形状の半導体ウェハ等のフラット/基板要素、またはディスプレイもしくはいかなる他の適した物品のためのレチクル/マスクあるいはフラットパネルとして示される。キャリアは、従来の13または25ウェハキャリアと比較して、低減されたまたは小さなロットサイズのキャリアであってもよい。キャリアは、部品がわずか1つの小さなロットを運ぶように構成されてもよく、または部品が10未満の小さなロットを運ぶように構成されてもよい。キャリア200と類似する低減容量キャリアの好適な実施例は、2005年8月19日に出願された米国特許出願シリアル番号第11/207,231号、名称「Reduced Capacity Carrier and Method of Use」に記載され、示されており、該文献は、参照することによりその全体が本明細書に組み込まれる。キャリア200と類似するキャリアと処理ツール(例えば、半導体製作ツール、ストッカー、分類機等)との間の接合部分および搬送システムの好適な実施例は、2005年8月23日に出願された米国特許出願シリアル番号第11/210,918号、名称「Elevator Bases Tool Loading and Buffering System」、および2005年8月24日に出願されたシリアル番号第11/211,236号、名称「Transportation System」、に記載され、示されており、該両方の文献は、参照することによりその全体が本明細書に組み込まれる。キャリア200と類似する機構を有するキャリアの他の好適な実施例は、2003年10月30日に出願された米国特許出願シリアル番号第10/697,528号、名称「Automated Material Handling System」に記載され、示されており、該文献は、参照することによりその全体が本明細書に組み込まれる。理解され得るように、より小さなロットを形成する部品は、より大きなロットで起こり得るようにその他の部品の処理が完了するのを待つことなく、後続の作業台に即座に(任意の作業台での処理の完了を受けて)搬送されるため、キャリア200と類似するサイズを縮小したキャリアは、FAB内の仕掛品を減少できる。例示的な実施形態の機構は、小容量キャリアを具体的に参照しながら記載され、示されるが、例示的な実施形態の機構は、13もしくは25、またはその他の任意の所望の数の部品を中に収容できるキャリア等、いかなる他の適したキャリアにも同様に適用される。   Still referring to FIG. 1, the component carrier 200 defines a chamber 202 that can carry the component S in an environment that can be isolated from the atmosphere outside the chamber. The shape of the carrier 200 shown in FIG. 1 is merely exemplary, and in other embodiments, the carrier may have any other desired shape. The carrier 200 can house a cassette 210 in the chamber for supporting the parts S in the carrier, as shown. Generally, the cassette 210 is an elongated support 210S (in the embodiment, for example, two are shown) with a component support shelf 210V thereon to provide a row or stack of supports, or one as shown. One or more components have shelves that are separately supported. The cassette may be mounted or attached to a carrier structure, as described in more detail below. In another embodiment, the carrier may not have a cassette and the component support may be integral or formed as a unitary structure with the carrier structure. Parts are shown as flat / substrate elements, such as semiconductor wafers of 350 mm, 300 mm, 200 mm or any desired size and shape, or reticles / masks or flat panels for displays or any other suitable articles. The carrier may be a reduced or smaller lot size carrier as compared to a conventional 13 or 25 wafer carrier. The carrier may be configured so that the parts carry only one small lot, or the parts may be configured to carry less than 10 small lots. A preferred embodiment of a reduced capacity carrier similar to carrier 200 is described in U.S. Patent Application Serial No. 11 / 207,231, filed August 19, 2005, entitled "Reduced Capacity Carrier and Method of Use". And are shown and incorporated herein by reference in their entirety. A preferred embodiment of a junction and transport system between a carrier similar to the carrier 200 and a processing tool (eg, a semiconductor fabrication tool, stocker, sorter, etc.) is described in US Pat. Application Serial No. 11 / 210,918, entitled "Elevator Bases Tool Loading and Buffering System", and Serial No. 11 / 211,236, filed August 24, 2005, entitled "Transportation System". Both documents are described and shown, both of which are incorporated herein by reference in their entirety. Another preferred embodiment of a carrier having a mechanism similar to carrier 200 is described in US Patent Application Serial No. 10 / 697,528, filed October 30, 2003, entitled "Automated Material Handling System". And are shown and incorporated herein by reference in their entirety. As can be appreciated, parts forming a smaller lot can be immediately transferred to a subsequent workbench (at any workbench) without waiting for the processing of other parts to be completed, as can occur in a larger lot. (In response to the completion of the above process), the carrier reduced in size similar to the carrier 200 can reduce the work in process in the FAB. Although the features of the exemplary embodiment are described and shown with particular reference to a small volume carrier, the features of the exemplary embodiment may include 13 or 25, or any other desired number of components. It applies equally to any other suitable carrier, such as a carrier that can be accommodated therein.

さらに図1を参照すると、例示的な実施形態では、キャリア200は、部品を垂直(すなわちZ軸)積み重ねで保持するように形状化されてもよい。キャリア200は、底面もしくは上面開口型または底面および上面開口型キャリアであってもよい。示される例示的な実施形態では、上面および底面は、垂直線またはZ軸に沿って配置されるが、別の実施形態では、上面および底面は、その他の軸のいずれかに沿って配向されてもよい。以下により詳細に記載される上面および底面開口部は、キャリアの開口部204(部品Sは、チャンバ202に出し入れされるが、キャリアによって画定される)は、キャリア内に保持される部品の平面とほぼ一直線に並ぶ(本実施形態では、Z軸と実質的に直交する)ことを意味する。以下に示されるように、一般的にキャリア200は、基部および閉締可能または取り外し可能なドアを有するケーシング212を有する。閉締される場合、ドアは、基部に固定され、封止されてもよい。ドアと基部との間の封止は、チャンバ202を外部雰囲気から隔離できるようにしてもよい。隔離されたチャンバ202は、清浄な空気、不活性ガス等のいずれかの所望の隔離された雰囲気を保持してもよく、または真空を保つことができてもよい。ドアは、キャリアから部品を積み込み/取り出しできるように開口されてもよい。例示的な実施形態では、ドアとは、キャリアが開口され、その中の部品支持棚にアクセスする際に、取り外し可能または取り外される部分を意味する。図1に示される例示的な実施形態では、一般的にケーシング200は、中に部品を受け取ることができる、概して陥凹したまたは中空の部分(以下、シェルと称される)214、および壁(キャップ/カバー等)216を有する。以下に記載されるように、壁216またはシェル214の全体は、キャリアドアとして動作してもよい。壁およびシェルは、キャリアを閉締するために結合され、キャリアを開口するために分離される。例示的な実施形態では、シェルおよび壁は、いずれかの適したプロセスで作製されたアルミニウム合金またはステンレススチール等の金属であってもよい。壁またはシェルもしくは両方は、一体部材(単一構造)であってもよい。別の実施形態では、キャリアケーシングは、適した非金属を含むいずれかの他の適した材料で作製されてもよい。カセット210は、壁216に搭載されてもよいが、別の実施形態では、カセットは、シェルに搭載されてもよい。シェルまたはドアのいずれかへのカセットの搭載は、ドアが開口される際に、キャリアから中のカセットまたは基板を取り出す容易性を助長するように選択されてもよい。示される実施形態では、壁216に、シェルの上面上に位置するが、別の実施形態では、キャリアケーシングは、上面上にシェルを有し、底面上に壁を有する構成を有していてもよい。さらに他の実施形態では、シェルは、上面および底面の両方の上に取り外し可能な壁を有してもよい(すなわち上面および底面開口部を有するキャリア)。その他の別の実施形態では、取り外し可能な壁は、キャリアの横方向に置かれてもよい。例示的な実施形態では、ドアは、受動的な構成要素(例えば、以下にさらに記載されるように、ドアとキャリアとの間およびドアとツール接合部分との間の閉締ならびに開口するパーツまたは構成要素の移動が実質的にない)であってもよい。   Still referring to FIG. 1, in an exemplary embodiment, the carrier 200 may be shaped to hold the components in a vertical (ie, Z-axis) stack. The carrier 200 may be a bottom or top opening type carrier or a bottom and top opening type carrier. In the exemplary embodiment shown, the top and bottom surfaces are positioned along a vertical line or Z-axis, but in other embodiments, the top and bottom surfaces are oriented along any of the other axes. Is also good. The top and bottom openings, described in more detail below, correspond to the carrier opening 204 (part S is moved into and out of the chamber 202, but defined by the carrier). This means that they are substantially aligned (in the present embodiment, substantially perpendicular to the Z axis). As shown below, generally, the carrier 200 has a casing 212 having a base and a closable or removable door. When closed, the door may be secured to the base and sealed. The seal between the door and the base may allow the chamber 202 to be isolated from the outside atmosphere. The isolated chamber 202 may hold any desired isolated atmosphere, such as clean air, an inert gas, etc., or may be able to maintain a vacuum. The door may be opened to allow loading / unloading of parts from the carrier. In the exemplary embodiment, door means a portion where the carrier is opened and is removable or removable when accessing the component support shelf therein. In the exemplary embodiment shown in FIG. 1, the casing 200 generally includes a generally recessed or hollow portion (hereinafter referred to as a shell) 214 that can receive components therein, and a wall ( Cap / cover, etc.) 216. As described below, the entire wall 216 or shell 214 may operate as a carrier door. The wall and shell are joined to close the carrier and separated to open the carrier. In an exemplary embodiment, the shell and wall may be a metal, such as an aluminum alloy or stainless steel, made by any suitable process. The wall or shell or both may be a unitary member (single structure). In another embodiment, the carrier casing may be made of any other suitable material, including suitable non-metals. Cassette 210 may be mounted on wall 216, but in other embodiments, the cassette may be mounted on a shell. The mounting of the cassette on either the shell or the door may be selected to facilitate the ease of removing the cassette or substrate therein from the carrier when the door is opened. In the embodiment shown, the wall 216 is located on the top surface of the shell, but in other embodiments, the carrier casing may have a configuration with a shell on the top surface and a wall on the bottom surface. Good. In yet other embodiments, the shell may have removable walls on both the top and bottom surfaces (ie, a carrier with top and bottom openings). In other alternative embodiments, the removable wall may be placed laterally on the carrier. In an exemplary embodiment, the door is a passive component (e.g., as described further below, between a door and a carrier and between a door and a tool interface and a part that opens and / or opens). (There is substantially no movement of components).

ここで、図2Aを参照すると、適した処理ツールのツールポート接合部分2010に置かれるキャリア200が示されている。処理ツールは、いかなる所望の種類、例えば分類機、ストッカー、または物質堆積、リソグラフィ、マスキング、エッチング、研磨、メトロロジ等のプロセスを1つ以上実行できるツール、もしくはロードロック等のプロセスモジュールまたはチャンバを1つ以上有するツールであってもよい。処理ツールは、少なくとも部分的に制御雰囲気を有し、ツール接合部分2010が、ツールまたはキャリア200内の制御雰囲気に影響を与えることなく、ツールとキャリア200との間で部品の積み込み/取り出しができるようにしてもよい。例示的な実施形態では、一般的にポート接合部分2010は、それを通して基板を処理ツールに積載することができるポートまたは開口部2012、およびポートを閉締するドア、カバー、または取り外し可能部分2014を有してもよい。別の実施形態では、取り外し可能部分は、部分的に開口部を閉締してもよい。図2Aでは、ポートドア2014は、例示目的のために、閉締した位置および開口された位置で示される。図2Aに示される実施形態では、キャリア200は、以下に示されるように、ツールポート2012を有する接合部分の下側に積載されてもよい(すなわちZ方向に移動される)。図2Aはキャリア200のドアとして動作する上面壁216を示す。例えば、壁216は、ポートドア2014に接続され、ポートドアの取り外しと同時に、ツールポート接合部分を開口するために、例えばツール内に移動されてもよい。壁216を取り外すことにより、カセット(そこに搭載される)およびその上の部品がキャリアから移動される(部品搬送機/ロボットによるアクセスのために)。再び図1を参照すると、向き合う支持体210Sを有するカセット210の構成は、カセットの2つ以上の側面(例示的な実施形態では2つの側面)上にアクセスエリア210A、210Bを提供し、部品ロボット(図2Aも参照)がカセット棚上に部品を積み込み/取り出ししてもよい。別の実施形態では、キャリアは、いかなる所望の数の部品アクセスエリアを有してもよい。アクセスエリアは、キャリアの周辺の周囲に対称に配列されてもよく、または非対称な構成で配置されてもよい。図2Aに示される例示的な実施形態では、ツールは、例えば2つ以上のアクセスエリア210A、210B内の部品Vにアクセスするために、部品ハンドリングロボット2016A、2016Bを2つ以上有してもよい。別の実施形態では、ツールは、より多いまたはより少ない部品搬送ロボットを有してもよい。カセットへの多方面ロボットアクセスにより、カセットでのロボット間の部品の手渡しを可能にしてもよい。また、部品への多方面ロボットアクセスは、キャリアがツールポートに搬送されるまたは接合される際の配向を定める。したがって、キャリア200は、ツール接合部分に対して1つ以上の配向でツール接合部分に結合されてもよい。キャリアは、ポートドアをその閉締位置に戻すことによって閉締され、これは、キャリアの壁216をシェル214に結合するように戻す。   Referring now to FIG. 2A, a carrier 200 is shown positioned at a tool port interface 2010 of a suitable processing tool. The processing tool may be any desired type, such as a classifier, stocker, or a tool capable of performing one or more processes such as material deposition, lithography, masking, etching, polishing, metrology, etc., or a process module or chamber such as a load lock. A tool having one or more tools may be used. The processing tool has a controlled atmosphere, at least in part, such that the tool interface 2010 can load / unload components between the tool and the carrier 200 without affecting the controlled atmosphere within the tool or carrier 200. You may do so. In an exemplary embodiment, the port interface 2010 generally includes a port or opening 2012 through which a substrate can be loaded into a processing tool, and a door, cover, or removable portion 2014 that closes the port. May have. In another embodiment, the removable portion may partially close the opening. In FIG. 2A, port door 2014 is shown in a closed position and an open position for illustrative purposes. In the embodiment shown in FIG. 2A, the carrier 200 may be loaded (ie, moved in the Z-direction) below the interface having the tool port 2012, as shown below. FIG. 2A shows the top wall 216 acting as a door for the carrier 200. For example, the wall 216 may be connected to the port door 2014 and, for example, moved into the tool to open the tool port interface upon removal of the port door. Removing the wall 216 removes the cassette (mounted thereon) and the components thereon from the carrier (for access by the component transporter / robot). Referring again to FIG. 1, the configuration of the cassette 210 with the opposing supports 210S provides access areas 210A, 210B on more than one side (two sides in the exemplary embodiment) of the cassette, and the component robot. (See also FIG. 2A) may load / unload components on cassette shelves. In another embodiment, the carrier may have any desired number of component access areas. The access areas may be arranged symmetrically around the periphery of the carrier or may be arranged in an asymmetric configuration. In the exemplary embodiment shown in FIG. 2A, the tool may have more than one part handling robot 2016A, 2016B, for example, to access a part V in more than one access area 210A, 210B. . In another embodiment, the tool may have more or fewer part transport robots. Multi-directional robot access to the cassette may allow handing of parts between robots in the cassette. Also, multi-directional robot access to the part defines the orientation when the carrier is transferred or joined to the tool port. Accordingly, carrier 200 may be coupled to the tool interface in one or more orientations relative to the tool interface. The carrier is closed by returning the port door to its closed position, which returns the carrier wall 216 to join the shell 214.

図2Bを参照すると、別の例示的な実施形態による、キャリア200とツールポート接合部分2010’との接合部分が示されている。本実施形態では、キャリアのシェル214は、ドアとして動作してもよい。示される実施形態では、ツールポートドア2014’は、シェルの外側の汚染物質にツールの内部が曝露されることを防ぐために、シェルの周囲を包囲し、封止するように、キャリアシェルに対してほぼ等角な形状を有していてもよい。例示的な実施形態では、キャリア200は、キャリアが搬送システムのオーバーヘッドから下げられている場合等、上側に積載されてもよい(すなわち(−)Z方向に沿って下方に移動される)。キャリア200を開口するには、キャリアからシェル214を取り外すと同時に、ポートドアを例えばツールの内部に下方((−)Z方向)に移動する。ここではキャリアドア(すなわちシェル214)は、底面上に位置し、下方移動によってキャリアを開口することから、これは、キャリアの底面開口型と称される場合がある。キャリアの開口は、壁216に留まるカセット内の部品を露出させる。本実施形態では、ロボット(図2Aのロボット2016A、2016Bと同様の)は、垂直方向に離間するカセット棚またはその中の部品にアクセスするために、Z軸における自由度を有して提供されてもよい。ロボットは、その上にマッパー(図示せず)を有してもよい。別の実施形態では、シェル216は、通過ビームマッパーにより、シェルの取り外しを受けてカセットをマッピングできるようにするような統合マッパーを有してもよい。図2A〜2Bは、上面および底面開口型であってもよいキャリア200を図示する。その他の別の実施形態では、シェルと壁の配向が反転されてもよく(壁の上面上のシェル)、キャリアは、図2Bと類似するが鏡像である上面開口型(すなわちシェルを上げる)、および図2Aと同様な方法だが、反対である底面開口型(すなわち壁を下げる)であってもよい。   Referring to FIG. 2B, a junction between the carrier 200 and the tool port junction 2010 'is shown, according to another exemplary embodiment. In this embodiment, the shell 214 of the carrier may operate as a door. In the embodiment shown, the tool port door 2014 ′ is configured to surround and seal around the shell to prevent exposure of the interior of the tool to contaminants outside the shell, such that the tool port door 2014 ′ may be positioned relative to the carrier shell. It may have a substantially conformal shape. In an exemplary embodiment, the carrier 200 may be loaded on top (i.e., moved down along the (-) Z direction), such as when the carrier is lowered from the overhead of the transport system. To open the carrier 200, at the same time as the shell 214 is removed from the carrier, the port door is moved downward (in the (-) Z direction), for example, inside the tool. This is sometimes referred to as a bottom-opening type of carrier, since the carrier door (ie, shell 214) is located on the bottom surface and opens the carrier by downward movement. The openings in the carrier expose parts in the cassette that remain on the wall 216. In this embodiment, a robot (similar to robots 2016A, 2016B of FIG. 2A) is provided with a degree of freedom in the Z-axis to access vertically spaced cassette shelves or components therein. Is also good. The robot may have a mapper (not shown) thereon. In another embodiment, shell 216 may have an integrated mapper that allows the passage beam mapper to map the cassette upon shell removal. 2A-2B illustrate a carrier 200, which may be top and bottom open. In other alternative embodiments, the orientation of the shell and wall may be reversed (shell on top of the wall), and the carrier is open top (ie, raising the shell) similar to FIG. 2A, but may be of the opposite bottom open type (ie, lower the wall).

再び図1を参照すると、前述されたように、壁216およびシェル214は、作動することによってツールまたはコンテナ内の清浄な空間を汚染する可能性がある固定具等の移動可能な要素のない受動的な構造であってもよい。例えば、壁およびシェルは、磁気で互いに固定されてもよい。例えば磁気固定具は、永久または電磁石要素226、228またはこれらの組み合わせを有してもよく、所望により、壁およびシェルを固定するために、壁216およびシェル214に置かれてもよい。磁気固定具は、例えば、可逆の要素を電荷が通過することによって、切り替えられる(すなわち、開口するまたは閉締するために)可逆の磁気要素を有してもよい。例えば、壁216は、磁気要素228(例えば鉄鋼材)を含んでもよく、シェル214は、壁とシェルを固定するために作動される磁気スイッチ要素226を含んでもよい。図2A、2Bに示される例示的な実施形態では、壁内の磁気要素およびシェル内の動作可能な磁石は、キャリアドア(壁またはシェルのいずれか、図2A〜2Bを参照)をポートドアに固定することにより、キャリアドアがキャリアの残りの部分から解放されるように、ポートドア接合部分2010、2010’内の磁気固定具2028’、2026’と連動できるように構成されてもよい。別の実施形態では、壁とシェルとの間の磁気固定具は、いかなる他の所望の構成を有してもよい。図23に示される例示的な実施形態では、キャリアは、作動ピン、圧力連結具、またはポート接合部分上の結合連結機構2030と嵌合する形状記憶装置等の機械的連結要素230を含み、キャリアをポート接合部分に連結してもよい。例示的な実施形態では、デバイスは、壁部分に位置するように示されるが、別の実施形態では、デバイスは、シェルに固定されてもよい。図24から理解され得るように、作動可能なデバイスは、取り外し可能な壁部分とポートドアとの間の封止された接合部分内に封入され、デバイスが動作することによってその中に生じる場合がある潜在的微粒子を閉じ込めてもよい。受動的なキャリアおよびキャリアドアは、真空適合型である、清浄で洗浄可能なキャリアを提供する。   Referring again to FIG. 1, as described above, the wall 216 and the shell 214 are passive without movable elements, such as fixtures, that can act to contaminate the clean space in the tool or container. Structure may be used. For example, the wall and the shell may be magnetically fixed to each other. For example, the magnetic fixture may have permanent or electromagnet elements 226, 228, or a combination thereof, and may be placed on wall 216 and shell 214, if desired, to secure the wall and shell. The magnetic fixture may include a reversible magnetic element that is switched (i.e., to open or close) by, for example, passing a charge through the reversible element. For example, wall 216 may include a magnetic element 228 (eg, a steel material) and shell 214 may include a magnetic switch element 226 that is actuated to secure the wall to the shell. In the exemplary embodiment shown in FIGS. 2A, 2B, magnetic elements in the wall and operable magnets in the shell move the carrier door (either wall or shell, see FIGS. 2A-2B) to the port door. The securing may be configured to be operable with the magnetic fasteners 2028 ', 2026' in the port door interface 2010, 2010 'so that the carrier door is released from the rest of the carrier. In another embodiment, the magnetic fixture between the wall and the shell may have any other desired configuration. In the exemplary embodiment shown in FIG. 23, the carrier includes a mechanical coupling element 230, such as a working pin, a pressure coupling, or a shape memory device that mates with a coupling coupling mechanism 2030 on the port interface, and May be connected to the port interface. In the exemplary embodiment, the device is shown as being located on a wall portion, but in other embodiments, the device may be secured to a shell. As can be seen from FIG. 24, the actuatable device is encapsulated in a sealed interface between the removable wall portion and the port door, and may be created therein by operation of the device. Certain potential particulates may be trapped. Passive carriers and carrier doors provide a clean, washable carrier that is vacuum compatible.

前述したように、キャリアドアおよび基部(すなわち壁216およびシェル224)は、キャリアチャンバ202を隔離するために封止されてもよい。また、キャリアがツールのポートと接合される場合(例えば積載ポートモジュール)、キャリアドアおよび基部のそれぞれは、キャリアドア(すなわち、図1の壁216またはシェル214)をポートドアに、およびキャリアの基部をポートにそれぞれ封止するために、封止接合部分を有してもよい。さらにポートドアは、ポートとの封止接合部分を有してもよい。   As described above, the carrier door and base (ie, wall 216 and shell 224) may be sealed to isolate carrier chamber 202. Also, when the carrier is mated with a port of a tool (eg, a loading port module), each of the carrier door and base may include the carrier door (ie, wall 216 or shell 214 of FIG. 1) at the port door and the base of the carrier. May be provided with a sealing joint in order to seal each with the port. Further, the port door may have a sealing connection with the port.

図3A〜3Cは、封止接合部分(221’:キャリアドアとキャリア、222’:キャリアとポート、223’:ポートドアとポート、および224’:ポートドアとキャリアドア)のそれぞれが、便宜上、略X構造(図3Bに最もよく見られる)と称される場合がある一体封止222’を形成する例示的な実施形態による、キャリア200と類似し、ツールポート2220に接合されている、キャリア200’を示す。示される例示的な実施形態では、キャリアの封止接合部分は、例示目的のために上面開口部に示されるが、キャリアが複数の開口部(図1に示される開口部204と類似する)(例えば、上面および底面)を有する別の実施形態では、それぞれの開口部に封止接合部分が提供されてもよい。理解され得るように、略X構造は、封止接合部分表面の略描写のためだけのものであり、別の実施形態では、封止接合部分表面は、例えば封止接合部分表面が湾曲している、いかなる適した配置であってもよい。略X形状の封止構造は、接合部分間に閉じ込められる容量が実質的にゼロ(0)である、複数の封止接合部分(例えば、221’〜222’)を画定する。したがって、いずれの封止された接合部分の開口は、汚染物質が封止接合部分の開口により開かれる空間に解放されるという結果をもたらさない。さらに、その他の別の実施形態では、封止は、いずれの所望の配向(例えば略+パターンで水平または垂直に配向されている封止接合部分)を有してもよい。例示的な実施形態では、例示目的のためにキャリア200’は、上面開口型として図示され(壁216’は、図2Aに図示される実施形態と同様に上方に持ち上げることによって開口されるドアである)、ポート2220は、底面積載(ツールポートをドックするためにリフタがキャリア220’を上方に持ち上げる)するように構成される。本実施形態では、シェル214’は、封止接合部分214I’、および一様に勾配のついた封止面221C’、222C’を有してもよい。シェル上の封止面222C’、221C’は、実質的に平坦に示されるが、別の実施形態では、表面は一様に傾斜しているが、略X形状の封止構造を生じるために、封止を向上するための包括的または排他的角度もしくはその他の形状が封止面に形成されてもよい。本実施形態では、キャリアの壁216’は、一般的に封止面221CD’および224CD’を画定するように配向される(図3Aに示される例示的な実施形態では、勾配をつけて)封止接合部分216I’を有する。図3Aに見られるように、シェルおよび壁の封止面221C’、221CD’のそれぞれは、壁およびシェルが閉締される際に、封止接合部分221’をほぼ補完的に画定する。キャリアの接合部分214’上の面221C’は、シェル上に位置する場合、壁216’にガイドを提供する略V字型を形成する(例えば図3C参照)。また、例示的な実施形態では、キャリアの封止接合部分221’のキャリアドアは、壁216’の重量が接合部分上の封止圧力を向上するように作用するように置かれてもよい。理解され得るように、本実施形態における壁216’で支持されるカセットおよび部品は、キャリアドアとキャリアの封止を助長する。図3A〜3Bに見られるように、封止面222C’および224CD’は、ポート2220およびポートドア2214上のそれぞれの封止面222P’、224PD’を補完するために配置される。図3Bは、ポート2220にドックされ、封止221’、224’が閉締されたキャリア200’を示す。封止222’、224’の閉締は、ツールおよびキャリアの内部/チャンバが汚染される可能性から、すべての露出される表面(すなわちキャリアまたはツール内側の制御されたもしくは隔離されたチャンバの外側の表面)を封止し、隔離する。図3Bに最もよく見られるように、概してX形状の封止220’は、実質的に接合部分の容量損失ゼロと称される場合がある封止を形成することから、最適な清浄度を提供する。前述したように、これは、キャリアドアまたはポートドアのいずれかが開口される際に、封止220’の封止形状が露出される外側表面を有する実質的なポケットまたは空間を生成しない(すなわち内部表面となる)ことを意味する。これは図3Cに最もよく見られるが、ポートドア2214の取り外し、したがってキャリアのドア216’の取り外しは、未封止/外部表面のいずれもキャリア/プロセスツールの内部に露出しない。   3A to 3C show each of the sealing joint portions (221 ′: carrier door and carrier, 222 ′: carrier and port, 223 ′: port door and port, and 224 ′: port door and carrier door) for convenience. A carrier similar to carrier 200 and joined to tool port 2220 according to an exemplary embodiment forming a unitary seal 222 ', sometimes referred to as a generally X configuration (best seen in FIG. 3B). 200 ′ is shown. In the exemplary embodiment shown, the sealing interface of the carrier is shown in the top opening for illustrative purposes, but the carrier may have multiple openings (similar to opening 204 shown in FIG. 1) ( In other embodiments (e.g., top and bottom surfaces), each opening may be provided with a sealing joint. As can be appreciated, the generally X structure is only for a schematic depiction of the sealing joint surface, and in another embodiment, the sealing joint surface may be curved, e.g. In any suitable arrangement. The generally X-shaped sealing structure defines a plurality of sealing joints (e.g., 221'-222 ') where the volume trapped between the joints is substantially zero (0). Thus, the opening of any sealed joint does not result in contaminants being released into the space opened by the opening of the sealed joint. Further, in other alternative embodiments, the seal may have any desired orientation (eg, a seal joint that is horizontally or vertically oriented in a substantially + pattern). In the exemplary embodiment, the carrier 200 'is shown as a top open type for exemplary purposes (the wall 216' is a door that is opened by lifting upwards as in the embodiment illustrated in FIG. 2A). A), port 2220 is configured for bottom area loading (the lifter lifts carrier 220 'up to dock the tool port). In this embodiment, the shell 214 'may have a sealing interface 214I' and uniformly sloped sealing surfaces 221C ', 222C'. Although the sealing surfaces 222C ', 221C' on the shell are shown as substantially flat, in another embodiment the surface is uniformly sloped, but in order to create a generally X-shaped sealing structure. A comprehensive or exclusive angle or other shape to improve the sealing may be formed on the sealing surface. In this embodiment, the walls 216 'of the carrier are generally oriented to define sealing surfaces 221CD' and 224CD '(in the exemplary embodiment shown in FIG. 3A, with a bevel). It has a stop joint 216I '. As can be seen in FIG. 3A, each of the shell and wall sealing surfaces 221C ', 221CD' substantially complementarily define the sealing interface 221 'when the wall and shell are closed. The surface 221C 'on the interface 214' of the carrier forms a generally V-shape that provides a guide to the wall 216 'when located on the shell (see, eg, FIG. 3C). Also, in the exemplary embodiment, the carrier door of the sealing interface 221 'of the carrier may be positioned such that the weight of the wall 216' acts to increase the sealing pressure on the interface. As can be appreciated, the cassettes and components supported by wall 216 'in this embodiment facilitate sealing of the carrier door and carrier. As seen in FIGS. 3A-3B, sealing surfaces 222C 'and 224CD' are arranged to complement respective sealing surfaces 222P ', 224PD' on port 2220 and port door 2214. FIG. 3B shows the carrier 200 'docked to the port 2220 and the seals 221', 224 'closed. The closure of the seals 222 ', 224' may reduce the risk of contaminating the interior of the tool and carrier / chamber from any exposed surfaces (ie outside the controlled or isolated chamber inside the carrier or tool). Surface) and isolated. As best seen in FIG. 3B, the generally X-shaped seal 220 ′ provides optimal cleanliness because it forms a seal that may be referred to as having substantially zero joint capacity loss. I do. As mentioned above, this does not create a substantial pocket or space with an outer surface where the sealing features of the seal 220 'are exposed when either the carrier door or the port door is opened (i.e., Internal surface). This is best seen in FIG. 3C, but the removal of the port door 2214, and thus the removal of the carrier door 216 ', does not expose any of the unsealed / external surfaces to the interior of the carrier / process tool.

図3Cに示されるように、本実施形態では、キャリアドアの上面開口により、結果としてキャリアのチャンバ202’は、壁216’で保持される、上げられたカセットの下に置かれる。キャリアのチャンバ202’は、強制空気循環システム(図示せず)を有する場合があるツールの内部と連通してもよく、これによってキャリアのチャンバ内に一般的なベンチュリ流が生じてもよい。本実施形態では、キャリアのチャンバ内の循環空気流は、上げられたカセット(壁216’からぶら下がる)上の部品の下に位置し、循環により乱された微粒子が堆積する可能性は最小である(上記部品から離れて落ち着く)。図3A〜3Cに示される例示的な実施形態では、キャリア200’は、適した持ち上げ装置LDでポート2220に接合し、ドックするために、上げられてもよい。キャリアおよび持ち上げ装置上に適した登録機構LDRが提供され、キャリアを該装置上に置き、したがってポートに関連してキャリアを置いてもよい。別の実施形態では、キャリアは、いずれかの適した方法でポートに保持されてもよい。キャリアドア216’は、磁気固定、機械的連結(例えばドア間の封止される接合部分に置かれる)またはドア間の封止される接合部分に生成される真空吸引によってポートドア2214に固定されてもよい。ポートドア2214は、所望のマッピングセンサ(図示せず)を通してカセット(図1のカセット210と類似する)をインデクシングすることができる、適したデバイスによって開口/閉締される。   As shown in FIG. 3C, in this embodiment, the top opening of the carrier door results in the carrier chamber 202 'being placed under an elevated cassette held by the wall 216'. The carrier chamber 202 'may be in communication with the interior of a tool that may have a forced air circulation system (not shown), which may create a general venturi flow within the carrier chamber. In this embodiment, the circulating air flow within the chamber of the carrier is located below the components on the raised cassette (hanging from wall 216 '), and the likelihood of deposition of circulatingly disturbed particulates is minimal. (Settle away from the above parts). In the exemplary embodiment shown in FIGS. 3A-3C, carrier 200 'may be raised to join and dock port 2220 with a suitable lifting device LD. A suitable registration mechanism LDR is provided on the carrier and the lifting device, which may place the carrier on the device and thus the carrier in relation to the port. In another embodiment, the carrier may be held at the port in any suitable manner. The carrier door 216 'is secured to the port door 2214 by magnetic securing, a mechanical connection (e.g., located at the sealed joint between the doors) or vacuum suction created at the sealed joint between the doors. May be. Port door 2214 is opened / closed by a suitable device that can index a cassette (similar to cassette 210 in FIG. 1) through a desired mapping sensor (not shown).

ここで、図4を参照すると、別の例示的な実施形態によるキャリア300が示されており、キャリア300は、概してキャリア200と類似するが反対であり、壁316の上面上にシェル314を有する。キャリア200と同様に、キャリア300は、上面開口型(シェルがドアとして動作する)または底面開口型(壁がドアとして動作する)のいずれかであってよい。示される例示的な実施形態では、キャリア300は、一体型搬送機構成要素300Mを有してもよい。例えば、キャリアのシェル(または壁)314、316は、ローラーまたは空気ベアリング、および駆動部またはモータによって駆動され得る反応部材等の搬送機起動支持体を有してもよく、これによってFAB内のキャリアを自己搬送可能(すなわち独立した搬送運搬車を使用することなく)にしてもよい。図4は、例示目的のために積載ポート3010(概して前述されたポート2010に類似する)に置かれたキャリア300を図示する。示される例示的な実施形態では、キャリア300は、ポート接合部分上に上面積載されてもよい。キャリアドア316は、ポートドア3014に合わせてまたは隣接して(接合部分を形成するように)置かれてもよく、シェル314は、ポート3012と接合してもよい。また、キャリア300とポートの接合部分は、図3Bに示される略X封止220’と類似する、3、4、または5方向“交差”型(または容量損失なし)封止を有してもよい。図4Aは、一実施形態による、封止320の断面図を示す。例示的な実施形態では、封止320は、底面開口型構造の4方向封止であってもよいが、その他の点では、封止220’と概して類似する。   Referring now to FIG. 4, a carrier 300 according to another exemplary embodiment is shown, which is generally similar but opposite to carrier 200 and has a shell 314 on the top surface of wall 316. . Like the carrier 200, the carrier 300 may be either top-open (the shell operates as a door) or bottom-open (the wall operates as a door). In the exemplary embodiment shown, the carrier 300 may have an integrated transport component 300M. For example, the shells (or walls) 314, 316 of the carrier may have rollers or air bearings and a carrier activation support such as a reaction member that can be driven by a drive or motor, thereby providing a carrier in the FAB. May be self-transportable (ie, without using an independent transport vehicle). FIG. 4 illustrates the carrier 300 placed at a loading port 3010 (similar to the port 2010 described generally above) for illustrative purposes. In the exemplary embodiment shown, the carrier 300 may be overloaded on the port interface. The carrier door 316 may be placed next to or adjacent to the port door 3014 (to form an interface), and the shell 314 may interface with the port 3012. Also, the interface between the carrier 300 and the port may have a three-, four-, or five-way “crossing” type (or no capacity loss) seal similar to the generally X seal 220 ′ shown in FIG. 3B. Good. FIG. 4A illustrates a cross-sectional view of a seal 320, according to one embodiment. In an exemplary embodiment, the seal 320 may be a four-way seal with a bottom-open structure, but is otherwise generally similar to the seal 220 '.

図4Bは、別の例示的な実施形態による、キャリアとポートとの間の接合部分の別の断面、およびその間の封止を示す。本実施形態では、封止320’は、実質的に封止320と類似する。図4Bは、シェルの接合部分314I’が支持フランジ/機構326’、328’を有し得ることをさらに示す。本実施形態では、フランジ326’は、壁316’を操作してもよく、例えば、フランジがキャリアドアの一部分に重なり合い(示される実施形態では、機構はドア接触面を画定するが、別の実施形態では、機構はドアと接触しなくてもよい)、キャリアドアが閉締される場合に壁316’をシェル314’に保持するための磁気固定具326M’を位置付けてもよい。さらに、機構326’は、ポートドア3014内の磁気固定具3040’に重なり合ってもよい。ポートドア内の磁気固定具3040’は、キャリアドアを取り外すために、壁316’をポートドア3014’に固定するように動作してもよい。キャリアのシェルの機構326’を置くことによって、ポートドア固定具3040’(壁316’をポートドアに固定する)を有効化され、例えばほぼ同時に壁316’のシェル314’への固定を、固定解除/無効化してもよい。反対に、ポートドア3014’の閉締を受けて、ポートドア固定具3040’の固定解除/無効化により、壁316’とシェル314’との間の磁気ラッチ326M’が固定されるようにしてもよい。例示的な実施形態では、シェル上の外部機構328’は、置かれる際に、キャリアを位置付けるためにポート3010’の位置付け/センタリング機構3012C’と嵌合してもよい。図4Bに図示される外部機構328’の形状は、例示に過ぎず、別の実施形態では、キャリアは、いかなる所望の位置付け機構を有してもよい。前述されたように、封止320’のX構造は、封止接合部分のパージ容量は実質的にゼロであるため、キャリアドアを開口する前に封止接合部分をパージしなくてもよい。別の実施形態(例えば図4Bを参照)では、ポートは、パージライン3010Aを含んでもよい。パージライン3010Aは、いかなる封止接合部分の上またはその間にあってもよい。図4Cは、別の例示的な実施形態による、キャリアとツールポートの接合部分の別の断面を示す。キャリアとポートの接合部分は、前述された封止320と概して類似する封止320’’を有する。本実施形態では、キャリアのシェル314’’は、ポートドア3014’’を積載することなくキャリア300’’をポート上に置く(すなわち、キャリアの重量をポートドア3014’’上に分散することなく、キャリア300’’をポート上に支持する)ために、キャリアドア(壁)316’’を有する支持体328’’を有してもよい。ポートドアでのキャリアドア封止321’’との封止接触は、キャリアドアを開口および閉締する際、依然としてほぼ一定である。   FIG. 4B illustrates another cross-section of the interface between the carrier and the port, and a seal therebetween, according to another exemplary embodiment. In this embodiment, the seal 320 'is substantially similar to the seal 320. FIG. 4B further illustrates that the shell interface 314I 'can have support flanges / features 326', 328 '. In this embodiment, the flange 326 'may manipulate the wall 316', for example, where the flange overlaps a portion of the carrier door (in the embodiment shown, the mechanism defines a door contact surface, but another implementation). In a form, the mechanism may not contact the door), and may position a magnetic fixture 326M 'to hold the wall 316' to the shell 314 'when the carrier door is closed. Further, mechanism 326 'may overlap magnetic fixture 3040' in port door 3014. The magnetic fixture 3040 'in the port door may operate to secure the wall 316' to the port door 3014 'to remove the carrier door. By placing the carrier shell mechanism 326 ', the port door fixture 3040' (which secures the wall 316 'to the port door) is activated, for example, securing the wall 316' to the shell 314 'almost simultaneously. It may be canceled / disabled. Conversely, upon closure of the port door 3014 ', release / inactivation of the port door fixture 3040' secures the magnetic latch 326M 'between the wall 316' and the shell 314 '. Is also good. In an exemplary embodiment, the external feature 328 'on the shell may mate with the positioning / centering feature 3012C' of the port 3010 'to position the carrier when placed. The shape of the external feature 328 'illustrated in FIG. 4B is merely exemplary, and in other embodiments, the carrier may have any desired positioning features. As described above, the X configuration of the seal 320 'does not require purging the seal joint before opening the carrier door because the purge capacity of the seal joint is substantially zero. In another embodiment (eg, see FIG. 4B), the port may include a purge line 3010A. Purge line 3010A may be on or between any sealing joints. FIG. 4C illustrates another cross-section of the interface between the carrier and the tool port, according to another exemplary embodiment. The carrier-port interface has a seal 320 ″ that is generally similar to the seal 320 described above. In this embodiment, the carrier shell 314 "places the carrier 300" on the port without loading the port door 3014 "(i.e., without distributing the carrier weight on the port door 3014"). To support the carrier 300 '' on the port), a support 328 '' having a carrier door (wall) 316 '' may be provided. The sealing contact with the carrier door seal 321 '' at the port door is still substantially constant when opening and closing the carrier door.

図5A〜5Cは、別の例示的な実施形態による、ツールポートと結合された、キャリア300と類似するキャリア300Aを図示する。本実施形態ではキャリア300Aは、上面開口型であり、底面積載されてもよい(図5Aの矢印+zで示される方向)。キャリアのシェル316Aは、キャリアドアとして動作してもよい。図5Bに最もよく見られる封止接合部分320Aは、3方向封止(パージまたは損失容量がほぼゼロであり、前述された封止320、220と類似する)と称される場合がある略Y構造(壁とシェルの接合部分321A、壁とポートの接合部分322A、ポート3012Aとポートドア3014Aの接合部分323A)である。本実施形態では、ポートドア3014Aは、シェル316Aに対してほぼ等角であってもよい。例えば、シェル316Aは、ポートドア3014Aに取り付けられてもよい。例示的な実施形態では、シェル316Aおよびポートドア3014Aは、その間の接合部分の容量が最小化されるように嵌め込まれ、置かれる。封止(図示せず)は、間の接合部分を封止するために、シェル316Aとポートドアとの間に提供されてもよい。図5Bに見られるように、本実施形態では3014Aであるポートドアは、ポートドアとキャリアドアの接合部分容量をパージするために真空ポート3010Vを有してもよい。   5A-5C illustrate a carrier 300A, similar to carrier 300, coupled with a tool port, according to another example embodiment. In the present embodiment, the carrier 300A is an open top type, and may be mounted on the bottom area (in the direction indicated by the arrow + z in FIG. 5A). The carrier shell 316A may operate as a carrier door. The seal joint 320A, most commonly seen in FIG. 5B, is generally Y, which may be referred to as a three-way seal (with substantially zero purge or loss capacity and similar to seals 320, 220 described above). It is a structure (joint part 321A of a wall and a shell, joint part 322A of a wall and a port, joint part 323A of a port 3012A and a port door 3014A). In this embodiment, port door 3014A may be substantially equiangular with shell 316A. For example, shell 316A may be attached to port door 3014A. In the exemplary embodiment, shell 316A and port door 3014A are fitted and laid such that the volume of the interface therebetween is minimized. A seal (not shown) may be provided between shell 316A and the port door to seal the interface therebetween. As seen in FIG. 5B, the port door, which in this embodiment is 3014A, may have a vacuum port 3010V to purge the port and carrier door interface volume.

再び図2A〜2Bを参照すると、さらに他の例示的構成による、キャリアとポートの接合部分が示されている。接合部分220、220’は、図2A、2Bに示される例示的な実施形態とほぼ類似する(それぞれ、底面積載/上面開口型、上面積載/底面開口型)。封止接合部分220、220’は略「交差」またはX構造(壁216とシェル214の接合部分221、シェル214とポートの接合部分222、ポート2012とポートドア2014の接合部分223、およびポートドアと壁216の接合部分224)を有する4方向封止であってもよい。図2Aに見られるように、本実施形態では、封止接合部分222、224は、接合面の相対運動(キャリアを積載中、およびポートドアの閉締中)に対してほぼ平行な方向に(例えば垂直に)置かれてもよい。すなわち、キャリアまたはキャリアドアの閉締位置への移動は、封止閉締を生成しない。本実施形態では、封止接合部分222、224を形成する面の1つ以上は、封止接合部分で実質的な摩擦接触なく封止セクションを作動し、封止接合部を閉締するために、例えば、可膨張式封止、圧力作動封止、または形状記憶部材等の作動可能な封止とともに提供されてもよい。記載される封止構造は、例示に過ぎない。   Referring again to FIGS. 2A-2B, a carrier-port interface is shown according to yet another exemplary configuration. The joining portions 220, 220 'are substantially similar to the exemplary embodiments shown in FIGS. 2A, 2B (bottom area mounting / top opening, top area mounting / bottom opening, respectively). The sealing joints 220, 220 'are generally "intersecting" or X-shaped (joint 221 between wall 216 and shell 214, joint 222 between shell 214 and port, joint 223 between port 2012 and port door 2014, and port door And a four-way seal having a joint 224) between the wall 216 and the wall 216. As can be seen in FIG. 2A, in this embodiment, the sealing joints 222, 224 are in a direction substantially parallel to the relative movement of the mating surfaces (loading the carrier and closing the port door) ( (For example, vertically). That is, movement of the carrier or carrier door to the closed position does not create a sealed closure. In this embodiment, one or more of the surfaces forming the sealing joints 222, 224 are to actuate the sealing section without substantial frictional contact at the sealing joints and to close the sealing joints. For example, it may be provided with an operable seal, such as an inflatable seal, a pressure actuated seal, or a shape memory member. The sealing structures described are merely exemplary.

再び図1を参照すると、キャリアのシェル214は、キャリアをハンドリングするために外部支持体240を有してもよい。支持体240は、例えばハンドルとして示されるが、いかなる適した形状を有してもよい。例示的な実施形態では、支持体240は、キャリアのハンドリング安定性を最適化するために、所望するだけ離れたシェルの反対側に設置されてもよい。別の実施形態では、より多い、またはより少ない支持体が提供されてもよい。ここで、図6Aを参照すると、キャリアのシェル220Aは、シェルの底面に隣接して位置するせん孔したまたは陥凹した部材、薄膜もしくはフィルタ260Aを有して示されている。部材中のせん孔または陥凹部は、キャリアドアが開口される際にシェル内に引き起こされるベンチュリまたは渦流の強度を軽減するまたは低減するような寸法および形状である。別の実施形態では、ベンチュリまたは渦流の軽減要素は、キャリア内のいかなる他の適した場所に設置されてもよい。キャリア200Aは、例示目的のために、底面上にシェルを有して示されるが、別の実施形態では、キャリアは上面上にあってもよい。実質的に滑らか/層流を部品上に維持することを助長するために、さらなる流れ矯正空間および/またはベーン(図示せず)がツールの内部に提供されてもよい。図6Bは、別の例示的な実施形態によるキャリア200Bを示す。キャリア200Bは、チャンバ内の部品を異なる温度、次いで周囲温度に維持するために、熱調整器250を有してもよい。例えば、キャリアのシェルまたは壁214B、216Bは、部品を周囲より高い温度に加熱する/上昇するために、例えばカセット支持体を介して熱的に部品に接続される熱電モジュールを有してもよい。周囲より高い部品温度は、粒子を駆動し、熱泳動によって部品から水分子が離れ、部品がキャリアの外にある場合、またはキャリアドアが開口されている場合の汚染を防ぐ。別の実施形態では、マイクロ波エネルギー等のいずれかの他の所望の熱調整器が使用されてもよい。その他の別の実施形態では、水分子および微粒子による汚染を防ぐために、それぞれの部品の周りに静電界を生成してもよい。   Referring again to FIG. 1, the shell 214 of the carrier may have an external support 240 for handling the carrier. The support 240 is shown, for example, as a handle, but may have any suitable shape. In an exemplary embodiment, the support 240 may be located on the opposite side of the shell as far away as desired to optimize the handling stability of the carrier. In another embodiment, more or less supports may be provided. Referring now to FIG. 6A, a carrier shell 220A is shown having a perforated or recessed member, membrane or filter 260A located adjacent to the bottom surface of the shell. The perforations or recesses in the member are sized and shaped to reduce or reduce the intensity of the venturi or vortex created in the shell when the carrier door is opened. In another embodiment, the venturi or vortex mitigation element may be located at any other suitable location within the carrier. Although carrier 200A is shown with a shell on the bottom surface for illustrative purposes, in other embodiments, the carrier may be on the top surface. Additional flow correction spaces and / or vanes (not shown) may be provided inside the tool to help maintain a substantially smooth / laminar flow over the part. FIG. 6B shows a carrier 200B according to another exemplary embodiment. The carrier 200B may have a thermal conditioner 250 to maintain the components in the chamber at different temperatures and then at ambient temperature. For example, the carrier shell or wall 214B, 216B may have a thermoelectric module that is thermally connected to the component, for example, via a cassette support, to heat / rise the component to a higher temperature than ambient. . The part temperature higher than ambient drives the particles and the thermophoresis dislodges water molecules from the part, preventing contamination when the part is outside the carrier or when the carrier door is opened. In other embodiments, any other desired thermal conditioner, such as microwave energy, may be used. In other alternative embodiments, an electrostatic field may be created around each component to prevent contamination by water molecules and particulates.

ここで、図1A〜1Bを参照すると、例示的な実施形態では、カセット210(図1も参照)には、棚に360°の陽性保持で部品を支持するために、棚210Vが取り付けられていてもよい。それぞれの棚210Vは、1つ以上の棚席または支持体210Cによって形成されてもよい。図1Aに見られるように、例示的な実施形態では、カセット棚支持体210Cは、支持体が部品をほぼまたぐように設置されてもよい。それぞれの棚210Vは、棚に置かれた部品に対する周囲制限を形成するために、膨らんだ表面を有してもよい。膨らんだ表面には、部品Sを置くための位置付けガイド210Lを形成するために、傾いていてもよい(垂直線に対して)。棚210Vの部品が置かれる表面に傾斜をつけ(部品の底面に対、部品の底面に対して例えば約1°の傾斜角を形成するように)、例えば周囲除外領域内で部品の底面と確実に接触するようにしてもよい。別の実施形態では、部品棚は、受動的な部品抑制を画定するいかなる適した構成を有してもよい。その他の別の実施形態では、棚は、受動的な部品抑制を有さなくてもよい。   Referring now to FIGS. 1A-1B, in an exemplary embodiment, the cassette 210 (see also FIG. 1) is provided with a shelf 210V for supporting components with a 360 ° positive hold on the shelf. You may. Each shelf 210V may be formed by one or more shelf seats or supports 210C. As seen in FIG. 1A, in an exemplary embodiment, the cassette shelf support 210C may be mounted such that the support substantially straddles the component. Each shelf 210V may have a bulged surface to create a perimeter for components placed on the shelf. The bulging surface may be inclined (relative to the vertical) to form a positioning guide 210L for placing the part S. The surface on which the components of the shelf 210V are placed is sloped (to form a tilt angle of, for example, about 1 ° with respect to the bottom surface of the component, relative to the bottom surface of the component), for example to ensure that the bottom surface of the component is within the peripheral exclusion zone May be contacted. In another embodiment, the component shelf may have any suitable configuration that defines passive component suppression. In other alternative embodiments, the shelves may not have passive component restraint.

ここで、図7A〜7Bを参照すると、別の例示的な実施形態による、図1に示されるキャリア200と類似する、閉締および開口位置にあるキャリア200Cがそれぞれ示されている。本実施形態では、カセット210Bは、高さを変えることができる。キャリア200Bが閉締している場合、カセット210Bは、最小の高さであってもよく、キャリアドア(例えば壁216B)が開口している場合、カセットは最大の高さまで伸張されてもよい。カセットが最小の高さから最大の高さに伸張する場合、カセットの部品/棚間の傾斜が増加し、したがってアクセスされる場合のキャリアの高さが最小となり、部品間の空間が最大となるようにすることができる。本実施形態では、カセットの支持体210SBは、略ベローズ構造を有してもよい。支持体は、例えばアルミニウムシート、またはいずれかの他の適した材料(例えば形状記憶材料)から作製されてもよく、連接接合部なく、十分な柔軟性を与える。示されるように、カセットの支持体は、キャリアの壁216Bの上面で支持されてもよい。キャリアの上面開口(図7Bに示されるように壁216Bを取り外す)または底面開口(図2Bに示されるものと同様にシェル214Bを取り外す)は、カセット(ベローズ)支持体210SBを重力下で拡大する。カセットのベローズは、キャリアドアを閉締することによって圧縮される。図7Cに見られるように、ベローズ210SBは、上に部品が乗る部品支持体210VBを有してもよい。例示的な実施形態では、部品支持体210VBは、ベローズが拡大する/潰れる際に、ベローズの隣接部分210PBに対してほぼ一定の半径位置に留まる(したがって部品と部品席との間の相対的半径が移動するのを避ける)ように、成型されてもよい。理解され得るように、ベローズカセットは、カセット内の部品がベローズの隣接するプリーツセクション210PB間に能動的にクランプされるように、潰されてもよい。理解され得るように、上側クランプ部分は、部品の周辺端部に沿って部品と接触してもよい。図7Bに見られるように、例示的な実施形態では、カセットが伸張される場合に部品Sの位置を判断するために、通過ビームマッパー2060B、もしくはツールまたはキャリア内の他の適したデバイスが提供されてもよい。また部品ロボット(図示せず)は、部品を掴むための適切な位置決めを保証するために、部品の近接を検出するためのセンサを有してもよい。   Referring now to FIGS. 7A-7B, a carrier 200C is shown in a closed and open position, respectively, similar to the carrier 200 shown in FIG. 1, according to another exemplary embodiment. In the present embodiment, the height of the cassette 210B can be changed. If the carrier 200B is closed, the cassette 210B may be at a minimum height, and if the carrier door (eg, wall 216B) is open, the cassette may be extended to a maximum height. When the cassette extends from a minimum height to a maximum height, the slope between the parts / shelf of the cassette increases, thus minimizing the carrier height when accessed and maximizing the space between the parts. You can do so. In the present embodiment, the support 210SB of the cassette may have a substantially bellows structure. The support may be made, for example, from an aluminum sheet, or any other suitable material (eg, a shape memory material) and provide sufficient flexibility without articulating joints. As shown, the support of the cassette may be supported on the top surface of the wall 216B of the carrier. The top opening of the carrier (removing the wall 216B as shown in FIG. 7B) or the bottom opening (removing the shell 214B as shown in FIG. 2B) expands the cassette (bellows) support 210SB under gravity. . The bellows of the cassette is compressed by closing the carrier door. As seen in FIG. 7C, bellows 210SB may have a component support 210VB on which the components rest. In the exemplary embodiment, the component support 210VB remains at a substantially constant radial position relative to the adjacent portion 210PB of the bellows as the bellows expands / collapses (thus the relative radius between the component and the component seat). To avoid moving). As can be appreciated, the bellows cassette may be collapsed such that components within the cassette are actively clamped between adjacent pleated sections 210PB of the bellows. As can be appreciated, the upper clamp portion may contact the component along a peripheral edge of the component. As seen in FIG. 7B, in an exemplary embodiment, a passing beam mapper 2060B or other suitable device in a tool or carrier is provided to determine the position of the part S when the cassette is extended. May be done. The part robot (not shown) may also have a sensor for detecting the proximity of the part to ensure proper positioning for gripping the part.

前述したように、受動的なキャリアドアおよび封止を有するキャリアは、ロードロック等の真空可能チャンバへの直接接合に適している。図8は、別の例示的な実施形態による、真空可能チャンバ(簡便のためにロードロックと称される)400のポートの接合部分4010に直接結合されるキャリア200’(上面開口型)を示す。図8に示されるキャリア200’は、前述されたキャリア200、300と概して類似する。例示的な実施形態では、ロードロックは、ポートドア4014を開口/閉締し、したがってキャリアドア(本実施形態では上面壁216’)を開口/閉締し、カセット210’を上げる/下げるように動作するインデクサ410を有する。例示的な実施形態では、インデクサ410は、Z方向高さが低いまたは最小のロードロックチャンバを提供するように構成されてもよい。例えば、インデクサ410は、ロードロックチャンバ400Cの外側に置かれ、チャンバおよびロードロックの全体の高さを減少するようにロードロックチャンバに沿って配置されてもよい。例示的な実施形態では、インデクサ410は、駆動セクション412および連結セクション414を有してもよい。示される実施形態では、駆動セクション412は、例えばシャトル416を上げる/下げるためのモータ駆動ベルトまたはネジ駆動を有する電気機械駆動システムを有してもよい。例示的な実施形態では、連結セクション414は、駆動セクション上のシャトル416をポートドア4014に連結する磁気連結具であってもよい。ポートドアは、例えば磁石(永久または電磁石)またはその上に位置する磁性体であってもよく、磁気連結具414の内側部分414Iを形成する。また、ドア4014の磁石部分414Iは、ポートドアをポートフレーム4012に固定してもよい。例えば、ポートフレーム4012は、ポートドア上の磁石部分/磁石414Iとともに動作し、ドアが閉締位置にある場合にドアとポートを固定するように配置される適した磁石(図2Bの磁石2028’と類似する)を有してもよい。例示的な実施形態では、ポートフレーム内の磁気固定要素は、ドア4014上の磁気連結部分414Iとともに動作してもよい。別の実施形態では、ドアと駆動部との間の磁気連結具およびドアとフレームとの間の磁気固定具は、任意の適した構成を有してもよい。図8に見られるように、チャンバの壁400Wは、駆動セクション412をチャンバ400Cの内側から隔離する。その他の例示的な実施形態(図18〜19も参照)では、駆動セクション412’は、ポートドア4014’の反応部分414I’で動作し、ポートドアを移動するリニアモータ(例えば線形誘導モータ、LIM)であってもよい。LIMは、チャンバの壁の外側に位置し、チャンバの内側から隔離されてもよい。図18〜19に示される例示的な実施形態では、駆動部は、チャンバへの電力が停止した場合に、ポートドア4014’を開口位置に保持するためのフェ−ルセーフ固定具を形成する磁性体セクション4122’、または永久磁石を含んでもよい。別の実施形態では、ポートドアを閉締位置に下げるための所望の制御を可能にするために、適した緩衝装置が駆動部に接続されてもよい。図8および18〜19から理解され得るように、例示的な実施形態では、ポートドアとポートフレームとの間の封止は、ドアの重量が接合部分の封止を助長するように位置される。   As mentioned above, a carrier with a passive carrier door and seal is suitable for direct bonding to a vacuum enabled chamber such as a load lock. FIG. 8 illustrates a carrier 200 ′ (top open type) that is directly coupled to a port interface 4010 of a vacuum enabled chamber (referred to for convenience as a load lock) 400 according to another exemplary embodiment. . The carrier 200 'shown in FIG. 8 is generally similar to the carriers 200, 300 described above. In an exemplary embodiment, the load lock opens / closes the port door 4014 and thus opens / closes the carrier door (in this embodiment, top wall 216 ') and raises / lowers the cassette 210'. It has an indexer 410 that operates. In an exemplary embodiment, the indexer 410 may be configured to provide a low or minimum load lock chamber in the Z direction. For example, the indexer 410 may be located outside the load lock chamber 400C and positioned along the load lock chamber to reduce the overall height of the chamber and the load lock. In the exemplary embodiment, indexer 410 may have drive section 412 and coupling section 414. In the embodiment shown, the drive section 412 may include an electromechanical drive system having a motor drive belt or screw drive for raising / lowering the shuttle 416, for example. In an exemplary embodiment, coupling section 414 may be a magnetic coupling that connects shuttle 416 on the drive section to port door 4014. The port door may be, for example, a magnet (permanent or electromagnet) or a magnetic body located thereon and forms the inner portion 414I of the magnetic coupling 414. The magnet portion 414I of the door 4014 may fix the port door to the port frame 4012. For example, the port frame 4012 operates with a magnet portion / magnet 414I on the port door and a suitable magnet (magnet 2028 ′ of FIG. 2B) positioned to secure the door and port when the door is in the closed position. And similar). In the exemplary embodiment, a magnetic locking element in the port frame may operate with the magnetic coupling portion 414I on the door 4014. In another embodiment, the magnetic coupling between the door and the drive and the magnetic fixture between the door and the frame may have any suitable configuration. As seen in FIG. 8, chamber wall 400W isolates drive section 412 from inside chamber 400C. In other exemplary embodiments (see also FIGS. 18-19), the drive section 412 ′ operates on the responsive portion 414I ′ of the port door 4014 ′ and moves a linear motor (eg, a linear induction motor, LIM) to move the port door. ). The LIM may be located outside the chamber wall and isolated from the inside of the chamber. In the exemplary embodiment shown in FIGS. 18-19, the drive is a magnetic material that forms a fail-safe fixture to hold the port door 4014 'in the open position when power to the chamber is turned off. Section 4122 ', or may include a permanent magnet. In another embodiment, a suitable shock absorber may be connected to the drive to allow the desired control for lowering the port door to the closed position. As can be seen from FIGS. 8 and 18-19, in an exemplary embodiment, the seal between the port door and the port frame is positioned such that the weight of the door facilitates the sealing of the interface. .

また、図8に示される例示的な実施形態では、磁気連結具のそれぞれのセクション414Iは、ポートドア4014およびキャリアドア216’を互いに固定してもよい。例えば、キャリアドアは、ポートおよびキャリアドアを互いに固定するように作動される際に、連結セクション414I(例えば可変磁場を有する電磁石、または磁石を含んでもよい)と連動するように置かれる、適した磁石(例えば永久磁石)または磁性体228’を含んでもよい。例示的な実施形態では、ポートドアの運動は、同様にチャンバから隔離されるガイドによって誘導されてもよい。例えば、示される実施形態では、ベローズ400Bは、ポートドアとチャンバの壁を接続し、ポートドアの移動ガイド4006をチャンバから隔離する。本実施形態では、一般的にガイドは、テレスコーピングセクションを有する。テレスコーピングガイドは、例示目的のために中空円筒型テレスコーピングセクションから作製されるように示されるが、別の実施形態では、任意の適した構成を有してもよい。その他の別の実施形態では、インデクサは、その他のあらゆる所望の構成を有してもよい。例えば、ポートドアへの機械的誘導なく、ポートドアの制御移動を可能にする、参照することによりその全体が本明細書に組み込まれる2003年7月22日に出願された米国特許出願第10/624、987号に開示されるもの等、適したインデクシングモータがチャンバの壁内に位置してもよいが、チャンバの内側から隔離される。ベローズ400Bは、ポートドアの閉締を助長するために、加圧型であってもよい。また、ベローズは、ポートドアに接続される真空ライン、および電力/信号ライン等のアンビリカルシステムを収容してもよい。例示的な実施形態では、ポートドアは、以下にさらに記載されるように、チャンバのポンプダウンポートを形成する真空源に接続されるポートPD10を有してもよい。   Also, in the exemplary embodiment shown in FIG. 8, each section 414I of the magnetic coupler may secure the port door 4014 and the carrier door 216 'together. For example, a suitable carrier door is positioned to engage with the coupling section 414I (eg, which may include an electromagnet having a variable magnetic field, or a magnet) when actuated to secure the port and the carrier door to each other. It may include a magnet (eg, a permanent magnet) or a magnetic body 228 '. In an exemplary embodiment, the movement of the port door may be guided by a guide that is also isolated from the chamber. For example, in the illustrated embodiment, the bellows 400B connects the port door to the chamber wall and isolates the port door travel guide 4006 from the chamber. In this embodiment, the guide generally has a telescoping section. The telescoping guide is shown as being made from a hollow cylindrical telescoping section for illustrative purposes, but in other embodiments may have any suitable configuration. In other alternative embodiments, the indexer may have any other desired configuration. For example, US patent application Ser. No. 10/10, filed Jul. 22, 2003, which allows controlled movement of a port door without mechanical guidance to the port door, which is incorporated herein by reference in its entirety. A suitable indexing motor, such as that disclosed in U.S. Pat. No. 624,987, may be located within the chamber wall, but is isolated from the inside of the chamber. Bellows 400B may be pressurized to help close the port door. The bellows may also house umbilical systems such as vacuum lines connected to port doors and power / signal lines. In an exemplary embodiment, the port door may have a port PD10 connected to a vacuum source forming a pump down port of the chamber, as described further below.

ここで、図9を参照すると、別の例示的な実施形態による、真空チャンバ400’上のキャリア300’が示されている。示される例示的な実施形態では、キャリア300’は、底面開口型キャリア(例えば前述されたキャリア300と類似する、図3も参照)であってもよい。例示的な実施形態では、ポートドア4014’は、開口される際、チャンバ内に下げられてもよい。インデクサ(図示せず)は、図8、18〜19に示されるものと類似するが、ポートドアを下方に移動するように配置される。チャンバおよびポートドアは、閉締位置にあるドアをチャンバフレームに固定するために、磁気固定具4028’、4026’を有してもよい。例示的な実施形態では、ポートフレームは、1つ以上のコイル要素4028’(磁気固定具のフレームの側部と称される場合があるものを画定する)を有してもよい。コイル要素4028’は、所望の位置に置いてもよく、ドア固定具構成要素4026’上で作用する磁場を生成してもよい。ドア上の磁気固定具構成要素4026’は永久磁石であっても磁性体であってもよい。例示的な実施形態では、コイル要素4028’は、例示目的のためにチャンバ内に位置するように示される。別の実施形態では、コイル要素は、外側に位置してもよい。チャンバの壁は、チャンバの内側から隔離される。コイル要素は、フレームに対して固定または静止されてもよい。磁場強度は、磁気固定具内の磁力を低減し、ポートドアの移動を容易にするために、所望により低減されてもよい。別の実施形態では、コイル要素は、可動式であってもよく、例えば駆動システムのシャトルに搭載され、ポートドアとインデクサとの間の磁気連結具の一部を形成してもよい。別の実施形態では、磁気固定具は、前述されたキャリアドアとキャリアを固定するためのものと類似していてもよい。また、フレームに磁気固定するポートドア4014’上の永久磁石または磁性体4026’は、図8に示されるものと同様にインデクサとの連結具を提供してもよい。また、図9に示される実施形態のチャンバも、図8に示されるものと類似するベローズおよびポートドアガイドを有してもよい。ベローズは、特にキャリアドアおよびカセットがポートドア上に置かれる場合、ポートドアの持ち上げを助長し、閉締位置に維持するために、加圧型であってもよい。別の実施形態では、チャンバは、中にポートドアガイドのないベローズを有してもよい。真空器は、ポートドアとキャリアドアの接合部分を通してチャンバをポンプダウンするように、ポートドアに接続されてもよい。したがって、図8に示される例示的な実施形態のように、例示的な実施形態では、チャンバポンプダウンポートは、ポートドア内に置かれてもよい。   Referring now to FIG. 9, a carrier 300 'on a vacuum chamber 400' is shown, according to another exemplary embodiment. In the exemplary embodiment shown, carrier 300 'may be a bottom-opened carrier (e.g., similar to carrier 300 described above, see also FIG. 3). In an exemplary embodiment, port door 4014 'may be lowered into the chamber when opened. The indexer (not shown) is similar to that shown in FIGS. 8, 18-19, but is arranged to move down the port door. The chamber and port doors may have magnetic fixtures 4028 ', 4026' to secure the door in the closed position to the chamber frame. In an exemplary embodiment, the port frame may have one or more coil elements 4028 '(defining what may be referred to as the sides of the frame of the magnetic fixture). The coil element 4028 'may be placed in a desired location and may generate a magnetic field acting on the door fixture component 4026'. The magnetic fixture component 4026 'on the door can be a permanent magnet or a magnetic material. In the exemplary embodiment, coil element 4028 'is shown positioned within the chamber for illustrative purposes. In another embodiment, the coil elements may be located on the outside. The walls of the chamber are isolated from the inside of the chamber. The coil element may be fixed or stationary with respect to the frame. The magnetic field strength may be reduced as desired to reduce magnetic forces in the magnetic fixture and facilitate movement of the port door. In another embodiment, the coil element may be movable, for example, mounted on a shuttle of the drive system and may form part of a magnetic coupling between the port door and the indexer. In another embodiment, the magnetic fixture may be similar to those described above for securing the carrier door and carrier. Also, a permanent magnet or magnetic body 4026 'on the port door 4014' that magnetically secures to the frame may provide a connection to the indexer, similar to that shown in FIG. Also, the chamber of the embodiment shown in FIG. 9 may have bellows and port door guides similar to those shown in FIG. The bellows may be pressurized to assist in lifting the port door and maintain it in a closed position, especially when the carrier door and cassette are placed on the port door. In another embodiment, the chamber may have a bellows without a port door guide therein. A vacuum may be connected to the port door to pump down the chamber through the interface between the port door and the carrier door. Thus, in an exemplary embodiment, such as the exemplary embodiment shown in FIG. 8, the chamber pump down port may be located in a port door.

再び図8を参照すると、例示的な実施形態では、ロードロックチャンバのポンプダウンは、例えばチャンバポートに接合されるキャリアおよびインデクサ410によって閉締位置から移動されるポートドアとともに機能してもよい。図8から理解され得るように、例示的な実施形態では、ポートドア内の真空ポートPD10を介するロードロックチャンバのポンプダウンは、キャリアドア216’とポートドア4014の接合部分を通過してもよい。キャリアドアとポートドアの接合部分を通るチャンバ/キャリアガスの吸引流は、接合部分に負の圧力を生成し、汚染物質がチャンバ内に不慮に逃げ込むのを防ぐ。図10は、別の例示的な実施形態による、ポートドア5014を通るロードロックチャンバのポンプダウンを図示する。本実施形態では、ポートドアとキャリアドアの空間5430、およびキャリアのチャンバ202のパージは、ロードロックチャンバのポンプダウンの前に行われてもよい。例えば、パージガスは、真空を適用し、ポートドアとポートの封止5223に亀裂を入れる(または適した弁調整)ことによって、空間5430に導入されてもよい。キャリア200は、キャリアドア216に亀裂を入れてロードロックチャンバ5400のガスがキャリアに入れるようにすることによって、またはこの場合もやはり適した弁調整によって、パージされてもよい。例えば、所望のガス種をキャリア200に導入するために、チャンバ(図10のファントム内に示される)からのガス供給がキャリアに提供されてもよい。ポートドアおよびキャリアドアが開口位置に移動されたロードロックチャンバ5400およびキャリア200を図示する図10Aに見られるように、ロードロックチャンバ5400は、ロードロックチャンバを通気するために、所望によりロードロックの壁に配置される通気口(またはガス種供給)5440を有してもよい。したがって、例示的な実施形態では、パージラインは、パージングに使用されてもよく、チャンバの通気は、キャリアドアとポートドアの接合部分から独立して実行されてもよい。   Referring again to FIG. 8, in an exemplary embodiment, the pump-down of the load lock chamber may function with a carrier joined to the chamber port and a port door moved from a closed position by an indexer 410, for example. As can be seen from FIG. 8, in an exemplary embodiment, pumpdown of the load lock chamber via the vacuum port PD10 in the port door may pass through the interface between the carrier door 216 'and the port door 4014. . The suction flow of the chamber / carrier gas through the interface between the carrier door and the port door creates a negative pressure at the interface and prevents contaminants from accidentally escaping into the chamber. FIG. 10 illustrates a pump-down of a load lock chamber through a port door 5014 according to another exemplary embodiment. In this embodiment, the purging of the port door and carrier door space 5430 and the carrier chamber 202 may be performed before the pump down of the load lock chamber. For example, a purge gas may be introduced into the space 5430 by applying a vacuum and cracking (or suitable valve adjustment) the port door and port seal 5223. The carrier 200 may be purged by cracking the carrier door 216 to allow gas in the load lock chamber 5400 to enter the carrier, or, again, by suitable valving. For example, a gas supply from a chamber (shown in the phantom of FIG. 10) may be provided to the carrier to introduce a desired gas species into the carrier 200. As seen in FIG. 10A, which illustrates the load lock chamber 5400 and the carrier 200 with the port door and carrier door moved to the open position, the load lock chamber 5400 may optionally be loaded with a load lock to vent the load lock chamber. There may be a vent (or gas species supply) 5440 located in the wall. Thus, in an exemplary embodiment, the purge line may be used for purging and the venting of the chamber may be performed independently of the interface between the carrier door and the port door.

図11は、キャリアドア316Aおよびポートドア6414のそれぞれが、キャリアドアとキャリア3140、およびポートドアとポート6412またはチャンバ6400Dをそれぞれ固定する機械的「フェ−ルセーフ」固定具を有する、例示的な実施形態を図示する。キャリア314D、キャリアドア316D、ポート6412、およびポートドア6414は、受動的(連接固定パーツがない)であってもよい。本実施形態では、インデクサは、ポートドアおよびキャリアドア上の固定タブを嵌合/解放するために、ポートドアのZ軸インデクシングおよびポートドアの回転(例えばZ軸の周囲で)の両方を行うことができてもよい。別の実施形態では、ポートドアのZ軸移動および回転は、異なる駆動軸を介して提供されてもよい。図12A〜12Bは、キャリアのシェル314Dおよびキャリアドア316Dの底面図をそれぞれ示す。図13A〜13Bは、(ロードロック)チャンバ6400およびポートドア6414内のポート6412の上平面図をそれぞれ示す。例示的な実施形態では、キャリアのシェルの下面は、キャリアドア316D上の嵌合面362Dによって嵌合される嵌合タブ/表面360Dを有する。理解され得るように、嵌合面360D、362D間の嵌合/解放は、キャリア314Dに対するキャリアドアの回転によって成立してもよい。キャリアドアの回転は、以下に記載されるように、ポートドア6414によって与えられる。別の実施形態では、ドアとキャリアとの間の嵌合面は、いかなる所望の構成を有してもよい。キャリアドア316Dは、キャリアドア6414T上のトルク連結部材を補う雄/雌トルク連結機構365Dを有してもよい。示される例示的な実施形態では、ポート6412およびポートドア6414は、概してキャリアおよびキャリアドアの嵌合機能と類似するインターロッキングまたは嵌合面を有してもよい。図13A、13Bに最もよく見られるように、ポートは、嵌合面6460(例えば内側に突き出る)を有してもよく、ポートドア6414は、ポート表面6460に重なり、それと嵌合するために、補完嵌合面6462を有してもよい。理解され得るように、例示的な実施形態では、キャリア上の嵌合面3600、3620、およびポート上の嵌合面6460、6462は、互いに関連して位置し、ポートドアが回転される際に、キャリアとキャリアドアおよびポートとポートドアとの間の嵌合/解放を同時に行えるようにする。   FIG. 11 illustrates an exemplary implementation where carrier door 316A and port door 6414 each have a mechanical "fail-safe" fixture that secures carrier door and carrier 3140 and port door and port 6412 or chamber 6400D, respectively. The form is illustrated. Carrier 314D, carrier door 316D, port 6412, and port door 6414 may be passive (without articulation fixing parts). In this embodiment, the indexer does both Z-axis indexing of the port door and rotation (eg, around the Z-axis) of the port door to engage / release locking tabs on the port door and carrier door. May be possible. In another embodiment, the Z-axis movement and rotation of the port door may be provided via different drive shafts. 12A-12B show bottom views of the carrier shell 314D and carrier door 316D, respectively. 13A-13B show top plan views of (load lock) chamber 6400 and port 6412 in port door 6414, respectively. In the exemplary embodiment, the underside of the carrier shell has a mating tab / surface 360D that is mated by a mating surface 362D on the carrier door 316D. As can be appreciated, the mating / releasing between mating surfaces 360D, 362D may be accomplished by rotation of the carrier door relative to carrier 314D. The rotation of the carrier door is provided by a port door 6414, as described below. In another embodiment, the mating surface between the door and the carrier may have any desired configuration. Carrier door 316D may have a male / female torque coupling mechanism 365D that supplements the torque coupling members on carrier door 6414T. In the exemplary embodiment shown, ports 6412 and port doors 6414 may have an interlocking or mating surface that is generally similar to the mating function of the carrier and carrier door. As best seen in FIGS. 13A, 13B, the port may have a mating surface 6460 (e.g., protruding inward), and the port door 6414 may overlap with and mat with the port surface 6460. It may have a complementary mating surface 6462. As can be appreciated, in the exemplary embodiment, the mating surfaces 3600, 3620 on the carrier and the mating surfaces 6460, 6462 on the ports are located relative to each other and as the port door is rotated. , So that the fitting / release between the carrier and the carrier door and between the port and the port door can be performed simultaneously.

図14は、ロードロックチャンバ400E、インデクサ6410E、およびキャリア300Eを図示する。例示的な実施形態では、インデクサは、ロードロックチャンバと直列に実質的に軸方向に位置されてもよい。ポッド200、300、3000と同様に、図4に示される例示的な実施形態では、ポッド300Eは、前述されたものと類似する機構を有する、真空適合型の上面または底面開口ポッドであってもよい。チャンバ6400Eは、前述されたチャンバと類似してもよい。図15は、低減ポンプダウン容量構成を有するロードロックチャンバおよびキャリア300Fを示す。示される例示的な実施形態では、キャリアドア316Fは、キャリアのシェル314Fを封止する上面350Fおよび底面321Fドアを有してもよい。底面封止3270F(例えば、封止221と類似する)は、キャリアドアが閉締される際、図15に示されるように、シェル314Fと嵌合する。上面封止350Fは、キャリアドアが開口される際、キャリアのシェルを封止する(例えば、封止350Fは、キャリアシート表面351Fに置かれ、それを封止してもよい)。上面封止350Fは、キャリアのチャンバをロードロックチャンバから隔離し、したがってポンプでロードロックチャンバを真空にする際のポンプダウン容量を減少する。   FIG. 14 illustrates a load lock chamber 400E, an indexer 6410E, and a carrier 300E. In an exemplary embodiment, the indexer may be located substantially axially in series with the load lock chamber. Like the pods 200, 300, 3000, in the exemplary embodiment shown in FIG. 4, the pod 300E may be a vacuum-adapted top or bottom opening pod with features similar to those described above. Good. Chamber 6400E may be similar to the chamber described above. FIG. 15 shows a load lock chamber and carrier 300F having a reduced pump down volume configuration. In the exemplary embodiment shown, the carrier door 316F may have a top 350F and a bottom 321F door that seals the shell 314F of the carrier. A bottom seal 3270F (eg, similar to seal 221) mates with shell 314F when the carrier door is closed, as shown in FIG. Top seal 350F seals the shell of the carrier when the carrier door is opened (eg, seal 350F may be placed on and seal carrier sheet surface 351F). The top seal 350F isolates the chamber of the carrier from the load lock chamber, thus reducing pump down capacity when pumping the load lock chamber.

図16A〜16Bは、別の例示的な実施形態による、ドック位置および非ドック位置のキャリア300Gおよびロードロックチャンバ6400Gをそれぞれ示す。キャリア300Gは、底面壁316G、環状セクション314G、および上面壁314PDを有する。本実施形態では、環状セクション314Gまたはその1つ以上の部分は、キャリアドアとして動作してもよい。上面および底面壁316G、314PDは、互いに固定されてもよく、ドアを画定する可動式セクション314Gは、上面および底面壁316G、314PDをそれぞれ封止するための封止350G、321Gを上面および底面の両方に有してもよい。ロードロックチャンバ6400Gは、開口ポート6402Gを有してもよく、図16Bに見られるように、キャリア300Gは、そこを通ってロードロックチャンバに置かれてもよい。ロードロックチャンバ6400Gは、キャリアへのアクセスを開くためにキャリアドア314Gを下げるための陥凹部6470Gを有してもよい。キャリアの上面壁314PDは、ロードロックチャンバポートを封止し、それによってロードロックチャンバを封止し、チャンバをポンプダウンできるようにしてもよい。キャリアドア314Gを上げる/下げるために、適したエレベータが提供されてもよい。図17〜17Cは、別の例示的な実施形態による、別の上面封止キャリア300Hおよびロードロックチャンバ6400Hを示す。キャリア300Hは、上面封止フランジ314Hおよび側面開口部304H(部品を積み込み/取り出しするキャリア端部に沿って)を有してもよい。例示的な実施形態では、キャリア上面封止フランジ314Hは、図17Bに最もよく示されるように、チャンバポートの縁6412Hに置かれ、それを封止する。キャリアドア314DRは、図17の矢印0で示される、放射線状に外側に向かう回転運動によって開口されてもよい。キャリア開口部は、ロードロックチャンバ内のスロット弁と並んで配置される。ロードロックチャンバを参照して例示的な実施形態が記載されてきたが、記載される機構は、図18に示されるように、ロードポートチャンバに対しても同等に適用される。ロードポートチャンバの内部は、制御雰囲気を有する場合があるが、分離不可能である場合がある。   16A-16B illustrate a carrier 300G and a load lock chamber 6400G, respectively, in a docked position and a non-docked position, according to another exemplary embodiment. The carrier 300G has a bottom wall 316G, an annular section 314G, and a top wall 314PD. In this embodiment, the annular section 314G or one or more portions thereof may operate as a carrier door. The top and bottom walls 316G, 314PD may be fixed to each other, and the movable section 314G defining the door includes a seal 350G, 321G for sealing the top and bottom walls 316G, 314PD, respectively, on the top and bottom. You may have in both. The load lock chamber 6400G may have an open port 6402G, and the carrier 300G may be placed through the load lock chamber as seen in FIG. 16B. The load lock chamber 6400G may have a recess 6470G for lowering the carrier door 314G to open access to the carrier. The top wall 314PD of the carrier may seal the load lock chamber port, thereby sealing the load lock chamber and allowing the chamber to be pumped down. A suitable elevator may be provided to raise / lower the carrier door 314G. 17-17C illustrate another top sealed carrier 300H and a load lock chamber 6400H, according to another exemplary embodiment. The carrier 300H may have a top sealing flange 314H and side openings 304H (along the carrier end for loading / unloading components). In the exemplary embodiment, the carrier top sealing flange 314H is located at and seals to the chamber port edge 6412H, as best shown in FIG. 17B. The carrier door 314DR may be opened by a radially outward rotating motion indicated by arrow 0 in FIG. The carrier opening is arranged alongside the slot valve in the load lock chamber. Although the exemplary embodiment has been described with reference to a load lock chamber, the mechanism described applies equally to a load port chamber, as shown in FIG. The interior of the load port chamber may have a controlled atmosphere, but may not be separable.

図29Aおよび29Bを参照すると、別の例示的な実施形態による、自動材料ハンドリングシステム10、10’の概略平面図が示されている。例えば図29Aおよび29Bに示される自動材料ハンドリングシステム10、10’は、一般的に、1つ以上のイントラベイ搬送システムのセクション15、1つ以上のインターベイ搬送システムのセクション20、ベイ待ち行列セクション35、搬送サイディングまたはシャントセクション25、および部品キャリアまたは搬送機を含む。イントラベイおよびインターベイという用語は、便宜上使用され、搬送システム10110’の配置を制限するものではない(本明細書で使用される場合、インターは、概して多数のグループにわたり延在するセクションを指し、イントラは、概して例えばグループ内に延在するセクションを指す)。搬送システムのセクション15、20、25、35は、互いにネスト化されてもよく(すなわち別の搬送ループ内の1つの搬送ループ)、一般的に、例えば、200mmのウェハ、300mmのウェハ、フラットディスプレイパネル、および類似アイテム等の半導体部品の高速移動、ならびに/または例えば処理設備内の処理ベイ45および関連処理ツール30へ、およびそこからのそれらのキャリアの高速移送を可能にするように配置される。別の実施形態では、適した材料が自動材料ハンドリングシステムで運搬されてもよい。搬送システム10は、部品をある搬送セクションからいずれかの別の搬送セクションに向かせることもできる。インターベイおよびイントラベイの分岐を有する、部品を搬送するための自動材料ハンドリングシステの一実施例は、参照することによりその全体が本明細書に前述のように組み込まれる、名称が「Automated Material Handling System」であり、シリアル番号第10/697,528号の米国特許出願に見られる。   Referring to FIGS. 29A and 29B, there is shown a schematic plan view of an automated material handling system 10, 10 'according to another exemplary embodiment. For example, the automated material handling systems 10, 10 'shown in FIGS. 29A and 29B generally include one or more intrabay transport system sections 15, one or more interbay transport system sections 20, bay queuing sections. 35, a transport siding or shunt section 25, and a component carrier or transporter. The terms intrabay and interbay are used for convenience and do not limit the placement of the transport system 10110 '(as used herein, inter generally refers to a section that extends over a number of groups; Intra generally refers to sections that extend, for example, into groups). The sections 15, 20, 25, 35 of the transport system may be nested with each other (ie one transport loop within another transport loop) and are typically, for example, 200 mm wafers, 300 mm wafers, flat displays Arranged to allow rapid movement of semiconductor components, such as panels and similar items, and / or transfer of their carriers to and from processing bay 45 and associated processing tools 30 in, for example, processing equipment. . In another embodiment, a suitable material may be transported on an automated material handling system. The transport system 10 can also direct components from one transport section to any other transport section. One example of an automated material handling system for transporting parts, with interbay and intrabay branches, is referred to as “Automated Material Handling,” which is incorporated herein by reference in its entirety. System "and is found in the U.S. patent application Ser. No. 10 / 697,528.

図29Aおよび29Bに示される自動材料ハンドリングシステム10、10’の構成は、代表的な構成であり、自動材料ハンドリングシステム10、10’は、処理設備内の処理ベイおよび/または処理ツールのいかなる所望のレイアウトにも対応するために、いかなる適した構成で配置されてもよい。図29Aに見られるように、例示的な実施形態では、インターベイ搬送セクション15は、1つ以上の側面に位置し、いかなる数の搬送セクション20によって互いに接続され、例えば1つ以上の処理ベイ45に対応してもよい。別の実施形態では、外側または側面搬送セクションは、イントラベイセクションであってもよく、その間を縦走するセクションは、イントラベイセクションをベイ内の処理ツールのグループまたはアレイにリンクしてもよい。例示的な実施形態では、また図29Aのインターベイ搬送セクション15は、クロスシャント50によって接続されてもよく、これは、処理または加工ベイ45を通過することなく、部品搬送機が直接インターベイ搬送セクション15間を移動できるようにする。さらに他の別の実施形態では、搬送セクション15は、追加のイントラベイ搬送セクション(図示せず)によって互いに接続されてもよい。その他の例示的な実施形態では、図29Bに示されるように、インターベイ搬送セクション15は、いかなる数の処理ベイ45間に位置してもよく、したがって、分岐セクション間に、ベイまたはツールのグループ45としての役割をする、例えば概して中央の小島または搬送中央経路を形成してもよい。その他の別の実施形態では、イントラベイ搬送セクションは、周囲に境界線を形成し、いかなる数の処理ベイ45を取り囲んでもよい。さらに他の別の実施形態では、図29Aおよび29Bに示されるようなシステム10または10’等のシステムをN個等、いかなる数のネスト化されたループセクションが存在しもよく、それぞれのインターベイ搬送セクション15を直接接続する搬送セクションによってほぼ平行に接続されてもよい。さらに他の別の実施形態では、搬送セクション15、20、および処理ツールは、いかなる適した構成を有してもよい。さらに、いかなる数のイントラベイ/インターベイシステムがいかなる適した構成でともに結合され、ネスト化された処理アレイを形成してもよい。   The configuration of the automated material handling system 10, 10 'shown in FIGS. 29A and 29B is a representative configuration, and the automated material handling system 10, 10' may be configured to handle any desired processing bays and / or processing tools within the processing facility. May be arranged in any suitable configuration in order to support the layout of the above. As seen in FIG. 29A, in the exemplary embodiment, the interbay transport sections 15 are located on one or more sides and connected to each other by any number of transport sections 20, for example, one or more processing bays 45. May be supported. In another embodiment, the outer or side transport section may be an intrabay section, and sections running in between may link the intrabay section to a group or array of processing tools in the bay. In an exemplary embodiment, the interbay transport section 15 of FIG. 29A may also be connected by a cross shunt 50, which allows the part transporter to directly interbay transport without passing through the processing or processing bay 45. Allows movement between sections 15. In yet another alternative embodiment, transport sections 15 may be connected to each other by additional intrabay transport sections (not shown). In other exemplary embodiments, as shown in FIG. 29B, the interbay transport section 15 may be located between any number of processing bays 45, and thus, between branch sections, a group of bays or tools. It may serve as 45, for example forming a generally central islet or a transport center path. In other alternative embodiments, the intrabay transport section may form a perimeter and surround any number of processing bays 45. In still other alternative embodiments, there may be any number of nested loop sections, such as N systems, such as system 10 or 10 'as shown in FIGS. 29A and 29B, and each interbay It may be connected substantially in parallel by a transport section that directly connects the transport sections 15. In still other alternative embodiments, the transport sections 15, 20 and the processing tools may have any suitable configuration. Further, any number of intrabay / interbay systems may be combined together in any suitable configuration to form a nested processing array.

例えば、インターベイ搬送セクション15は、いかなる適した部品搬送機の移動を提供するモジュラートラックシステムであってもよい。トラックシステムのそれぞれのモジュールは、適した結合手段(例えばインターロッキングファセット、機械的ファスナー)とともに提供され、モジュールが、イントラベイ搬送セクション15の取り付け中に互いの端部と端部を結合できるようにしてもよい。レールモジュールは、取り付け中のハンドリングおよび構成の柔軟性のために、数フィート等のいかなる適した長さ、または直線または曲線等のいかなる適した形状で提供されてもよい。トラックシステムは、下から部品搬送機を支持してもよく、または別の実施形態では、トラックシステムは、吊るされたトラックシステムであってもよい。部品搬送機がローラー上で大幅な抵抗を受けることなくトラックに沿って移動できるようにするために、トラックシステムは、ローラーベアリングまたはいずれかの他の適したベアリング面を有してもよい。部品コンテナがトラックに沿って移動する際にさらなる方向安定性を提供するために、ローラーベアリングが先細になっていてもよく、またはタックが曲線の内側もしくはトラックの角に向かって角度が付いていてもよい。   For example, the interbay transport section 15 may be a modular truck system that provides for movement of any suitable component transporter. Each module of the truck system is provided with suitable coupling means (eg, interlocking facets, mechanical fasteners) to enable the modules to couple each other during installation of the intrabay transport section 15. You may. The rail modules may be provided in any suitable length, such as a few feet, or in any suitable shape, such as straight or curved, for handling and configuration flexibility during installation. The truck system may support the part transporter from below, or in another embodiment, the truck system may be a suspended truck system. The track system may have roller bearings or any other suitable bearing surface to allow the part transporter to move along the track without significant resistance on the rollers. Roller bearings may be tapered to provide additional directional stability as the parts container moves along the track, or the tack may be angled toward the inside of the curve or to the corner of the track. Is also good.

イントラベイ搬送セクション15は、コンベヤ系搬送システム、ケーブルおよび滑車または鎖およびスプロケット系搬送システム、車輪駆動システム、もしくは磁気誘導系搬送システムであってもよい。搬送システムを駆動するために使用されるモータは、イントラベイ搬送セクション15に沿って部品コンテナを移動することができ、無限に進行する、いかなる適したリニアモータであってもよい。リニアモータは、移動パーツのない、ソリッドステートのモータであってもよい。例えば、リニアモータは、ブラシ付またはブラシレスACもしくはDCモータ、線形誘導モータ、あるいは線形ステッパーモータであってもよい。リニアモータは、イントラベイ搬送セクション15または部品搬送機、もしくはコンテナ自体に組み込まれてもよい。別の実施形態では、イントラベイ搬送システムを通る部品搬送機を駆動するために、いかなる適した駆動手段が組み込まれてもよい。さらに他の別の実施形態では、イントラベイ搬送システムは、トラックのない車輪付自立型搬送運搬車の通路であってもよい。   The intrabay transport section 15 may be a conveyor based transport system, a cable and pulley or chain and sprocket based transport system, a wheel drive system, or a magnetically guided transport system. The motor used to drive the transport system can be any suitable linear motor that can move the part container along the intrabay transport section 15 and travels infinitely. The linear motor may be a solid state motor without moving parts. For example, the linear motor may be a brushed or brushless AC or DC motor, a linear induction motor, or a linear stepper motor. The linear motor may be integrated into the intrabay transport section 15 or the component transporter, or into the container itself. In another embodiment, any suitable drive means may be incorporated to drive the part transporter through the intrabay transport system. In yet another alternative embodiment, the intrabay transport system may be a trackless wheeled free-standing transport vehicle.

以下に記載されるように、一般的にイントラベイ搬送セクション15は、待ち行列セクションおよびシャントを使用することによって、部品搬送機がイントラベイ搬送セクション15の通路に沿って途切れることなく高速に移動するまたは流れることを可能にする。これは、搬送コンテナが追加されるまたは搬送ラインから取り外される際に、材料の流れを停止しなければならない従来の搬送システムと比較し、非常に有利である。   As described below, the intrabay transport section 15 generally moves the parts transporter at high speed along the path of the intrabay transport section 15 by using a queuing section and a shunt. Or allow it to flow. This is a significant advantage compared to conventional transport systems where material flow must be stopped when transport containers are added or removed from the transport line.

前述されたように、例示的な実施形態では、イントラベイ搬送セクション20は、処理または加工ベイ45を画定してもよく、待ち行列セクション35を通ってインターベイ搬送セクション15に接続されてもよい。待ち行列セクション35は、例えばインターベイまたはイントラベイ搬送セクション15、20のいずれかの側面に位置し、インターベイ搬送セクション15に沿った材料の流れまたはイントラベイ搬送セクション20に沿った材料の流れのいずれも停止もしくは減速することなく、部品または部品コンテナがイントラベイ搬送セクション20に入る/から出ることができるようにしてもよい。例示的な実施形態では、待ち行列セクション35は、搬送セクション15、20からの不連続なセクションとして概略的に示される。別の実施形態では、待ち行列セクション、または搬送セクション15、20間の待ち行列通路は、搬送セクションと一体化して形成されてもよいが、搬送セクション間の搬送通路に不連続な待ち行列を画定する。別の実施形態では、待ち行列は、所望により、インターベイおよびイントラベイセクションに置かれてもよい。進行レーンおよびアクセスまたは待ち行列レーンを有し、進行レーンに障害を与えることなく、進行レーン内外に選択的にアクセスできるようにする搬送システムの一実施例は、名称「Transportation System」、シリアル番号第11/211,236号の米国特許出願に記載され、その全体は、参照することより本明細書に前述のように組み込まれている。イントラベイ搬送セクション20および待ち行列セクション35は、上記のインターベイ搬送セクション15で記載されたものとほぼ類似するトラックシステムを有してもよい。別の実施形態では、イントラおよびインター搬送セクションを結ぶイントラベイ搬送セクションならびに待ち行列セクションは、いかなる適した構成、形状または形態を有してもよく、いかなる適した方法で駆動されてもよい。図29Aに最もよく見られるように、例示的な実施形態では、待ち行列セクション35は、イントラベイおよびインターベイ搬送セクション20、15の移動方向R1、R2に対応する投入セクション35Aならびに取出セクション35Bを有してもよい。例示目的のために使用される本明細書の慣習上、セクション35Aをセクション20への投入口(セクション15から出る)として定義し、セクション35Bをセクション20(セクション15への投入口)からの出口/取出口として定義する。別の実施形態では、所望により、待ち行列セクションの進行方向が確立されてもよい。以下に、より詳細に記載されるように、部品コンテナは、投入セクション35Aを介してインターベイ搬送セクション15から出て、取出セクション35Bを介してインターベイ搬送セクション15へ入ってもよい。待ち行列セクション35は、搬送セクション15、20内外での部品搬送機の出入を可能にする、いかなる適した長さであってもよい。   As described above, in the exemplary embodiment, the intrabay transport section 20 may define a processing or processing bay 45 and may be connected to the interbay transport section 15 through a queue section 35. . The queuing section 35 is located, for example, on either side of the interbay or intrabay transport section 15, 20, and is for the material flow along the interbay transport section 15 or the material flow along the intrabay transport section 20. A component or component container may be able to enter / exit the intrabay transport section 20 without either stopping or slowing down. In the exemplary embodiment, queue section 35 is schematically shown as a discontinuous section from transport sections 15,20. In another embodiment, the queue section, or the queue path between the transport sections 15, 20, may be formed integrally with the transport section, but define a discontinuous queue in the transport path between the transport sections. I do. In another embodiment, queues may be placed in the interbay and intrabay sections, if desired. One embodiment of a transport system having a traveling lane and an access or queue lane that allows selective access into and out of the traveling lane without disturbing the traveling lane is described by the name “Transportation System”, serial number No. 11 / 211,236, which is incorporated by reference herein in its entirety, as set forth above. Intrabay transport section 20 and queue section 35 may have a truck system that is substantially similar to that described in interbay transport section 15 above. In another embodiment, the intrabay transport section and the queue section connecting the intra and inter transport sections may have any suitable configuration, shape or form and may be driven in any suitable manner. As best seen in FIG. 29A, in the exemplary embodiment, the queue section 35 includes an input section 35A and an output section 35B that correspond to the directions of movement R1, R2 of the intrabay and interbay transport sections 20, 15. May have. For the purposes of this specification used for purposes of illustration, section 35A is defined as the entry to section 20 (exiting section 15) and section 35B is defined as the exit from section 20 (entry to section 15). / Defined as an outlet. In another embodiment, the direction of travel of the queue section may be established, if desired. As described in more detail below, the component containers may exit the interbay transport section 15 via the input section 35A and enter the interbay transport section 15 via the removal section 35B. The queuing section 35 may be of any suitable length to allow the entry and exit of the component transporter into and out of the transport sections 15,20.

イントラベイ搬送セクション20は、いかなる数のプロセスツール30と搬送システム10、10’を接続する通路または経路内に延在してもよい。また、イントラベイ搬送セクション20は、図29Aに示され、上記に記載されるように、2つ以上のインターベイ搬送セクション15を互いに接続してもよい。イントラベイ搬送セクション20は、閉締されたループ形状を有するように図29Aおよび29Bに示されるが、しかしながら、別の実施形態では、それらはいかなる適した構成または形状を有してもよく、いかなる製作設備配置にも適用可能であり得る。例示的な実施形態では、イントラベイ搬送セクション20は、待ち行列セクション35と類似してもよい搬送サイディングまたはシャント25によってプロセスツール30に接続されてもよい。別の実施形態では、シャントは、同様な方法でインターベイ搬送セクションに提供されてもよい。シャント25は、部品搬送機を効率的に「オフライン」にし、例えば、図29Aに見られるようなインターベイ搬送セクション20の進行方向R2に対応する投入セクション25Aおよび取出セクション25Bを有する。シャント25は、イントラベイ搬送セクション20上の部品搬送機の実質的に等速な流れをほぼ遮断することなく、投入および取出セクション25A、25Bを通って部品搬送機がイントラベイ搬送セクション20を出る、およびそこに入ることを可能にする。シャント25内にある間、部品コンテナは、例えば、プロセスツールステーション30の位置に対応するツール接合ステーションで停止し、例えば、装置の前工程モジュール、分類機、またはいずれかの他の適した移送ロボット等のいかなる適した移送手段によって、またはそれを介して部品および/またはコンテナ自体が処理ツール積載ポートまたはいずれかの他の適した部品ステージングエリアに移送されてもよい。別の実施形態では、部品搬送機は、任意の搬送セクションの搬送機の並べ替え(例えば入れ替え)を行うために、所望のシャントに向けられてもよい。   The intrabay transfer section 20 may extend in a passageway or path connecting any number of process tools 30 and the transfer system 10, 10 '. The intrabay transport section 20 may also connect two or more interbay transport sections 15 to each other as shown in FIG. 29A and described above. The intrabay transport sections 20 are shown in FIGS. 29A and 29B as having a closed loop shape; however, in other embodiments, they may have any suitable configuration or shape, and It may be applicable to production equipment arrangements. In the exemplary embodiment, intrabay transport section 20 may be connected to process tool 30 by a transport siding or shunt 25 that may be similar to queue section 35. In another embodiment, the shunt may be provided to the interbay transport section in a similar manner. The shunt 25 effectively takes the part transporter “off-line” and has, for example, an input section 25A and an output section 25B corresponding to the traveling direction R2 of the interbay transport section 20 as seen in FIG. 29A. The shunt 25 allows the component transporter to exit the intrabay transport section 20 through the input and output sections 25A, 25B without substantially blocking the substantially constant velocity flow of the component transporter over the intrabay transport section 20. , And allow you to get in there. While in the shunt 25, the component container stops, for example, at the tool joining station corresponding to the location of the process tool station 30 and, for example, a pre-process module of the equipment, a sorter, or any other suitable transfer robot The component and / or the container itself may be transferred to or via the processing tool loading port or any other suitable component staging area by or via any suitable transport means. In another embodiment, the component transporter may be directed to a desired shunt to reorder (eg, swap) transporters in any transport section.

異なるセクション15、20、25、35から、およびその間での部品キャリアまたは搬送機の交換は、コントローラ(図示せず)に接続された誘導システム(図示せず)によって制御されてもよい。誘導システムは、セクション15、20、25、35に沿って移動する搬送機の位置を明確にする位置付けデバイスを含んでもよい。位置付けデバイスは、セクション15、20、25、35に沿って、またはそれにわたり延在する光学、磁気、バーコード、または基準ストリップ等の連続または分散デバイス等、いかなる適した種類であってもよい。分散デバイスは、コントローラが搬送機の運動状態の確認に加え、セクション15、20、25、35上の搬送機の位置を定めることができるようにするために、搬送機に設置される適当な読み取りデバイスによって読まれる、または調べられてもよい。あるいは、デバイスは、位置/運動を識別するために、搬送機、部品キャリア、または部品上のRFID(高周波認識デバイス:rapid frequency identification device)等の感知アイテムを感知および/または調べてもよい。また、位置付けデバイスは、移動する搬送機の位置を感知することができる分散デバイス、別個の位置付けデバイス(例えばレーザー測距デバイス、超音波測距デバイス、または内部GPSと同種の内部位置付けシステム、もしくは内部逆GPS)の単体、またはこれらの組み合わせを含んでもよい。コントローラは、搬送機からの位置フィードバック情報と誘導システムからの情報を組み合わせ、セクション15、20、25、35に沿う、またはその間の搬送機の搬送経路を確立し、維持してもよい。   The exchange of component carriers or transporters from and between different sections 15, 20, 25, 35 may be controlled by a guidance system (not shown) connected to a controller (not shown). The guidance system may include a locating device for locating the transporter moving along the sections 15,20,25,35. The positioning device may be of any suitable type, such as a continuous or distributed device such as an optical, magnetic, bar code, or reference strip extending along or across sections 15, 20, 25, 35. An appropriate reading device installed on the transporter to enable the controller to locate the transporter on sections 15, 20, 25, 35 in addition to checking the motion status of the transporter. It may be read or examined by the device. Alternatively, the device may sense and / or interrogate a sensing item such as a RFID (rapid frequency identification device) on a transport, a component carrier, or a component to identify position / motion. Also, the positioning device can be a distributed device that can sense the position of the moving transporter, a separate positioning device (eg, a laser ranging device, an ultrasonic ranging device, or an internal positioning system similar to internal GPS, or an internal positioning system). (Inverse GPS) or a combination thereof. The controller may combine position feedback information from the transport and information from the guidance system to establish and maintain the transport path of the transport along or between sections 15, 20, 25, 35.

別の実施形態では、誘導システムは、溝、レール、トラック、または部品搬送機の機械的誘導機能と連動するための構造的または機械的誘導表面を形成するいずれかの他の適した構造体を含む、もしくは有してもよい。さらに他の別の実施形態では、またセクション15、20、25、35は、部品搬送機に電子誘導を提供する印刷ストリップまたは導線(例えば、搬送機の適した誘導システムによって検出される適当な電磁信号を送信する送電線)等の送電線を含んでもよい。   In another embodiment, the guidance system includes a groove, rail, track, or any other suitable structure that forms a structural or mechanical guidance surface to interface with the mechanical guidance function of the component carrier. May include or have. In yet another alternative embodiment, sections 15, 20, 25, and 35 also include printed strips or wires that provide electronic guidance to the component carrier (eg, a suitable electromagnetic detection detected by a suitable guidance system of the carrier). Transmission lines for transmitting signals).

さらに図29Aおよび29Bを参照すると、搬送システム10、10’の例示的な動作が記載されている。例えばシャント25内に置かれた部品コンテナは、搬送システム10、10’に入ってもよい。実質的に途切れのない、概して等速で進行するイントラベイ搬送セクション20の流れを維持するために、部品コンテナは、シャント25を介してインターベイ搬送セクション20にアクセスしてもよい。部品搬送機は、搬送機がイントラベイ搬送セクション20内の材料の流れと同一速度で進行するように、シャント25内で加速する。シャント25は、部品搬送機が加速できるようにし、したがって、流れを妨げる、またはインターベイ搬送セクション20内を進行するいずれかの他の搬送機と衝突することなく、搬送機がイントラベイ搬送セクション20の流れに合流することができる。イントラベイ搬送セクション20との合流において、部品搬送機は、いずれかの他の部品キャリアまたは搬送機と衝突することなく、またはイントラベイセクションを縦走する搬送機の速度を減速させることなく、イントラベイ搬送セクションの流れに自由に入れるように、適した運転間隔だけシャント25内で待機してもよい。部品搬送機は、実質的に等速でイントラベイ搬送セクション20(例えば)に沿って稼動し続け、優先権を持って取出待ち行列エリアまたはセクション35B、例えばインターベイセクション15にスウィッチする。一実施形態では、取出待ち行列セクション35B内にスペースが無い場合、搬送機は、取出待ち行列セクション35Bが使用可能になるまで、優先権を持ってイントラベイ搬送セクション20の周囲を進行し続けてもよい。別の実施形態では、例えば、搬送セクションのループ全体を進行することなく迂回されたステーションに戻るために、搬送セクションの対向する進行通路を接続するためにクロスシャントが提供され、搬送機が搬送通路間を行き来できるようにしてもよい。搬送機は、取出待ち行列セクション35Bのベイで適した運転間隔だけ待機し、次いで加速し、上記に記載されるイントラベイ搬送セクション20の合流と実質的に同様な方法でインターベイ搬送セクション15の概して連続的かつ等速な流れに合流してもよい。搬送機は、例えば概して連続的な速度でインターベイ搬送セクション15に沿って続き、所望のイントラベイセクション20に入るために、繋がった待ち行列投入セクション35Aに移ってもよい。一実施形態では、投入待ち行列セクション35A内にスペースがない場合、搬送機は、前述されたものと同様な方法で、投入待ち行列セクション35Aが使用可能になるまで、イントラベイ搬送セクション15の周囲を進行し続けてもよい。搬送機は、投入待ち行列セクション35Aで適した運転間隔だけ待機し、第2のイントラベイ搬送セクション20に合流するために加速してもよく、この場合もやはり第2のイントラベイ搬送セクション20は、連続的な等速の流れを有する。搬送機は、第2のイントラベイ搬送セクション20から搬送機がプロセスツール30と接合する搬送シャント25に移される。シャント25内の他の搬送機により、シャント25に搬送機のためのスペースがない場合、搬送機は、シャント25が使用可能になるまで、優先権を持ってイントラベイ搬送セクション20の周囲に沿って進行し続けてもよい。インターベイ搬送セクション15およびイントラベイ搬送セクション20内の材料の流れには実質的に途切れがなく、概して等速で進行するため、システムは、処理ベイと処理ツールとの間の部品搬送機の高処理量を維持することができる。   With further reference to FIGS. 29A and 29B, exemplary operations of the transport systems 10, 10 'are described. For example, a component container placed in the shunt 25 may enter the transport system 10, 10 '. To maintain a substantially uninterrupted, generally constant velocity traveling intrabay transport section 20, the component containers may access the interbay transport section 20 via a shunt 25. The part transporter accelerates in the shunt 25 such that the transporter travels at the same speed as the material flow in the intrabay transport section 20. The shunt 25 allows the component transporter to accelerate, thus allowing the transporter to move the intrabay transport section 20 without obstructing flow or colliding with any other transporter traveling within the interbay transport section 20. Can join the flow. At the junction with the intrabay transport section 20, the component transporter can move the intrabay without colliding with any other component carrier or transporter or reducing the speed of the transporter traversing the intrabay section. A suitable operating interval may be waited in the shunt 25 to allow free entry into the transport section flow. The component transporter continues to operate along the intrabay transport section 20 (e.g.) at substantially constant speed and switches with priority to the removal queue area or section 35B, e.g., the interbay section 15. In one embodiment, if there is no space in the removal queue section 35B, the transporter will continue to advance around the intrabay transport section 20 with priority until the removal queue section 35B becomes available. Is also good. In another embodiment, a cross shunt is provided to connect opposing advancing paths of the transport section, e.g., to return to a bypassed station without proceeding through the entire loop of the transport section, wherein You may be able to move back and forth. The transporter waits for a suitable operating interval in the bay of the unload queue section 35B, then accelerates and moves the interbay transport section 15 in substantially the same manner as the intrabay transport section 20 merger described above. It may merge into a generally continuous and uniform flow. The transporter may, for example, continue along the interbay transport section 15 at a generally continuous speed and move to a linked queuing section 35A to enter the desired intrabay section 20. In one embodiment, if there is no space in the input queue section 35A, the transporter may move around the intrabay transfer section 15 until the input queue section 35A becomes available in a manner similar to that described above. May be continued. The transporter may wait for a suitable operating interval in the input queue section 35A and accelerate to join the second intrabay transport section 20, again the second intrabay transport section 20 , Having a continuous constant velocity flow. The transporter is transferred from the second intrabay transport section 20 to a transport shunt 25 where the transporter joins the process tool 30. If there is no space for a transport in the shunt 25 due to other transports in the shunt 25, the transport will preferentially follow the perimeter of the intrabay transport section 20 until the shunt 25 is available. You may continue to progress. Because the flow of material in the interbay transport section 15 and the intrabay transport section 20 is substantially uninterrupted and generally travels at a constant speed, the system is configured with a high level of component transport between the processing bay and the processing tool. The throughput can be maintained.

図29Aに示される例示的な実施形態では、搬送機は、待ち行列セクション35、処理ツール、イントラベイ搬送セクション20、またはインターベイ搬送セクション15を互いに直接接続することができるエクステンション40を介して、処理ベイ間を直接進行してもよい。例えば、図29Aおよび29Bに示されるように、エクステンション40は、待ち行列セクション35をともに接続する。別の実施形態では、エクステンション40は、シャント25と同様にそれぞれのツールの搬送シャントを接続することによって、ある処理ツールから別の処理ツールへのアクセスを提供してもよい。さらに他の別の実施形態では、エクステンションは、自動材料ハンドリングシステムの要素のいかなる数またはいかなる組み合わせをもともに直接接続し、短いアクセスルートを提供してもよい。より大きなネスト化されたネットワークでは、エクステンション40によって搬送機の目的地間の通路がより短くなるため、搬送機の進行時間を削減し、さらにシステムの生産性を向上する可能性がある。   In the exemplary embodiment shown in FIG. 29A, the transporter connects via a queue section 35, processing tool, intrabay transport section 20, or interbay transport section 15 via an extension 40 that can directly connect to each other. It may proceed directly between processing bays. For example, as shown in FIGS. 29A and 29B, the extensions 40 connect the queue sections 35 together. In another embodiment, the extension 40 may provide access from one processing tool to another by connecting the transfer shunt of each tool as well as the shunt 25. In yet another alternative embodiment, the extension may directly connect any number or combination of elements of the automated material handling system together to provide a short access route. In larger nested networks, the extension 40 may shorten the path between destinations of the transporter, thereby reducing transport time and increasing system productivity.

さらに他の別の実施形態では、自動材料ハンドリングシステム10、10’の流れは、2方向であってもよい。搬送セクション15、20、25、35、40、50は、それぞれが対向する方向に移動する、対向する進行レーンの周囲をループし、接続する出口ランプおよび入口ランプを有する、並んだ平行レーンを有してもよい。搬送セクションのそれぞれの平行レーンは、任意の進行方向専用であってもよく、個々の平行レーンのそれぞれの進行が搬送積載条件に適合するために、それぞれの平行レーンが搬送アルゴリズムにしたがって反転されるように、別々にまたは同時に切り替えられてもよい。例えば、搬送セクション15、20、25、35、40、50の平行レーンに沿う材料の流れまたは搬送は、それらの別個の方向に流れてもよい。しかしながら、後に、いくつかの部品搬送機が設備内に位置し、現行の流れ方向に対向する方向のこれらの平行レーンに沿って動くためにより効率的な位置に向かい、次いで平行レーンの進行方向が反転される場合があることが予測される。   In yet another alternative embodiment, the flow of the automatic material handling system 10, 10 'may be bi-directional. The transport sections 15, 20, 25, 35, 40, 50 have side-by-side parallel lanes with exit and entry ramps looping around and connecting opposing travel lanes, each traveling in opposing directions. May be. Each parallel lane of the transport section may be dedicated to any traveling direction, and each parallel lane is inverted according to the transport algorithm so that the progress of each individual parallel lane meets the transport loading conditions. As such, they may be switched separately or simultaneously. For example, the flow or transport of material along parallel lanes of transport sections 15, 20, 25, 35, 40, 50 may flow in their distinct directions. However, later, some component transporters are located in the facility and move toward more efficient locations to move along these parallel lanes in a direction opposite to the current flow direction, and then the direction of travel of the parallel lanes is reduced. It is expected that it may be inverted.

別の実施形態では、2方向進行レーンが積み重ねられて置かれてもよい(すなわち片方がもう一方の上にある)。プロセスツールと搬送シャント25との間の接合部分は、例えば、時計回りの材料の流れを有するシャントが反時計回りの材料の流れの上に位置するような場合にシャントからプロセスツールの積載ポートに搬送機を上げるまたは下げるために、エレベータ型構成を有してもよい。別の実施形態では、2方向シャントおよびその他の搬送セクションは、いかなる適した構成を有してもよい。   In another embodiment, two-way travel lanes may be stacked and placed (ie, one on top of the other). The interface between the process tool and the transfer shunt 25 may be, for example, from the shunt to the loading port of the process tool when the shunt having a clockwise material flow is located above the counterclockwise material flow. To raise or lower the transporter, it may have an elevator type configuration. In another embodiment, the two-way shunt and other transport sections may have any suitable configuration.

図20は、別の例示的な実施形態による、ツールステーション間でキャリアを搬送するための搬送システムの搬送システムトラック500の部分を示す。トラックは、参照することにより前述のように組み込まれる米国特許出願シリアル番号第10/697,528号に記載されるものと類似する、ソリッドステートのコンベヤシステムを有してもよい。トラックは、キャリアのシェル/ケーシングと一体の反応部分と連動する静止フォーサーセグメントを有してもよい。その結果キャリアは、コンベヤによって直接搬送されてもよい。示される搬送システム500は、非同期搬送システム内にあり、キャリアの搬送機は、その中で搬送システム上の他のキャリアの動きから実質的に分断される。トラックシステムは、その他のキャリアの動きが任意のキャリアの搬送速度に影響を与える決定的因子を削除するように構成される。コンベヤのトラック500は、主搬送通路上の搬送機を妨害することなくルーティング変更および/またはツールステーション(緩衝装置、ストッカー等)と接合するために、主搬送通路から離れてキャリアを送るオン/オフ分岐通路(図297〜298も参照)を有する主搬送通路を採用する。分岐オン/オフ通路を有する搬送システムの適した実施例は、参照することにより前述のように組み込まれる米国特許出願シリアル番号第11/211,236号に開示される。本実施形態では、セグメント500A、C、Dは、A1−Dリニアモータのための巻線セットを有し、主進行通路500M(これは、図20Aに示される)に沿って移動してもよい。セグメント500Bは、アクセス通路500Sと称される場合がある通路へのオフ/出口として、例えば図20に示される。このセグメント内のフォーサーの巻線は、主通路500Mに沿った運動、および望ましい場合は、通路500S(図20B参照)に沿ったキャリアの移動の両方を可能にするために、実際の二次元平面モータを提供するように配置される。モータコントローラは、参照することによりその全体が本明細書に組み込まれる、2005年7月11日に出願された米国特許出願シリアル番号第11/178,615号に記載される分散制御構造と類似する、領域型コントローラであってもよい。本実施形態では、駆動部/モータは、領域型であり、領域間で適切な引渡しを行う領域コントローラによって効率的に制御されてもよい。コンベヤ500は、移動可能にキャリアを支持するために、適したベアリングを有してもよい。例えば、セグメント500A、500C、および500Dでは、ベアリング(例えばローラー、ボール)は、通路500Mに沿ったキャリアの1度の移動自由度を許容してもよい。   FIG. 20 illustrates a portion of a transport system track 500 of a transport system for transporting carriers between tool stations according to another exemplary embodiment. The truck may have a solid-state conveyor system similar to that described in US patent application Ser. No. 10 / 697,528, which is incorporated by reference above. The truck may have a stationary forcer segment that interfaces with a reaction portion integral with the shell / casing of the carrier. As a result, the carrier may be transported directly by the conveyor. The transport system 500 shown is in an asynchronous transport system, in which the carrier of the carrier is substantially decoupled from movement of other carriers on the transport system. The track system is configured to eliminate crucial factors where other carrier movements affect the transport speed of any carrier. Conveyor trucks 500 may turn carriers on and off to transport carriers away from the main transport path for rerouting and / or mating with tool stations (buffers, stockers, etc.) without obstructing transporters on the main transport path. A main transport passage having a branch passage (see also FIGS. 297 to 298) is employed. A suitable embodiment of a transport system having a branch on / off passage is disclosed in U.S. patent application Ser. No. 11 / 211,236, incorporated herein by reference. In this embodiment, the segments 500A, C, D have winding sets for the A1-D linear motor and may move along the main travel path 500M, which is shown in FIG. 20A. . Segment 500B is shown, for example, in FIG. 20 as an off / out to a passage that may be referred to as access passage 500S. The forcer windings in this segment are in a real two-dimensional plane to allow both movement along the main path 500M and, if desired, movement of the carrier along path 500S (see FIG. 20B). It is arranged to provide a motor. The motor controller is similar to the distributed control structure described in US patent application Ser. No. 11 / 178,615, filed Jul. 11, 2005, which is incorporated herein by reference in its entirety. , An area type controller. In this embodiment, the drive / motor is of the area type and may be efficiently controlled by an area controller that performs appropriate handover between areas. Conveyor 500 may have suitable bearings to movably support the carrier. For example, in segments 500A, 500C, and 500D, bearings (eg, rollers, balls) may allow one degree of freedom of movement of the carrier along path 500M.

セグメント500B内のベアリングは、キャリアの2度の移動自由度を許容してもよい。その他の実施形態では、ベアリングは、キャリア上に提供されてもよい。さらに他の実施形態では、空気ベアリングは、トラック上のキャリアを移動可能に支持するために使用されてもよい。通路500M間のキャリアの誘導および通路500Sへの方向は、図20Bに示されるようなキャリア上の可動または連接車輪、トラック上の連接ガイドレール、または磁気操縦等の適した誘導システムによってもたらされてもよい。   The bearing in segment 500B may allow for two degrees of freedom of movement of the carrier. In other embodiments, the bearing may be provided on a carrier. In still other embodiments, air bearings may be used to movably support a carrier on a truck. Guidance of the carrier between the passages 500M and direction to the passages 500S is provided by a suitable guidance system such as mobile or articulated wheels on the carrier, articulated guide rails on the truck, or magnetic steering as shown in FIG. 20B. You may.

図20Aは、システム500の例示的な搬送要素500Aを図示する。示される例示的な実施形態は、シングル進行レーンまたは通路(例えば通路500M)を有するセグメントを図示する。図20Aに見られるように、例示的な実施形態では、セグメントは、搬送機上の起動支持体のためのリニアモータ部分またはフォーサー502Aおよび支持表面504(A)を有する。前述されたように、別の実施形態では、搬送セグメントは、いかなる他の所望の構成を有してもよい。例示的な実施形態では、ガイドレール506Aは、搬送機を誘導するために使用されてもよい。別の実施形態では、搬送セグメントは、搬送機を誘導するレールの代わりに、磁石または磁気ベアリングを有してもよい。キャリア上の電磁石は、キャリアをトラックから分断するのを助長するために使用されてもよい。図20Bは、別の例示的な実施形態による、搬送システム500の別の搬送セグメントを図示する。セグメント500A’は、複数の進行レーン(例えば図20に示されるセグメント500Bと類似する交差レーン)または間で切り替わるほぼ平行な主進行レーン(通路500Mと類似する)を有してもよい。図20Bに見られるように、例示的な実施形態では、進行レーン(通路500M、500Sと類似する)は、一般的に1−Dモータセクション502A1および対応するキャリア駆動支持表面/エリア504A’によって画定される。進行レーン間の交差または切り替えは、進行レーン500M’、500S’間を縦走するのに望ましい2−D力を搬送機に生成することができる2−Dモータ要素のアレイによって形成される。   FIG. 20A illustrates an exemplary transport element 500A of the system 500. The exemplary embodiment shown illustrates a segment having a single travel lane or passage (eg, passage 500M). As seen in FIG. 20A, in the exemplary embodiment, the segment has a linear motor portion or forcer 502A for a starting support on the transporter and a support surface 504 (A). As noted above, in other embodiments, the transport segments may have any other desired configuration. In an exemplary embodiment, guide rails 506A may be used to guide the transport. In another embodiment, the transport segments may have magnets or magnetic bearings instead of rails to guide the transporter. Electromagnets on the carrier may be used to help separate the carrier from the track. FIG. 20B illustrates another transport segment of the transport system 500, according to another exemplary embodiment. Segment 500A 'may have a plurality of travel lanes (e.g., cross lanes similar to segment 500B shown in FIG. 20) or a substantially parallel main travel lane (similar to passage 500M) that switches between. As seen in FIG. 20B, in the exemplary embodiment, the travel lane (similar to passages 500M, 500S) is generally defined by a 1-D motor section 502A1 and a corresponding carrier drive support surface / area 504A ′. Is done. The intersection or switching between the travel lanes is formed by an array of 2-D motor elements that can generate the desired 2-D force on the transport to travel longitudinally between travel lanes 500M ', 500S'.

図21は、別の例示的な実施形態による搬送システムの交差点またはコンベヤの回転セグメントを示す。示される例示的な実施形態では、搬送セグメント500A’’は、交差する複数の進行レーン500M’’、500S’’を画定する。進行レーンは、概してレーン500M(図20A参照)と類似する。例示的な実施形態では、搬送運搬車は、交差するレーンとほぼ一直線に並ぶまで、任意のレーン500S’’、500M’’を縦走してもよい。一直線に並ぶ際、所望のレーンの1−Dモータは、交差するレーンに沿って搬送機の移動を開始する。別の実施形態では、交差点は、90°の配向でなくてもよい。図20Cは、キャリア1200の底面およびその中の反応要素を示す。理解され得るように、反応要素は、交差点でそれぞれのフォーサーセクション(例えば図21参照)の配向と一致するように配置されてもよい。これは、実質的に停止することなくキャリアがトラックを変更できるようにする。図20Dは、別の例示的な実施形態による、キャリア1200Aの枢軸セクション上に置かれた反応要素1202FAを示し、該反応要素は、所望の位置に回転されてもよい。図22は、トラック脇のストレージ位置500S’’’を有する、概して図21の交差点と類似するトラックセグメント500H’’’を示す。図23〜23Aは、さらに以下に記載されるキャリアリフトまたはシャトルのリフトアーム(図示せず)のためのカットアウトまたは開口部1500Oを有するトラックセグメント500を示す。例示的な実施形態では、開口部1500Oは、コンベヤのトラックからキャリアを底面に掴むために、キャリアに横方からアクセスできるようにする。図24は、フォーサー(リニアモータ等の)2502Aが矢印2500Mによって示されるキャリア/トラックの中心線からずれた位置にあるトラックセグメント2500Aを示す。   FIG. 21 illustrates a turning segment of an intersection or conveyor of a transport system according to another exemplary embodiment. In the exemplary embodiment shown, transport segment 500A "defines a plurality of intersecting travel lanes 500M", 500S ". The traveling lane is generally similar to lane 500M (see FIG. 20A). In an exemplary embodiment, the transport vehicle may traverse any of the lanes 500S ", 500M" until it is substantially aligned with the intersecting lane. When aligned, the 1-D motor in the desired lane begins moving the transport along the intersecting lane. In another embodiment, the intersection may not be at a 90 ° orientation. FIG. 20C shows the bottom surface of the carrier 1200 and the reaction elements therein. As can be appreciated, the reaction elements may be arranged to coincide with the orientation of the respective forcer section (eg, see FIG. 21) at the intersection. This allows the carrier to change tracks without substantially stopping. FIG. 20D shows a reaction element 1202FA placed on a pivotal section of carrier 1200A, which may be rotated to a desired position, according to another exemplary embodiment. FIG. 22 shows a track segment 500H '' '', generally similar to the intersection of FIG. 21, with a storage location 500S '' '' beside the track. FIGS. 23-23A show a track segment 500 having cutouts or openings 1500O for a carrier lift or shuttle lift arm (not shown), which is described further below. In an exemplary embodiment, the opening 1500O provides lateral access to the carrier for grasping the carrier from the conveyor track to the bottom. FIG. 24 shows a track segment 2500A with the forcer (such as a linear motor) 2502A offset from the carrier / track centerline indicated by arrow 2500M.

図25A〜25Bは、半導体FAB内で基板を搬送するためのリニアモータコンベヤ3500(キャリア3200内に設置されたフォーサーセグメントおよび組み込まれた反応要素を有する)を示す。示される例示的な実施形態では、コンベヤ3500は、直下からキャリアにアクセスできるように反転されてもよい(例えばキャリアは、コンベヤの下から吊り下げられ、コンベヤの下に位置する)。その他の点では、コンベヤ3500は、前述された搬送システムのセグメント500A、500A’’、500A’’’と類似してもよい。例示的な実施形態では、コンベヤ3500とキャリア3200との間の連結を維持するために、磁気保持フォーサー3502が採用されてもよい。この力は、特にこの目的のために提供されるリニアモータコイル(例えばリニア同期設計のもの)から、ならびに/または別個の電磁石および/もしくは永久磁石(図示せず)を介して発生してもよい。キャリアとコンベヤの連結および分断は、迅速であり、パーツ(例えば、電磁石スイッチ)を動かすことなく達成されてもよい。フェ−ルセーフの動作は、キャリアとコンベヤとの間の磁路および/または受動的な機械的保持機構を介して保証されてもよい。   FIGS. 25A-25B show a linear motor conveyor 3500 (with forcer segments installed in a carrier 3200 and integrated reaction elements) for transporting substrates within a semiconductor FAB. In the exemplary embodiment shown, the conveyor 3500 may be inverted to allow access to the carrier from beneath (eg, the carrier is suspended from beneath the conveyor and is located beneath the conveyor). Otherwise, the conveyor 3500 may be similar to the segments 500A, 500A ", 500A" "of the transport system described above. In an exemplary embodiment, a magnetic holding forcer 3502 may be employed to maintain a connection between the conveyor 3500 and the carrier 3200. This force may in particular be generated from a linear motor coil provided for this purpose (for example of a linear synchronous design) and / or via a separate electromagnet and / or permanent magnet (not shown). . Coupling and disconnection of the carrier and conveyor is rapid and may be accomplished without moving parts (eg, electromagnetic switches). Fail-safe operation may be ensured via a magnetic path between the carrier and the conveyor and / or a passive mechanical holding mechanism.

例示的な実施形態では、交差点および分岐点(すなわち、例えば図20のセグメント500Bと類似する合流−分化位置)は、コイルの切り替えによって達成されてもよい。別の実施形態では、コンベヤ3200の進行通路間でキャリアを移送するために、回転台またはその他の回転デバイスが使用されてもよい。   In the exemplary embodiment, intersections and junctions (i.e., merge-differentiate locations similar to, for example, segment 500B of FIG. 20) may be achieved by switching coils. In another embodiment, a turntable or other rotating device may be used to transfer carriers between the traveling paths of conveyor 3200.

例示的な実施形態では、キャリア3200は、反応要素が上面上にあり、基板がキャリアの底面からアクセスされるように配置されてもよい。例示的な実施形態では、キャリア3200は、コンベヤ3500のフォーサーと連動するように置かれた磁気プラテンを有してもよい。キャリアのプラテン、またはプラテンセクションは、ローラー、ベアリング、または他の起動支持表面(例えばコンベヤ内の空気ベアリングに対する反応表面)を含んでもよい。また、プラテンは、部品コンテナ部分が処理ツール3030に積載される際に、コンベヤと接続されたままでもよいプラテン部分からキャリアのコンテナ部分を分断できるようにする電磁石連結具を含んでもよい。   In an exemplary embodiment, carrier 3200 may be positioned such that the reaction elements are on the top and the substrate is accessed from the bottom of the carrier. In an exemplary embodiment, carrier 3200 may have a magnetic platen positioned to work with the forcer of conveyor 3500. The platen, or platen section, of the carrier may include rollers, bearings, or other activation support surfaces (eg, a reaction surface for air bearings in a conveyor). The platen may also include an electromagnet coupling that allows the container portion of the carrier to be separated from the platen portion, which may remain connected to the conveyor when the component container portion is loaded on the processing tool 3030.

例示的な実施形態では、ツールを積載するために、コンベヤ3200は、キャリアをツール積載ポートに置き、キャリアをコンベヤの高度からツール3030の(制御環境)積載接合部分3032に下げるために、例えば垂直移送専用メカニズム3040が使用されてもよい(図26A〜26B参照)。また、垂直移送デバイスがインデクサとして使用され、それによってウェハハンドリングロボットでアクセスするためにウェハを置いてもよい。垂直移送デバイスの好適な実施例は、参照することにより前述のように本明細書に組み込まれる、2005年8月25日に出願された米国特許出願シリアル番号第11/210,918号に記載される。   In an exemplary embodiment, to load the tool, the conveyor 3200 places the carrier at the tool loading port and lowers the carrier from the elevation of the conveyor to the (control environment) loading interface 3032 of the tool 3030, eg, vertically. A transfer-only mechanism 3040 may be used (see FIGS. 26A-26B). Also, a vertical transfer device may be used as an indexer, thereby placing a wafer for access by a wafer handling robot. A preferred embodiment of the vertical transfer device is described in US Patent Application Serial No. 11 / 210,918, filed August 25, 2005, which is incorporated herein by reference. You.

別の実施形態では、コンベヤは、反転配置に置かれたコンベヤを積み上げるエンジン付き車輪であり、コンベヤの車輪上にキャリアを保持するために適した磁気引力を有してもよい。その他の別の実施形態では、コンベヤが積載ポートの下になり、キャリアが上面上に反応機構を有するように、全体配置が反転されてもよい。   In another embodiment, the conveyor is an engine-powered wheel that stacks the conveyor in an inverted configuration and may have a suitable magnetic attraction to hold the carrier on the conveyor wheel. In other alternative embodiments, the overall configuration may be inverted so that the conveyor is below the loading port and the carrier has a reaction mechanism on the top surface.

図26A〜26Bは、直接キャリアを搬送システムから積載ポート/ツール接合部分に下げる/上げる、その他の実施例を示す。図26A〜26Bに示される例示的な実施形態では、キャリアは、キャリアと一体化する反応プラテンを有してもよい。その他の実施形態では、前述されたように、プラテンは、キャリアから着脱可能であってもよく、例えば、キャリアが取り外される際にコンベヤ上に残留する/コンベヤに連結されたままであってもよい。このような場合では、搬送システム内のそれぞれのプラテンは、FAB内のキャリアと実質的に1:1の関係で対応する。   26A-26B show another embodiment of lowering / raising the carrier directly from the transport system to the loading port / tool interface. In the exemplary embodiment shown in FIGS. 26A-26B, the carrier may have a reaction platen that is integral with the carrier. In other embodiments, as described above, the platen may be removable from the carrier, for example, may remain on / be connected to the conveyor when the carrier is removed. In such a case, each platen in the transport system corresponds to a carrier in the FAB in a substantially 1: 1 relationship.

図27は、別の例示的な実施形態による、コンベヤ運搬車複合型構成を有するキャリア4200を図示する。ペイロード(半導体基板を含むキャリア等)の輸送を自動化するために、キャリア運搬車4200が提供されてもよい。運搬車は、自己推進のための蓄積エネルギー、操舵システム、少なくとも1つのモータエンジン付き駆動車輪、走行距離計測および障害物検出のためのセンサ、ならびに関連する制御電子機器を備えてもよい。さらに、運搬車は、コンベヤシステム500(図20も参照)と類似する、コンベヤ4500の静止リニアモータのフォーサーセグメントと連動することができる反応要素(前述された磁気プラテンと類似する)を1つ以上装備してもよい。   FIG. 27 illustrates a carrier 4200 having a combined conveyor and truck configuration, according to another example embodiment. A carrier carrier 4200 may be provided to automate the transport of a payload (such as a carrier including a semiconductor substrate). The vehicle may be equipped with stored energy for self-propulsion, a steering system, at least one motor-driven drive wheel, sensors for odometer and obstacle detection, and associated control electronics. In addition, the transport vehicle may include one or more reactive elements (similar to the magnetic platen described above) that may be associated with the forcer segment of the stationary linear motor of the conveyor 4500, similar to the conveyor system 500 (see also FIG. 20). May be equipped.

例示的な実施形態では、1つ以上のフォーサーセグメントによって画定される通路(通路500M、500Jと類似する)に沿って運搬車4200が進行する場合、駆動モータが駆動車輪から切断され、運搬車は、コンベヤ4500内の反応要素を有する電磁石連結具によって通路に沿って受動的に促されてもよい。運搬車内の蓄積エネルギーデバイス(例えばバッテリ、超蓄電器、フライホイール等)の充電が必要な場合、リニアモータからのエネルギーを運搬車蓄電に変換するために、軌道に沿ったトラクションホイールの運動が使用されてもよい。電気エネルギー蓄電の場合、これは、適したモニタリングおよび調節電子機器を有するジェネレータとして使用される運搬車駆動モータを再接続することによって達成されてもよい。このような「オンザフライ」充電は、容易化および耐久性の利点を有し、該配置は、大幅な柔軟性および耐障害性をもたらす。例えば、運搬車4200は、自発的に機能していないコンベヤセグメント、障害物の周囲、またはコンベヤが使用できない作業エリア間を通り過ぎて進むことが可能であってもよい(図27A、28B参照)。コンベヤのフォーサーセグメントの数および長さは、インターベイ搬送機のためのコンベヤ等の動作計画に合わせて調整してもよく、例えば、ベイと運搬車の自立運動を使用してもよい。柔軟にルートを選択するために、自立操舵が使用されてもよい。湾曲したフォーサーセグメントを除去するために、自立コーナリングを使用することができる。コンベヤの走行に沿って高速進行が作動してもよく、所望により、安全障壁によって操作者から隔てられてもよい。コンベヤセクションは、隣接FABへのリンク等の長距離走行のために使用されてもよい。コンベヤは、グレード変更に使用され、専用の蓄積エネルギーを使用して、運搬車が直面する問題を軽減してもよい。   In an exemplary embodiment, when the vehicle 4200 travels along a path defined by one or more forcer segments (similar to paths 500M, 500J), the drive motor is disconnected from the drive wheels and the vehicle is , May be passively urged along the path by an electromagnet coupling having a responsive element within the conveyor 4500. When charging of stored energy devices (e.g., batteries, supercapacitors, flywheels, etc.) in the vehicle is required, the movement of the traction wheel along the track is used to convert energy from the linear motor into vehicle storage. You may. In the case of electrical energy storage, this may be achieved by reconnecting a vehicle drive motor used as a generator with suitable monitoring and conditioning electronics. Such "on-the-fly" charging has the advantages of simplicity and durability, and the arrangement provides significant flexibility and fault tolerance. For example, the carrier 4200 may be able to travel past conveyor segments that are not functioning spontaneously, around obstacles, or between work areas where the conveyor is not available (see FIGS. 27A, 28B). The number and length of the forcer segments of the conveyor may be tailored to the motion plan of the conveyor or the like for the interbay transporter, for example, using a free-standing motion of the bay and truck. Autonomous steering may be used to flexibly select a route. Freestanding cornering can be used to remove curved forcer segments. High speed travel may be activated along the conveyor run, and may be separated from the operator by a safety barrier, if desired. The conveyor section may be used for long distance travel, such as a link to an adjacent FAB. Conveyors may be used for grade changes and use dedicated stored energy to mitigate the problems faced by trucks.

図28は、一体化されたキャリアおよび搬送運搬車の別の実施例を示す。運搬車がFAB内で搬送部品キャリアに送り出される従来の運搬車系の半導体自動化と比較し、例示的な実施形態では、それぞれのキャリア5200が運搬車である。例示的な実施形態では、一体化キャリア/運搬車5200は、前述された運搬車4200と類似してもよい。別の実施形態では、キャリア運搬車は、所望の運搬車機構を有してもよい。例示的な実施形態では、運搬車5200は、一体キャリア5202と運搬車5204の一体化部分を含んでもよい。キャリア5202は、例示目的のために、前面/側面開口型として図28に示される。別の実施形態では、キャリアは、上面開口型であってもよく、またはいずれかの他の適した部品移送開口部を有してもよい。運搬車は、部品が移送される積載ポートに直接進んでもよく、または別のツール緩衝装置等の別の自動化構成要素と嵌合してもよい。キャリア5202および運搬車5204をほぼ永久的に固定することによって、ロット移送が望ましい場合に、遊離運搬車が送り出されるのを待つ時間ならびに関連する配送時間差異が削除される。さらに、キャリア運搬車5200は、「空の車」の移動をなくし、したがって搬送ネットワーク上の総交通量を低減し、システム容量を向上することができる。別の実施形態では、キャリアおよび運搬車は、運搬車からキャリアを分離するための連結を有してもよい。システム内の運搬車は、運搬車を待つキャリア搬送における遅延を削除するために、1:1の関係でキャリアに割り当てられてもよいが、限定事象(例えば運搬車または部品キャリアセクションのいずれかの修理/メンテナンス)において分離できるようにするために、適したコントローラのシステム知識を使用してもよい。それ以外では、キャリアおよび運搬車は、搬送中またはFABのツール積載ステーションもしくは他の自動化構成要素と嵌合する際、一体型ユニットのままである。   FIG. 28 shows another embodiment of the integrated carrier and transport vehicle. In the exemplary embodiment, each carrier 5200 is a carrier, as compared to conventional carrier-based semiconductor automation in which the carriers are delivered to carrier components carriers in the FAB. In an exemplary embodiment, the integrated carrier / truck 5200 may be similar to the cart 4200 described above. In another embodiment, the carrier vehicle may have a desired vehicle mechanism. In an exemplary embodiment, the carrier 5200 may include an integral portion of the integral carrier 5202 and the carrier 5204. The carrier 5202 is shown in FIG. 28 as a front / side opening for illustrative purposes. In another embodiment, the carrier may be top open or have any other suitable component transfer openings. The truck may go directly to the loading port where the parts are transferred, or may mate with another automation component, such as another tool damper. Securing the carrier 5202 and the carrier 5204 almost permanently eliminates the time to wait for a loose carrier to be dispatched as well as the associated delivery time differences if a lot transfer is desired. Further, the carrier carrier 5200 can eliminate the movement of “empty vehicles”, thus reducing total traffic on the transport network and increasing system capacity. In another embodiment, the carrier and the carrier may have a connection for separating the carrier from the carrier. The vehicles in the system may be assigned to carriers in a 1: 1 relationship to eliminate delays in carrier transport waiting for the vehicle, but only for limited events (eg, either the vehicle or the component carrier section). In order to be able to separate in repair / maintenance, system knowledge of a suitable controller may be used. Otherwise, the carrier and carrier remain an integral unit during transport or when mating with a tool loading station or other automation component of the FAB.

図29Cは、別の例示的な実施形態による、コンベヤシステム500(またはいずれかの他の所望のキャリア搬送システム)とツールステーション1000との間を接合してもよい、水平に配列された緩衝システム6000の平面図を示す。緩衝システムは、ツールステーションの下方またはその一部、もしくはツールステーションの上方に位置してもよい。緩衝システムは、操作者の進入路から離れて(すなわち下方または上方)置かれてもよい。図30は、緩衝システムの正面図である。図29C〜30は、例示目的のためにコンベヤ500の片側に位置する緩衝システムを示す。緩衝システムは、FABフロアを所望する大きさの部分だけ披覆するために、延在してもよい。示される例示的な実施形態では、操作者の通路は、緩衝システムの上方に持ち上げられてもよい。同様に、緩衝システムは、FABのオーバーヘッド内のどこに延在してもよい。図29C〜30に見られるように、例示的な実施形態では、緩衝システム6000は、少なくとも3次元移動が可能なシャトルシステム6100(適したキャリアリフトまたはインデクサを有してもよい)および緩衝ステーションSTのアレイを含んでもよい。一般的に、シャトルシステムは、誘導システム上で少なくとも2次元移動が可能な1つ以上のシャトル6104に対する誘導システム6102(例えばレール)を含んでもよい。図29C〜30に図示されるシャトルシステムの配置は、例示に過ぎず、別の実施形態では、シャトルシステムは、いかなる他の所望の配置を有してもよい。例示的な実施形態では、シャトルシステムは、コンベヤ500と、緩衝ステーションSTと、ツール積載ステーションLPとの間を往復または接合する(図29C参照)。シャトル6102は、水平に配置されたコンベヤ500(例えばコンベヤのセグメント600間のアクセスレーン602を介して)とツールステーション上の緩衝ストレージSTまたは積載位置LPとの間を縦走してキャリア200を往復させることができる。図30に最もよく見られるように、例示的な実施形態では、シャトル6104は、キャリアを掴む/コンベヤ600上に置くためのインデクサ6106、または緩衝ステーションSTもしくはツール積載ポートLPを含んでもよい。緩衝システムは、モジュラー形態で構成され、システムが容易に拡張または縮小できるようにしてもよい。例えば、それぞれのモジュールは、対応するストレージ位置STおよびシャトルレール、ならびに緩衝システムのその他の取り付けられたモジュールを接合するための連結接合部を有してもよい。別の実施形態では、システムは、緩衝ステーションモジュール(一体緩衝ステーションを1つ以上有する)およびシャトルレールにモジュラーを取り付けられるようにするシャトルレールモジュールを有してもよい。図29Cに見られるように、コンベヤ500のアクセスレーン60Lは、シャトルインデクサがコンベヤレーンを通ってキャリアにアクセスできるようにするシャトル進入路を有してもよい。図31は、コンベヤ500の合流/分化レーンに通じる緩衝システム6000の断面を示す。例示的な実施形態では、緩衝システムのシャトル6104は、コンベヤのアクセスレーンに向けられたキャリアにアクセスしてもよい。停止(または図29Cに示されるレーン602と類似する進入路の不足)は、シャトルがコンベヤの進行レーンにアクセスする、または干渉するのを制限してもよい。図32は、緩衝ステーションの複数の列を示す、さらに別の断面である。緩衝システムは、いかなる所望の列数の所望の数の緩衝ステーションを有してもよい。シャトルの縦走(図32に矢印Yで示される方向への)は、所望により、縦走ガイド61087のモジュラー交換によって調節されてもよい。その他の別の実施形態では、緩衝ステーションは、複数の水平な平面または階層(すなわち、垂直方向に分離された2つ以上の階層(キャリアの高さが階層間を通過することができる))で配列されてもよい。低減容量キャリアとともに多層緩衝が使用されてもよい。図33は、誘導された運搬車キャリアVとの接合部分を有する緩衝システムの別の平面図を示す。図34は、他の点では前述されたツール下緩衝システム6000と類似する、オーバーヘッド緩衝システム7000の正面図を示す。オーバーヘッド緩衝システム7000は、ツール下緩衝システム(システム6000と類似する)とともに使用されてもよい。オーバーヘッドコンベヤ500と接合するオーバーヘッド緩衝システムが示される。別の実施形態では、オーバーヘッドシステムは、フロアコンベヤシステムまたはフロアを基部とする運搬車と接合してもよい。ペイロードの少ないシャトルが水平に縦走するのを防ぐために、適した制御連結(例えば硬い)が提供され、通路の垂直隙間に作用してもよい。吊るされた積載物が通路空間を横切ることを防ぐために、通路上の上部シールドが使用されてもよい。   FIG. 29C illustrates a horizontally arranged buffer system that may interface between the conveyor system 500 (or any other desired carrier transport system) and the tool station 1000 according to another exemplary embodiment. 6000 shows a plan view. The buffer system may be located below or a part of the tool station or above the tool station. The damping system may be located remotely (ie, below or above) from the operator's approach. FIG. 30 is a front view of the shock absorbing system. 29C-30 show a cushioning system located on one side of conveyor 500 for illustrative purposes. The cushioning system may extend to show only the desired size of the FAB floor. In the exemplary embodiment shown, the operator's passage may be lifted above the cushioning system. Similarly, the buffering system may extend anywhere within the overhead of the FAB. As seen in FIGS. 29C-30, in the exemplary embodiment, the buffer system 6000 comprises a shuttle system 6100 (which may have a suitable carrier lift or indexer) capable of at least three-dimensional movement and a buffer station ST. May be included. In general, a shuttle system may include a guidance system 6102 (eg, rails) for one or more shuttles 6104 capable of at least two-dimensional movement on the guidance system. The arrangement of the shuttle system illustrated in FIGS. 29C-30 is merely exemplary, and in other embodiments, the shuttle system may have any other desired arrangement. In the exemplary embodiment, the shuttle system reciprocates or joins between the conveyor 500, the buffer station ST, and the tool loading station LP (see FIG. 29C). The shuttle 6102 traverses the carrier 200 back and forth between a horizontally disposed conveyor 500 (eg, via an access lane 602 between conveyor segments 600) and a buffer storage ST or loading location LP on a tool station. be able to. As best seen in FIG. 30, in an exemplary embodiment, the shuttle 6104 may include an indexer 6106 for grasping / laying the carrier on the conveyor 600, or a buffer station ST or tool loading port LP. The cushioning system may be configured in a modular form, allowing the system to be easily expanded or contracted. For example, each module may have a corresponding storage location ST and shuttle rail, and a coupling joint for joining the other mounted modules of the buffer system. In another embodiment, the system may include a buffer station module (having one or more integrated buffer stations) and a shuttle rail module that allows the modular to be attached to the shuttle rail. As seen in FIG. 29C, access lane 60L of conveyor 500 may have a shuttle approach that allows a shuttle indexer to access a carrier through the conveyor lane. FIG. 31 shows a cross section of the buffer system 6000 leading to the confluence / differentiation lane of the conveyor 500. In an exemplary embodiment, the shuttle 6104 of the buffer system may access a carrier that is directed to the conveyor's access lane. A stop (or lack of approach similar to lane 602 shown in FIG. 29C) may limit the shuttle from accessing or interfering with the conveyor's travel lane. FIG. 32 is yet another cross-section showing multiple rows of buffer stations. The buffer system may have any desired number of rows and any desired number of buffer stations. The traversal of the shuttle (in the direction indicated by arrow Y in FIG. 32) may be adjusted by modular exchange of traversing guide 61087, if desired. In other alternative embodiments, the buffer station is in a plurality of horizontal planes or levels (ie, two or more levels separated vertically (carrier height can pass between levels)). They may be arranged. Multi-layer buffers may be used with reduced volume carriers. FIG. 33 shows another plan view of the cushioning system with a junction with the guided carrier V. FIG. 34 shows a front view of an overhead cushioning system 7000 that is otherwise similar to the below-the-tool cushioning system 6000 described above. The overhead cushioning system 7000 may be used with an under-tool cushioning system (similar to system 6000). An overhead buffering system mating with the overhead conveyor 500 is shown. In another embodiment, the overhead system may interface with a floor conveyor system or a floor based truck. To prevent the low payload shuttle from traversing horizontally, a suitable control connection (eg, stiff) may be provided to affect the vertical clearance of the passage. An upper shield on the aisle may be used to prevent suspended loads from crossing the aisle space.

図35は、環状緩衝システム8000を示す。システムの緩衝ステーションSTは、可動式であってもよく、キャリアがツール接合部分の緩衝ステーションSTおよび積載ステーションLPに積載され得る(例えばオーバーヘッド積載で)積載位置R間の緩衝ステーションSTを動かすトラック8100(例示的な実施形態では、閉じた環状として示される)上に搭載されてもよい。ツール接合部分は、キャリアをツールステーションに積載するためのインデクサを有してもよい。   FIG. 35 shows an annular cushioning system 8000. The buffer station ST of the system may be mobile and a track 8100 that moves the buffer station ST between loading positions R (eg, with overhead loading) where carriers can be loaded at the buffer station ST and the loading station LP at the tool interface. (Shown as a closed annulus in the exemplary embodiment). The tool interface may have an indexer for loading the carrier on the tool station.

ここで、図36A〜36Cを参照すると、さらに別の例示的な実施形態による、基板キャリア2000の斜視図、側面図、および底面図がそれぞれ示されている。キャリア2000は、代表的なキャリアであり、例示的構成を有するように示される。示される実施形態におけるキャリア2000は、例示目的のために、底面開口型キャリアとして図示されるが、別の実施形態では、キャリアは、上面開口型、または側面開口型等のいかなる他の所望の構成を有してもよい。図36A〜36Cに示される例示的な実施形態におけるキャリア2000は、図1〜3に示されるキャリア200、200’、300と概して類似してもよく、類似する機構には、類似番号が付けられる。したがってキャリア2000は、開口部2004(例示目的のために、1つの開口部のみが図36A〜36Cに示される)を1つ以上有するシェルまたはケーシング2012を有し、該開口部を通してウェハがキャリアに/キャリアから搬送されてもよい。キャリアのシェルは、個々の開口部2004を閉締する開口部の閉締ドアを形成してもよい移動可能な壁またはセクション2016を有してもよい。前述されたように、示される例示的な実施形態では、シェル2012は、開口部2004を開口および閉締するために移動可能である底面壁2016を有してもよい。別の実施形態では、キャリアのシェルのいずれかの他のセクションまたは壁は、キャリアの内および外へのウェハの搬送を可能にするために、移動可能であってもよい。移動可能なセクション2016は、示され、前述されたものと同様な方法で、残りのケーシング2014に封止されてもよく、ケーシングは、例えば、不活性ガス、周囲雰囲気と異なる圧力または真空の高清浄度の空気等の隔離された雰囲気を保持可能であってもよい。シェル2014および移動可能な壁2016は、前述された壁216およびシェル214と類似する受動的な構造体であってもよく、例えば磁気またはいかなる他の所望の受動的な固定具で互いに固定されてもよい。例示的な実施形態では、壁2016は、磁気要素2016C(例えば鉄鋼材)を含んでもよく、シェル2014は、壁およびシェルを固定ならびに解放するために作動される磁気スイッチ2014Sを有してもよい。壁内の磁気要素およびシェル内の動作可能な磁石2014Sは、キャリアドア(壁またはシェルのいずれか、図36A、36C参照)をポートドアに固定し、キャリアドアをキャリアの他の部分から固定解除するように、ポートドア接合部分(以下にさらに記載されるような)内の磁気固定具と連動できるように構成されてもよい。別の実施形態では、壁とシェルとの間の磁気固定具は、いかなる他の所望の構成を有してもよい。受動的な金属キャリア2000およびキャリアドア2016、2014は、真空に適合する清潔かつ洗浄可能なキャリアを提供する。   Referring now to FIGS. 36A-36C, perspective, side, and bottom views, respectively, of a substrate carrier 2000 are shown, according to yet another example embodiment. Carrier 2000 is a representative carrier and is shown having an exemplary configuration. Although the carrier 2000 in the illustrated embodiment is illustrated as a bottom-opened carrier for illustrative purposes, in other embodiments the carrier may be any other desired configuration, such as a top-opened or side-opened type. May be provided. The carrier 2000 in the exemplary embodiment shown in FIGS. 36A-36C may be generally similar to the carriers 200, 200 ', 300 shown in FIGS. 1-3, and similar features are numbered similarly. . Accordingly, carrier 2000 has a shell or casing 2012 having one or more openings 2004 (for illustration purposes, only one opening is shown in FIGS. 36A-36C) through which wafers can enter the carrier. / May be transported from a carrier. The shell of the carrier may have a movable wall or section 2016 that may form a closing door for the opening closing the individual opening 2004. As described above, in the illustrated exemplary embodiment, the shell 2012 may have a bottom wall 2016 that is movable to open and close the opening 2004. In another embodiment, any other sections or walls of the shell of the carrier may be movable to allow transfer of wafers into and out of the carrier. The moveable section 2016 may be sealed to the remaining casing 2014 in a manner similar to that shown and described above, and the casing may be, for example, inert gas, a different pressure than the ambient atmosphere, or a high vacuum. It may be possible to maintain an isolated atmosphere such as clean air. Shell 2014 and movable wall 2016 may be passive structures similar to wall 216 and shell 214 described above, for example, secured to each other by magnetic or any other desired passive fixture. Is also good. In an exemplary embodiment, the wall 2016 may include a magnetic element 2016C (eg, a steel material) and the shell 2014 may have a magnetic switch 2014S that is activated to secure and release the wall and the shell. . Magnetic elements in the wall and operable magnets 2014S in the shell secure the carrier door (either wall or shell, see FIGS. 36A, 36C) to the port door and unlock the carrier door from the rest of the carrier. As such, it may be configured to work with a magnetic fixture in the port door interface (as described further below). In another embodiment, the magnetic fixture between the wall and the shell may have any other desired configuration. The passive metal carrier 2000 and carrier doors 2016, 2014 provide a vacuum compatible, clean and washable carrier.

図36A〜36Cに示される例示的な実施形態では、キャリア2000は、複数のウェハを運搬するための構成で図示される。別の実施形態では、キャリアは、一体ウェハ緩衝装置を有するまたは有さないシングルウェハ、もしくはいかなる所望の数のウェハを運搬するための所望の寸法であってもよい。前述された例示的な実施形態のキャリア200、200’、300と同様に、キャリア2000は、従来の13〜25ウェハキャリアと比較し、低減または小さなロットサイズのキャリアであってもよい。図36A〜36Bに最もよく見られるように、キャリアのシェルは、搬送システム接合部分セクション2060を有してもよい。キャリア2000の搬送システム接合部分セクション2060は、図20〜30に示されるものと類似するコンベヤシステム等のいかなる所望の搬送システムと接合するように配置されてもよい。例えば、キャリアは、キャリアケーシングに配置または接続され、キャリアをコンベヤに沿って推進するために、コンベヤシステムの搬送機のリニアまたは平面モータのフォーサーセクションと連動することができる、鉄鋼磁性体パッドまたは部材等の反応要素を含んでもよい。キャリアケーシングに接続されるリニアまたは平面モータの反応要素の適した構成の一例は、参照することにより前述のように本明細書に組み込まれる、2003年10月30日に出願された米国特許出願シリアル番号第10/697,528号に記載される。また、図36A〜36Cに示される例示的な実施形態では、キャリアの接合部分セクション2060は、キャリアが搬送システム上を移動するおよび/またはそこに静止している場合に、キャリアを搬送システムから支持するために、搬送システムと接合してもよい、キャリア支持体部材または表面2062を有してもよい。支持表面は、非接触型または接触型支持表面であってもよく、キャリアを搬送システムから安定して支持するために、側面(例えば表面2062S)または底面(例えば表面2062B)上に、もしくはこれらと対向して配置されてもよく、あるいはいずれかの他の所望の位置または対向位置に配置されてもよい。非接触型支持表面は、例えば、実質的に平坦なエリア、表面、またはパッドであってもよく、ケーシングに接続またはその上に配置され、いかなる適した手段で形成され、安定してキャリアを保持できるように(空気ベアリング単独または搬送システムモータによって付与される起動力(例えば磁力)との組み合わせのいずれかに基づいて)、搬送システムの空気ベアリング(図示せず)と相互作用することができる。別の実施形態では、キャリアケーシングは、浮いている(例えば非接触)が、安定してキャリアを搬送システム構造体から支持するために、空気(またはいずれかの他の所望のガス)を(受動的な)搬送システム構造体に向ける(能動的な)空気ベアリングを1つ以上有してもよい。本実施形態では、キャリアの空気ベアリングに送り込むための空気/ガスの適した供給源(例えばファンまたはガスポンプ)がキャリアに接続されてもよい。その他の別の実施形態では、キャリアケーシングおよび搬送システムは、能動的な空気ベアリング面および受動的な空気ベアリング面の両方(例えば搬送システム内の持ち上げ空気ベアリングおよびキャリア内の水平誘導空気ベアリング)を有してもよい。キャリア2000は、その他のハンドリング部材、例えば図36Bに示されるようなハンドリングフランジ2068等のフランジまたは表面を有してもよい。   In the exemplary embodiment shown in FIGS. 36A-36C, the carrier 2000 is illustrated in a configuration for carrying a plurality of wafers. In another embodiment, the carrier may be a single wafer with or without an integral wafer buffer, or the desired dimensions to carry any desired number of wafers. Similar to carriers 200, 200 ', 300 of the exemplary embodiments described above, carrier 2000 may be a reduced or smaller lot size carrier as compared to conventional 13-25 wafer carriers. As best seen in FIGS. 36A-36B, the shell of the carrier may have a transport system interface section 2060. The transport system interface section 2060 of the carrier 2000 may be arranged to interface with any desired transport system, such as a conveyor system similar to that shown in FIGS. For example, a carrier may be disposed or connected to a carrier casing and may be interlocked with a linear or planar motor forcer section of a conveyor system conveyor to propel the carrier along the conveyor, a steel magnetic pad or member. And the like. One example of a suitable configuration of a linear or planar motor reaction element connected to a carrier casing is described in U.S. Patent Application Serial No. 10/30/2003, which is incorporated herein by reference. No. 10 / 697,528. Also, in the exemplary embodiment shown in FIGS. 36A-36C, the interface section 2060 of the carrier supports the carrier from the transport system when the carrier moves and / or is stationary thereon. A carrier support member or surface 2062, which may be joined with a transport system to do so. The support surface may be a non-contact or contact support surface and may be on or with a side surface (eg, surface 2062S) or a bottom surface (eg, surface 2062B) to stably support the carrier from the transport system. It may be located opposite, or located at any other desired or opposed position. The non-contact support surface may be, for example, a substantially flat area, surface, or pad, connected to or disposed on the casing, formed by any suitable means, and stably holding the carrier. As it can (either based on the air bearing alone or in combination with the motive force (eg, magnetic force) provided by the transport system motor), it can interact with the transport system air bearing (not shown). In another embodiment, the carrier casing is floating (e.g., non-contact), but air (or any other desired gas) (passive) to stably support the carrier from the transport system structure. There may be one or more (active) air bearings directed at the transport system structure. In this embodiment, a suitable source of air / gas (e.g., a fan or gas pump) may be connected to the carrier for feeding into the air bearings of the carrier. In other alternative embodiments, the carrier casing and the transport system have both active and passive air bearing surfaces (eg, a lift air bearing in the transport system and a horizontal guide air bearing in the carrier). May be. Carrier 2000 may have other handling members, for example, a flange or surface such as handling flange 2068 as shown in FIG. 36B.

例示的な実施形態では、キャリア2000は、キャリアを処理ツールの積載セクション(例えば積載ポート)と接合可能にする連結インタフェースとしてのツール接合部分セクション(ツールインタフェースセクション)2070を有してもよい。処理ツールは、いかなる種類のものであってもよい。例示的な実施形態では、接合部分(インタフェース)2070は、キャリアの底面上に位置してもよい。別の実施形態では、キャリアは、キャリアのいずれかの他の所望の側面上にツール接合部分(ツールインタフェース)を有してもよい。さらに他の別の実施形態では、キャリアは、キャリアを異なる構成でツールと接合できるようにする、複数のツール接合部分(例えば底面および側面)を有してもよい。例示的な実施形態におけるキャリア2000のツール接合部分セクション2070は、図36Cに最もよく見られる。図36Cに示されるツール接合部分セクション2070の構成は、例示に過ぎず、別の実施形態では、キャリアは、いかなる他の所望の構成を有するツール接合セクションを有してもよい。例示的な実施形態では、接合部分セクション2070は、機構を有し、キャリアの適切なSEMI標準(SEMI E.47.1およびE57、ならびにいずれかの他の適切なSEMIまたは他の標準)と概して適合してもよく、これらすべての標準は、参照することによりその全体が本明細書に組み込まれる。したがって、例示的な実施形態では、キャリアの接合部分セクション2070は、従来の積載ポート接合部分に位置する一次および/または二次KCピン(図示せず)を受け入れるために、SEMI標準E.47.1およびE57に準拠して配置される運動学的連結(KC)受け器を含んでもよい。また、キャリアの接合部分2070は、キャリアのSEMI標準に準拠するインフォメーションパッドを1つ以上有するセクションを有してもよい。別の実施形態では、キャリア接合セクションには、1つ以上のSEMI指定の機構が提供されなくてもよい(例えば、接合セクションには、運動学的連結機構が提供されなくてもよい)が、それにもかかわらず、該機構に対応する予備エリアをケーシングの側面接合部分上に有してもよい。したがって、例示的な実施形態では、キャリアの接合部分セクション2070は、従来の処理ツールの従来の積載接合部分にキャリア2000を接合可能であってもよい。理解され得るように、および前述された実施形態に関して記載されるように、キャリアをプロセス環境に連結する積載ポートにキャリアを結合するため(または例えば処理装置内の真空を維持するため)に、キャリア内部が処理環境に対して実質的に封止され、キャリア上の汚れた表面と称される場合がある表面が、処理環境から実質的に隔離され、切り離されるように、キャリアを結合することが望ましい。理解され得るように、キャリア/積載ポートは、前述されたように、キャリアを封止するために接合部分と接触し、キャリアと積載ポートとの間の運動学的連結具は、キャリアと積載ポートとの間に過剰な拘束条件を生じてもよい。過剰な拘束を緩和するために、キャリアと積載ポートとの間の運動学的連結具がコンプライアンスを有し、積載ポート接合部分にキャリアを繰り返し位置付け可能であってもよい。連結コンプライアンスは、積載ポート接合部分からの先行荷重によって起動されてもよい。ここで、図36Eを参照すると、例示的な実施形態による、コンプライアント運動学的連結具2072の代表的な接合部分(インタフェース部分)2272の概略断面図が示されている。一般的に連結接合(連結インタフェース)部分2072には、ピン2274および溝またはデテント2276が配置されてもよく、キャリア上の過剰な拘束をいかなる所望の自由度(例えばキャリアの傾斜、転がり、揺れ)に緩和するために、1つ以上の所望の方向(矢印X、Zで示されるような)におけるコンプライアンスまたは柔軟性が提供されてもよい。一例として、連結ピン2274は、コンプライアンスを有してもよい(バネ荷重、例えば屈曲して搭載された概して球体のピン、弾性的な可撓性材料等から作製されたピンによって等)。また、連結溝2276も整合性を有してもよい(屈曲して搭載する、弾性的な可撓性材料内に溝を形成することによって、先行荷重下で圧縮される場合に溝表面が屈曲するようにする等)。   In an exemplary embodiment, the carrier 2000 may have a tool interface section (tool interface section) 2070 as a coupling interface that allows the carrier to interface with a loading section (eg, loading port) of a processing tool. The processing tool can be of any kind. In an exemplary embodiment, interface 2070 may be located on the bottom surface of the carrier. In another embodiment, the carrier may have a tool interface on any other desired side of the carrier. In yet another alternative embodiment, the carrier may have multiple tool interfaces (eg, bottom and side surfaces) that allow the carrier to be joined to the tool in different configurations. The tool interface section 2070 of the carrier 2000 in the exemplary embodiment is best seen in FIG. 36C. The configuration of the tool interface section 2070 shown in FIG. 36C is merely exemplary, and in other embodiments, the carrier may have a tool interface section having any other desired configuration. In the exemplary embodiment, the junction section 2070 has features and is generally compatible with the appropriate SEMI standard for carriers (SEMI E.47.1 and E57, and any other suitable SEMI or other standards). All these standards may be adapted, all of which are incorporated herein by reference in their entirety. Thus, in the exemplary embodiment, the carrier interface section 2070 is adapted to accept primary and / or secondary KC pins (not shown) located at a conventional load port interface, according to SEMI standard E.C. It may include a kinematic coupling (KC) receiver arranged in accordance with 47.1 and E57. Also, the carrier interface 2070 may include a section having one or more information pads that conform to the carrier's SEMI standard. In another embodiment, the carrier junction section may not be provided with one or more SEMI-specified features (eg, the junction section may not be provided with a kinematic coupling mechanism), Nevertheless, a spare area corresponding to the mechanism may be provided on the side joint of the casing. Thus, in an exemplary embodiment, the carrier interface section 2070 may be capable of joining the carrier 2000 to a conventional load interface of a conventional processing tool. As can be appreciated, and as described with respect to the previously described embodiments, to couple the carrier to a loading port that couples the carrier to a process environment (or to maintain, for example, a vacuum in the processing equipment). Coupling the carrier may be such that the interior is substantially sealed to the processing environment and a surface, which may be referred to as a dirty surface on the carrier, is substantially isolated and separated from the processing environment. desirable. As can be appreciated, the carrier / loading port contacts the interface to seal the carrier, as described above, and the kinematic coupling between the carrier and the loading port comprises the carrier and the loading port. May cause an excessive constraint. To relieve excessive restraint, the kinematic coupling between the carrier and the loading port may be compliant and allow the carrier to be repeatedly positioned at the loading port interface. Coupling compliance may be triggered by a leading load from the load port interface. Referring now to FIG. 36E, a schematic cross-sectional view of an exemplary interface 2272 of a compliant kinematic coupler 2072 is shown, according to an exemplary embodiment. In general, the coupling interface (coupling interface) portion 2072 may be provided with pins 2274 and grooves or detents 2276 to reduce excess restraint on the carrier to any desired degree of freedom (eg, tilting, rolling, or rocking the carrier). Compliance or flexibility in one or more desired directions (as indicated by arrows X, Z) may be provided. As an example, the connecting pin 2274 may be compliant (eg, by a spring load, such as a bent-mounted generally spherical pin, a pin made of an elastic flexible material, etc.). The connecting groove 2276 may also be conformable (by forming a groove in a resilient, flexible material that bends and mounts, the groove surface bends when compressed under a prior load. Etc.).

さらに、例示的な実施形態では、キャリアの接合部分セクション2070は、キャリアと処理ツールの積載接合部分との非接触型連結接合を可能にするために、以下でより詳細に記載されるように、さらに構成されてもよい。   Further, in the exemplary embodiment, the carrier interface section 2070 may include a non-contact articulating interface between the carrier and the loading interface of the processing tool, as described in more detail below, It may be further configured.

理解され得るように、キャリア2000等のウェハキャリアは、通常、処理するためのプロセスツールに関連して置かれてもよい。ウェハをツールに自動で搬送するために、ウェハキャリアとツールの積載ポートとを近接して配置することが望ましい。従来の位置付け方法は、通常、キャリアの底面と接触する、従来の機械的連結具を使用することができる。例えば、これらの従来の機械的連結は、全位置ズレを補正し、ウェハキャリアを位置合わせした位置に誘導することを助長するリードインまたはカムを提供する。あいにく、この機構は、積載ポートの結合ピンと滑り接触するために、キャリアのリードイン表面に依存し、その結果、摩耗する、および汚染物質を生成する可能性が生じる。従来の機械的連結具の使用における第2の問題は、正常に機能するために、従来の結合の捕捉範囲内においてキャリアをまばらに置くことが望まれるということである。キャリア搬送システムは、搬送システムの複雑性および/または適切な配置にかかる時間(例えば再試行)のいずれかを負荷する原因となる。したがって、キャリア搬送システムは、従来の機械的連結具の捕捉範囲内、または従来の用途では、摩耗を防ぐための名目上位置合わせした位置にキャリアを配置するために、十分繰り返し可能に設計されるべきである。必然的に、キャリア搬送システムは、多数のサイクルにわたり繰り返し性を達成できず、結果的に粒子を生成する滑り接触が生じる。キャリア2000の接合部分は、ウェハキャリアのプロセスツールへの位置付けにおいて同一の繰り返し性を提供し得るが、非接触(例えば磁気)連結を使用する。この機能は、配置許容を緩和し、結果としてキャリアの積み込み/取り出しステップの速度を向上するリードイン機構を搬送システムが完全に認識できるようにする。第2に、配置誤差を補正するためのすべての運動は、清浄度のために関連するいかなる滑り運動をも除去し、キャリアと積載ポートとの間の物理的接触なく実行されてもよい。   As can be appreciated, a wafer carrier, such as carrier 2000, may be typically associated with a processing tool for processing. In order to automatically transfer the wafer to the tool, it is desirable to arrange the wafer carrier and the loading port of the tool in close proximity. Conventional positioning methods can use conventional mechanical couplings, which typically contact the bottom surface of the carrier. For example, these conventional mechanical linkages provide a lead-in or cam that corrects for any misalignment and helps guide the wafer carrier to the aligned position. Unfortunately, this mechanism relies on the lead-in surface of the carrier to make sliding contact with the coupling pins of the loading port, resulting in potential wear and the generation of contaminants. A second problem with the use of conventional mechanical couplings is that it is desirable for the carrier to be sparse within the capture range of the conventional coupling in order to function properly. The carrier transport system is responsible for either adding complexity of the transport system and / or time for proper placement (eg, retries). Thus, the carrier transport system is designed to be sufficiently repeatable to place the carrier within the capture range of a conventional mechanical coupling, or in conventional applications, in a nominally aligned position to prevent wear. Should. Inevitably, the carrier transport system cannot achieve repeatability over many cycles, resulting in sliding contacts that produce particles. The interface of the carrier 2000 may provide the same repeatability in positioning the wafer carrier to the process tool, but uses non-contact (eg, magnetic) coupling. This feature allows the transport system to fully recognize the lead-in mechanism that relaxes placement tolerances and consequently speeds up the carrier loading / unloading step. Second, all movements to correct for placement errors remove any associated sliding movements for cleanliness and may be performed without physical contact between the carrier and the loading port.

図36Cに見られるように、例示的な実施形態では、キャリアの接合部分セクション2070は、非接触型接合でキャリアと積載ポートを連結するための非接触型連結具2071を有してもよい。非接触型連結具2071は、通常、非接触型支持体またはリフトエリア2072、および非接触型連結セクション2074を含んでもよい。例示的な実施形態では、リフトエリア2072は、積載ポートの空気ベアリング(以下に記載される)と連動し、積載ポート内の空気ベアリングによって制御され、安定してキャリアを上げられるように配置される、実質的に平坦かつ滑らかな表面であってもよい。例示的な実施形態では、キャリアリフトエリアは、受動的であるが、別の実施形態では、キャリアは、キャリアを持ち上げるために、1つ以上の能動的空気/ガスベアリングを有してもよい。再び図36Cを参照すると、例示的な実施形態では、リフトエリア2072は、互いに類似し、積載ポートの空気ベアリングからキャリアを持ち上げる動作が実質的にリフトエリアセクションに作用する空気ベアリングからの圧力によって行われ、結果として生じる持ち上げがキャリアの重心(CG)と実質的に一致するように、キャリアケーシングの接合(例えば底面)側面に分散する3つのセクションを有してもよい。図36Cに示されるリフトエリアセクション2072の形状および数は、例示に過ぎず、別の実施形態では、リフトエリアは、いかなる所望の形状および数を有してもよい。例えば、リフトエリアは、単一の連続するもの(またはキャリアの接合部分の周囲の周りに延在する実質的に途切れのないセクション)であってもよい。例示的な実施形態では、リフトエリアは、SEMI準拠接合機構(例えば運動学的連結受け器、インフォパッド等)を妨げないように、キャリアの接合部分2070上に置かれる。リフトエリア2072は、接合の拘束内で可能な限りCGから離れて置かれてもよく、所望の圧力分布を生成し、キャリアと積載ポートとの間の所望の大きさの並進運動上の(すなわちx−y平面)位置ズレに適合するために所望の寸法であってもよい。例示的な実施形態では、リフトエリア2072は、単一軸(図36Cで軸Xで示される、例えば2軸間基準軸)に対して対象に配置されるが、キャリア接合部分のいずれの他の軸に対しては対象ではない。したがって、キャリアの接合部分2070は、ツール積載接合部分との非接触型接合部分が1つの適切な配向でのみ達成されるように、分裂される。不正な配向でキャリアを配置すると、結果としてキャリアリフトの不安定が生じ、これは、キャリアを配置する搬送システムの適したセンサ、またはキャリア自身もしくは積載ポートによって検出され、不正な配置を呈するために信号が送信されてもよい。また、積載ポートへのキャリアの適切な位置合わせを助長するために、リフトエリア2072は、所望の傾斜またはバイアスを有してもよい。別の実施形態では、キャリアと積載ポートとの間に位置合わせするために空気ベアリングが作用する際、可変の強度および可変の方向の所望の水平合力をキャリアに生成するために、リフトエリアは、機械、電気、圧電、熱、またはいずれかの他の適した手段等によって移動可能または傾斜変更可能であってもよい。   As seen in FIG. 36C, in an exemplary embodiment, the interface section 2070 of the carrier may include a non-contact coupling 2071 for coupling the carrier and the loading port with a non-contact junction. The non-contact coupling 2071 may typically include a non-contact support or lift area 2072, and a non-contact coupling section 2074. In an exemplary embodiment, the lift area 2072 is operatively associated with a load port air bearing (described below) and is controlled by the load port air bearing and positioned to stably lift the carrier. May be a substantially flat and smooth surface. In an exemplary embodiment, the carrier lift area is passive, but in another embodiment, the carrier may have one or more active air / gas bearings to lift the carrier. Referring again to FIG. 36C, in an exemplary embodiment, the lift areas 2072 are similar to each other, and the operation of lifting the carrier from the load port air bearings is substantially effected by pressure from the air bearings acting on the lift area section. And may have three sections distributed on the joining (eg bottom) side of the carrier casing such that the resulting lift substantially matches the center of gravity (CG) of the carrier. The shape and number of lift area sections 2072 shown in FIG. 36C are merely exemplary, and in other embodiments, the lift areas may have any desired shape and number. For example, the lift area may be a single continuous one (or a substantially continuous section extending around the perimeter of the carrier interface). In an exemplary embodiment, the lift area is placed on the carrier interface 2070 so as not to interfere with the SEMI compliant interface (eg, kinematic coupling receiver, infopad, etc.). The lift area 2072 may be located as far away from the CG as possible within the constraints of the bond, creating the desired pressure distribution and the desired magnitude of translation between the carrier and the loading port (ie, The dimensions may be any desired to accommodate the displacement (xy plane). In the exemplary embodiment, the lift area 2072 is symmetrically positioned with respect to a single axis (shown as axis X in FIG. 36C, eg, a biaxial reference axis), but with any other axis of the carrier interface. Is not a target. Thus, the carrier interface 2070 is split such that a non-contact interface with the tool load interface is achieved in only one suitable orientation. Placing the carrier in the wrong orientation results in carrier lift instability, which can be detected by a suitable sensor in the transport system to place the carrier, or by the carrier itself or the loading port, and exhibit incorrect placement. A signal may be transmitted. Also, the lift area 2072 may have a desired slope or bias to facilitate proper alignment of the carrier with the loading port. In another embodiment, the lift area is configured to create a desired horizontal resultant force of variable strength and variable direction on the carrier when the air bearing acts to align between the carrier and the loading port, It may be movable or tiltable, such as by mechanical, electrical, piezoelectric, thermal, or any other suitable means.

さらに図36Cを参照すると、例示的な実施形態では、非接触型連結セクション074は、1つ以上の永久磁石2074A〜2074C(例示目的のために、3つの磁石2074A〜2074Cが示されるが、別の実施形態では、より多くまたはより少ない磁石が提供されてもよい)を有してもよい。連結磁石2074A〜2074Cは、搬送システムのリニア/平面モータの反応セクションの一部であってもよく、モータ反応セクションから独立してもよい。連結磁石2074A〜2074Cは、キャリアと積載ポートとの間の所望の位置ズレのため、積載ポートの連結磁石(以下に記載される)を披覆するのに十分な寸法であってもよい。示される例示的な実施形態では、連結磁石2074A〜2074Cは、単一軸(図36Cの軸X等)に対して対象に配置されてもよいが、キャリアの接合部分のすべての他の軸に対しては非対称である。したがって、キャリアの非接触型連結セクションは、積載ポートに対してキャリアが所望の配向では無い場合に、キャリアが積載ポートに連結するのを防ぐために、分離される。つまり、キャリアの非接触型連結具は、正しい配向のために、それでもなお積載ポートに「鍵かけ」されてもよく、すべての他の配向は、連結具によって嵌合されず、したがって積載を試みない。積載ポート上にキャリアが不正に置かれ、適切に連結できない場合に、それを検出し、搬送システムに移動させるのに適した信号を送信し、可能な場合、キャリアを適切な配向に置き直すために、積載ポートまたはキャリアに適したセンサが提供されてもよい。別の実施形態では、非接触型連結セクション、および/またはリフトエリアは、キャリアの接合部分の複数の軸に対して対称に配置されてもよい。   Still referring to FIG. 36C, in an exemplary embodiment, the non-contact coupling section 074 includes one or more permanent magnets 2074A-2074C (three magnets 2074A-2074C are shown for illustrative purposes, but are different from each other). In embodiments, more or fewer magnets may be provided). The coupling magnets 2074A-2074C may be part of the reaction section of the linear / planar motor of the transport system or may be independent of the motor reaction section. The connecting magnets 2074A-2074C may be of sufficient size to carry the connecting port connecting magnets (described below) due to the desired misalignment between the carrier and the loading port. In the exemplary embodiment shown, the coupling magnets 2074A-2074C may be symmetrically positioned with respect to a single axis (such as axis X in FIG. 36C), but with respect to all other axes of the carrier interface. Are asymmetric. Thus, the non-contact coupling section of the carrier is separated to prevent the carrier from coupling to the loading port if the carrier is not in the desired orientation with respect to the loading port. That is, the non-contact coupling of the carrier may still be "locked" to the loading port for the correct orientation, and all other orientations will not be fitted by the coupling, thus attempting to load. Absent. To detect when a carrier is improperly placed on a loading port and cannot be properly connected, send a signal suitable for moving to a transport system, and, if possible, reorient the carrier to the proper orientation. In addition, a suitable sensor for the loading port or carrier may be provided. In another embodiment, the non-contact connection section and / or the lift area may be arranged symmetrically with respect to a plurality of axes of the carrier interface.

ここで、図36Dを参照すると、別の例示的な実施形態による、キャリア2000’の底面図が示されており、キャリア2000’は、前述されたキャリア2000とほぼ類似し、類似機構には、類似番号が付けられている。キャリア2000’は、図36A〜36Cを参照して前述された非接触型連結具2071と概して類似する非接触型連結具2071’を有するキャリアの接合部分セクション2070’を有してもよい。図36Dに示される例示的な実施形態では、非接触型連結セクション2074’は、永久磁石の代わりに、鉄鋼磁性体セクション2074A’、2074B’、2074C’(キャリア内の搬送システムのモータ反応構成要素の一部であっても、それから独立していてもよい)を有してもよい。鉄鋼材セクション2074A’、2074B’、2074C’は、長方形、丸い円筒形、または球体等のいかなる所望の形状であってもよい。2074A’〜2074C’のそれぞれは、互いに類似してもよいが、別の実施形態では、所望の磁気連結具を画定する異なる共有セクションおよび方向特性がそれぞれのセクションで使用されてもよい。セクションは、積載ポート連結点の磁場内に収まり、キャリアが最初に積載ポート上に置かれる際のキャリアと積載ポートとの間の所望の初期位置ズレに適合するために、十分な寸法であってもよい。連結セクション2074A’、2074B’、2074C’は、キャリア上の磁力がキャリアを積載ポートに対して位置合わせした位置に偏らせるような大きさで、キャリアの接合部分上に配置されてもよい。図36Dに見られるように、例示的な実施形態では、連結セクション2074A’、2074B’、2074C’は、対称な単一軸(軸X)を画定するためにキャリアの接合部分上に分布し、したがってキャリアの非接触型連結2071’を鍵かけし、1つの配向においてのみ積載ポートへの連結を可能にしてもよい。別の実施形態では、連結セクションは、いずれかの他の所望の配置を有してもよい。   Referring now to FIG. 36D, a bottom view of a carrier 2000 'is shown, according to another exemplary embodiment, wherein carrier 2000' is substantially similar to carrier 2000 described above, and similar features include: Similar numbers are assigned. The carrier 2000 'may include a carrier mating section 2070' having a non-contact coupler 2071 'generally similar to the non-contact coupler 2071 described above with reference to FIGS. 36A-36C. In the exemplary embodiment shown in FIG. 36D, the non-contact coupling section 2074 'is replaced with a steel magnetic section 2074A', 2074B ', 2074C' (motor responsive component of the transport system in the carrier) instead of a permanent magnet. Or may be independent thereof). The steel sections 2074A ', 2074B', 2074C 'may be of any desired shape, such as rectangular, round, cylindrical, or spherical. Each of 2074A'-2074C 'may be similar to one another, but in other embodiments, different shared sections and directional characteristics defining the desired magnetic coupling may be used in each section. The section is of sufficient size to fit within the magnetic field of the loading port junction and to accommodate the desired initial misalignment between the carrier and the loading port when the carrier is first placed on the loading port. Is also good. The coupling sections 2074A ', 2074B', 2074C 'may be positioned on the carrier interface such that the magnetic force on the carrier biases the carrier to a position aligned with the load port. As seen in FIG. 36D, in the exemplary embodiment, the connecting sections 2074A ′, 2074B ′, 2074C ′ are distributed on the carrier interface to define a single axis of symmetry (axis X), and therefore The non-contact connection 2071 'of the carrier may be locked to allow connection to the loading port in only one orientation. In another embodiment, the connecting section may have any other desired arrangement.

ここで、図37A〜Dを参照すると、別の例示的な実施形態による、ツール積載ステーションまたは積載ポート2300の斜視図、端部断面図、側面断面図、および上平面図がそれぞれ示されている。示される例示的な実施形態では、積載ポートは、ウェハと接合し、前述されたキャリア2000、200、200’、300と類似する底面開口型キャリアからそれを積載する、およびそこへ積載するための構成を有してもよい。別の実施形態では、積載ポートは、いかなる他の所望の構成を有してもよい。積載ポート2300は、SEMI標準を例とし、適した搭載接合部分を有してもよい。BOLTS接合部分を備え、積載ポートがいかなる所望の処理ツールまたは作業ステーションと結合できるようにする。例えば、積載ポートは、処理ツールのEFEM等(より詳細に記載される)の制御雰囲気セクションに搭載/結合されてもよく、または処理ツール(図14に示される方法と類似した方法で)の雰囲気から隔離されたチャンバ(例えば真空移送チャンバ)または処理ツールの雰囲気に解放されたチャンバに結合されてもよい。本例示的な実施形態における積載ポートは、前述された積載ポートと類似する。積載ポート2300は、通常、キャリア積載接合部分2302、および積載空洞またはチャンバ2304(ウェハが個別にまたはカセットでキャリアから受け取られる、またはキャリアに戻される)を有してもよい。チャンバ2304は、隔離された雰囲気または制御(高清浄度)空気雰囲気を保持可能であってもよい(したがって積載ポートが処理ツールのロードロックとして機能できるようにする)。キャリア積載接合部分2302は、従来の積載ポートとは異なり、積載ポートに接合する際、キャリア配置領域内に実質的に突起がない、キャリアを支持する積載面2302Lを有してもよい。図37Aに見られるように、積載面は、キャリアと積載ポートとの間の相互位置ズレの場合にキャリア移動を抑制するために、キャリア配置領域の外側にバンパーまたはスナバを有してもよい。積載ポートの積載接合部分2302は、積載開口部(またはポート2308)(積載チャンバ2304に通じる)、および前述された積載ポートと類似するポートを閉締するポートドアを有してもよい。例示的な実施形態では、ポートドア2310は、実質的に平坦であり、積載接合部分の積載面と水平であってもよい。ポートドア2310は、図4A〜4Bに示されるものと類似する封止配置においてポート縁で封止されてもよい。理解され得るように、積載ポートの積載ポート接合部分2302に接合および連結される場合、キャリアケーシングおよびキャリアドアは、積載ポート縁2308Rおよびポートドア2310のそれぞれで、「ゼロ容量パージ」封止と称される場合があり、図4A〜4Bに示されるものと類似する配置を有する封止で封止される。別の実施形態では、ポート縁と、ポートドアと、キャリアケーシングと、キャリアドアとの間の封止は、いかなる他の所望の構成を有してもよい。例示的な実施形態では、ポートドア2310は、受動的な磁気連結具またはラッチを用いて、前述されたものとも同様な方法で、ポートに連結されてもよい。例示的な実施形態では、ポートドアとポートとの間の磁気連結/ラッチ要素は、ポートドアとポートとの間のラッチの作動と同時に、キャリアドアとケーシングとの間の受動的な磁気ラッチを作動するように置かれ、構成されてもよい。したがって、例えば、ポートからポートドアを固定解除すると、キャリアからキャリアドアが固定解除され、キャリアドアとキャリアを固定するポートドアが固定される。例示的な実施形態では、積載ポートは、図8〜14に示されるものと類似する、インデクサ2306およびパージ/通気システム2314を含んでもよい。   Referring now to FIGS. 37A-D, perspective, end, side, and top plan views, respectively, of a tool loading station or port 2300 are shown, according to another exemplary embodiment. . In the exemplary embodiment shown, the loading port joins the wafer and loads and loads it from a bottom open carrier similar to the carriers 2000, 200, 200 ', 300 described above. It may have a configuration. In another embodiment, the loading port may have any other desired configuration. The loading port 2300 may have a suitable mounting interface, as exemplified by the SEMI standard. A BOLTS interface is provided to allow the loading port to be coupled to any desired processing tool or work station. For example, the loading port may be mounted / coupled to a control atmosphere section such as an EFEM (described in more detail) of the processing tool, or the atmosphere of the processing tool (in a manner similar to that shown in FIG. 14). It may be coupled to a chamber (eg, a vacuum transfer chamber) that is isolated from or a chamber that is open to the atmosphere of the processing tool. The loading port in the present exemplary embodiment is similar to the loading port described above. The loading port 2300 may typically have a carrier loading interface 2302 and a loading cavity or chamber 2304 (where wafers are received from the carrier individually or in a cassette or returned to the carrier). The chamber 2304 may be capable of maintaining an isolated atmosphere or a controlled (clean) air atmosphere (thus allowing the loading port to function as a load lock for a processing tool). Unlike the conventional loading port, the carrier loading joint 2302 may have a loading surface 2302L for supporting the carrier, which has substantially no protrusion in the carrier placement area when joined to the loading port. As seen in FIG. 37A, the loading surface may have bumpers or snubbers outside the carrier placement area to suppress carrier movement in the event of mutual displacement between the carrier and the loading port. The loading interface 2302 of the loading port may have a loading opening (or port 2308) (which leads to the loading chamber 2304) and a port door that closes a port similar to the loading port described above. In an exemplary embodiment, the port door 2310 may be substantially flat and level with the loading surface of the loading interface. Port door 2310 may be sealed at the port rim in a sealing arrangement similar to that shown in FIGS. 4A-4B. As can be appreciated, when joined and coupled to the loading port interface 2302 of the loading port, the carrier casing and carrier door are referred to as a "zero volume purge" seal at the loading port rim 2308R and the port door 2310, respectively. And may be sealed with a seal having an arrangement similar to that shown in FIGS. In another embodiment, the seal between the port rim, the port door, the carrier casing, and the carrier door may have any other desired configuration. In an exemplary embodiment, port door 2310 may be coupled to the port using a passive magnetic coupling or latch in a manner similar to that described above. In an exemplary embodiment, the magnetic coupling / latch element between the port door and the port provides a passive magnetic latch between the carrier door and the casing upon actuation of the latch between the port door and the port. It may be placed and configured to operate. Therefore, for example, when the port door is unlocked from the port, the carrier door is unlocked from the carrier, and the carrier door and the port door that fixes the carrier are fixed. In an exemplary embodiment, the loading port may include an indexer 2306 and a purge / vent system 2314, similar to those shown in FIGS.

また、図37Dを参照すると、例示的な実施形態の積載ポートのキャリア積載接合部分は、例えばキャリア2000を積載ポート2300に接合および連結するために、キャリア2000の非接触型接合部分セクション2071と連動してもよい、実質的に非接触型接合部分セクション2371を有してもよい。図3710に示されるように、例示的な実施形態では、接合部分セクション2371は、1つ以上の空気ベアリング2372および非接触型連結セクション2374を有してもよい。積載ポートの空気ベアリング2372は、いかなる適した種類および構成であってもよく、例えば「鍵かけ」配置内に置かれ、通常、キャリアの接合部分上のリフティングエリア2072の配置に対応してもよい。したがって、空気ベアリング2372は、積載ポートに連結される際のキャリア2000の配置を定める参照基準Xに対して対象に配置されてもよい。適した空気/ガスの供給源(図示せず)が空気ベアリングに供給を行う。空気ベアリングへの所望のガスの流れを維持するために、適した調整器(図示せず)を使用してもよい。所望により、空気ベアリングへのガス供給元および調整器が置かれてもよい。例えば、積載ポートの積載チャンバ2304の外部または内部だが、チャンバの内部雰囲気から隔離されてもよい、例えば空気ベアリング2372(図37C参照)へのガス供給源2372Sは、ベローズまたは他の可撓性の封止されたスリーブ内からガス供給源を積載チャンバから隔離する空気ベアリングに延在してもよい。さらなる実施例として、空気ベアリングへのガス供給源は、図14に示されるパージおよび通気ラインと同様な方法でインデクシングデバイスを隔離するベローズ封止内に延在してもよい。例示的な実施形態では、キャリアの空気/リフトエリアは、キャリアドア上であってもよく、したがって例示的な実施形態では、積載ポート(実質的にリフトエリアの下方に位置する)の空気ベアリング2372は、ポートドア2310の境界内に置かれてもよい。別の実施形態では、空気ベアリングは、ポートフレームまたはポート縁上に置かれてもよく、空気ベアリングへのガス供給は、積載ポートの積載チャンバの完全に外部に置かれてもよい。例示的な実施形態では、空気ベアリング2372は、オリフィスベアリング(実質的に局限された排気を有する)であってもよく、または分散したほぼ均一な排気を有する多孔質媒体空気ベアリングであってもよい。それぞれの空気ベアリング2372からの排気流量は、圧力、質量流量、および方向(一例として、図37CのABによって実質的に垂直に示される)の点から、固定されてもよい(実質的に一定であり続けてもよい)。別の実施形態では、空気ベアリングは、可変の排気流量を有し、積載ポートに対するキャリアの移動をオフセットするため、およびキャリアを積載ポートに位置合わせすることを促進するために、例えば排気流量特性(例えば圧力、質量、または方向)を変更できるようにしてもよい。理解され得るように、キャリア上の空気ベアリング2372およびリフトパッド2072は、所望の位置ズレ許容帯またはキャリアを積載ポート上に初回配置する際の配置領域を提供するような大きさであってもよい。   Also referring to FIG. 37D, the carrier loading interface of the load port of the exemplary embodiment interlocks with the non-contact interface section 2071 of the carrier 2000, for example, to join and couple the carrier 2000 to the load port 2300. And may have a substantially non-contact interface section 2371. As shown in FIG. 3710, in an exemplary embodiment, the interface section 2371 may have one or more air bearings 2372 and a non-contact coupling section 2374. The load port air bearing 2372 may be of any suitable type and configuration, for example, may be located in a "locked" configuration and typically corresponds to the configuration of the lifting area 2072 on the carrier interface. . Thus, the air bearing 2372 may be symmetrically positioned with respect to a reference X that determines the placement of the carrier 2000 when coupled to a loading port. A suitable air / gas supply (not shown) supplies the air bearings. A suitable regulator (not shown) may be used to maintain the desired gas flow to the air bearing. If desired, a gas supply and regulator to the air bearing may be located. For example, a gas supply 2372S to an air bearing 2372 (see FIG. 37C) may be external or internal to the loading chamber 2304 of the loading port, but may be isolated from the interior atmosphere of the chamber. A gas supply may extend from within the sealed sleeve to an air bearing that isolates the gas supply from the loading chamber. As a further example, the gas supply to the air bearing may extend into a bellows seal that isolates the indexing device in a manner similar to the purge and vent lines shown in FIG. In the exemplary embodiment, the air / lift area of the carrier may be on the carrier door, and thus, in the exemplary embodiment, the air bearing 2372 of the loading port (substantially below the lift area). May be located within the boundaries of the port door 2310. In another embodiment, the air bearing may be located on the port frame or port rim, and the gas supply to the air bearing may be located completely outside the loading chamber of the loading port. In an exemplary embodiment, air bearing 2372 may be an orifice bearing (with substantially localized exhaust) or a porous media air bearing with distributed, substantially uniform exhaust. . The exhaust flow from each air bearing 2372 may be fixed (substantially constant) in terms of pressure, mass flow, and direction (illustratively, as shown by AB in FIG. 37C, substantially vertical). It may continue to exist). In another embodiment, the air bearing has a variable exhaust flow rate to offset the movement of the carrier relative to the loading port and to facilitate aligning the carrier with the loading port, for example, by using an exhaust flow characteristic ( For example, pressure, mass, or direction) may be changed. As can be appreciated, the air bearing 2372 and lift pad 2072 on the carrier may be sized to provide a desired misalignment band or placement area for initial placement of the carrier on the loading port. .

ここで、図37Eを参照すると、別の例示的な実施形態による積載ポート2300’の平面図が示されており、積載ポート2300’は、積載ポート2300と類似し、類似機構には、類似番号が付けられている。本例示的な実施形態では、1つ以上の空気ベアリング2372’は、ノズルのアレイを有してもよい。ノズルのアレイからの排気AB1〜AB4は、方向付け可能な合成排気を提供するために、組み合わせられてもよい。一例として、アレイのそれぞれのノズルは、その他のノズルの排気に対して排気角度を有してもよい。1つ以上のノズルからの排気流量は、固定されてもよく、または可変であってもよい。アレイの空気ノズルが最大流量で動作している場合、合成排気は、第1の所望の方向(例えば実質的に垂直)を有する。アレイの1つ以上のノズルを通る流量の停止または減少は、合成排気方向に変化が生じ、結果として積載面における方向成分となる。別の実施形態では、空気ベアリングのノズルは、排気の方向を制御するために可動式(例えば、傾斜変更可能な基盤上に搭載された空気ベアリングのノズル)であってもよく、または形状変更可能(例えば圧電材料または形状記憶材料を使用することによって)であってもよい。理解され得るように、積載面内の空気ベアリング排気の方向成分は、積載面内の空気ベアリングに乗っているキャリアに、排気の方向成分と対向する方向の起動力を付与し、積載面内のキャリアの横運動を生じる。   Referring now to FIG. 37E, there is shown a top view of a loading port 2300 ′ according to another exemplary embodiment, wherein the loading port 2300 ′ is similar to the loading port 2300 and similar features are denoted by like numbers. Is attached. In the present exemplary embodiment, one or more air bearings 2372 'may have an array of nozzles. The exhaust AB1-AB4 from the array of nozzles may be combined to provide a directional synthetic exhaust. As an example, each nozzle of the array may have an evacuation angle with respect to the evacuation of the other nozzles. The exhaust flow from one or more nozzles may be fixed or variable. When the air nozzles of the array are operating at maximum flow rate, the resultant exhaust has a first desired direction (eg, substantially vertical). A stop or decrease in flow through one or more nozzles of the array causes a change in the synthetic exhaust direction, resulting in a directional component at the loading surface. In another embodiment, the air bearing nozzle may be movable (eg, an air bearing nozzle mounted on a tiltable base) to control the direction of exhaust, or may be reshaped. (Eg, by using a piezoelectric or shape memory material). As can be appreciated, the directional component of the air bearing exhaust in the loading surface imparts a motive force in a direction opposite to the directional component of the exhaust to the carrier riding on the air bearing in the loading surface, and This causes lateral movement of the carrier.

再び図37A〜37Dを参照すると、積載ポートの非接触型連結セクション2374は、キャリアと積載ポートとの間(キャリアドア2016とポートドア2310との間、および所望により、キャリアケーシングと積載ポートフレームとの間等)の磁気固定可能/固定不可能な連結を画定するために、磁石2074A〜2074C(図36C参照)またはキャリアの磁性体セクション2074A’〜2074C’と連動するように置かれた磁石セクション2374A〜2374Cを備えてもよい。また、例示的な実施形態では、キャリアの磁石2074A〜2074C、または磁性体セクション2074A’〜2074C’1と連動する積載ポートの磁石セクション2374A〜2374Cは、以下に記載される所望の位置合わせを達成するために、積載部分のキャリアの位置を調整することができる、キャリア位置補正デバイスを形成してもよい。図に示される磁石セクション2374A〜2374Cの配置は、例示に過ぎず、別の実施形態では、積載ポートの非接触型キャリア連結セクションの磁石セクションは、いかなる所望の方法で配置/構成されてもよい。磁石セクション2374A〜2374Cは、作動された場合に、キャリア内の磁石または磁気セクションに所望の方向にバイアスをかける所望の磁場(キャリアと積載ポートの固定/連結を生じるため、および/またはキャリア上に補正力を付与するため等)を生成する磁気スイッチとなる動作可能な磁石であってもよい。図37Aおよび37Dに見られるように、例示的な実施形態では、積載ポート接合部分は、キャリア搬送システムに積載ポートの位置/場所を教え、キャリアの積載ポート接合部分上への初回配置を可能にする、非接触型位置合わせシステム2380を有してもよい。前述されたように、積載ポートの配置領域には、実質的に突起がなく、例示的な実施形態では、キャリアを配置領域に初期配置する際、キャリアと積載ポートとの間に実質的な接触がない(すなわち摩擦接触がない)。示される例示的な実施形態では、位置合わせシステム2380は、適したセンサが像を取得することができるレジストレーションマスクのアレイまたはパターンを有してもよい。図37Dに示されるマスクのパターンは、例示に過ぎず、別の実施形態では、適したセンサが像を取得できる、いかなる適したマスキングパターンが使用されてもよく、すべての所望の自由度を定める。例えば搬送システム(例えば図26B参照)のキャリア保持部分上に置かれてもよいセンサ(図示せず)は、パターンおよびその空間特性の画像を取得することができる、例えばCCDまたはCMOS画像センサであってもよい。パターンを具象化する画像データは、キャリア搬送機に対する積載ポート配置領域の位置を判断し、キャリア搬送機に該位置を教えるために、キャリア搬送機とパターンの位置データを同様に登録し、関連付ける、適したプロセッサに伝達されてもよい。   Referring again to FIGS. 37A-37D, the non-contact coupling section 2374 of the loading port connects between the carrier and the loading port (between the carrier door 2016 and the port door 2310, and optionally, the carrier casing and the loading port frame). (See FIG. 36C) or magnetic sections 2074A'-2074C 'of the carrier or magnet sections 2074A'-2074C' to define a magnetically lockable / non-lockable connection (e.g. 2374A to 2374C may be provided. Also, in the exemplary embodiment, the magnets 2074A-2074C of the carrier, or the magnet sections 2374A-2374C of the loading port in conjunction with the magnetic sections 2074A'-2074C'1, achieve the desired alignment described below. To this end, a carrier position correction device that can adjust the position of the carrier in the loading portion may be formed. The arrangement of magnet sections 2374A-2374C shown in the figures is merely exemplary, and in other embodiments, the magnet sections of the non-contact carrier coupling section of the loading port may be arranged / configured in any desired manner. . The magnet sections 2374A-2374C, when actuated, bias the magnets or magnetic sections in the carrier in a desired direction (to effect the locking / coupling of the carrier and the loading port and / or on the carrier). An operable magnet that becomes a magnetic switch for generating a correction force or the like may be used. As seen in FIGS. 37A and 37D, in an exemplary embodiment, the load port interface teaches the carrier transport system the location / location of the load port and allows for initial placement of the carrier on the load port interface. A non-contact registration system 2380. As described above, the location area of the loading port is substantially free of protrusions, and in an exemplary embodiment, there is substantial contact between the carrier and the loading port when initially placing the carrier in the location area. No (ie no frictional contact). In the exemplary embodiment shown, the alignment system 2380 may have an array or pattern of registration masks from which a suitable sensor can acquire an image. The pattern of the mask shown in FIG. 37D is exemplary only, and in another embodiment, any suitable masking pattern may be used that allows a suitable sensor to acquire an image, defining all desired degrees of freedom. . For example, a sensor (not shown) that may be placed on a carrier holding portion of a transport system (see, for example, FIG. 26B) is, for example, a CCD or CMOS image sensor that can capture images of the pattern and its spatial characteristics. You may. The image data that embodies the pattern determines the position of the loading port arrangement area with respect to the carrier transporter, and in order to inform the carrier transporter of the position, similarly registers and associates the position data of the carrier transporter and the pattern, It may be communicated to a suitable processor.

例示的な実施形態では、キャリア2000は、搬送システムによって配置領域2302P内に突起のない積載面に置かれてもよい。例示的な実施形態では、配置領域は、積載ポートの位置合わせ軸に対して、キャリア+/−例えば約20mmの大きさに形成されるエリアであってもよい。実際の配置誤差は、いかなる値であってもよく、記載される値によって決まるわけではなく、キャリアを配置後に位置付けるために使用される補正メカニズムに対する比率で指定されてもよい。したがって、本連結の位置合わせ繰り返し性は、実質的に従来の連結方法と同一であると同時に、許容できるキャリア搬送機の配置誤差を増大する。積載ポートによって一度キャリアが検知されると、空気のフィルム(空気ベアリング)が起動され、キャリアを持ち上げ、キャリアと積載ポートとの間の接合部分の摩擦をなくす。この時点では、キャリア上の力は、その質量、水平基準平面に対する重心の相対位置、およびそれ自体の持ち上げ力である。キャリアリフトエリアは、キャリアを持ち上げ、キャリアの積載ポートへの繰り返し可能な位置決め(角度および横軸の両方)を確立するために、積載ポート上の空気パッドと接合する。ここで、空気のフィルム上に浮遊するキャリアは、積載ポートと一直線に置かれてもよい。前述されたように、磁気連結具は、キャリアを並進し、回転するために、キャリアに力を付与するために使用することができる。十分なストロークであり、対象位置を予測できる限り、磁気以外のいかなる方法を使用してキャリアに力を付与してもよい。キャリアと積載ポートの連結の完了とは、2つの対象をともに保持位置にクランプすることである。   In an exemplary embodiment, the carrier 2000 may be placed on the loading surface without protrusions in the placement area 2302P by the transport system. In an exemplary embodiment, the placement area may be an area formed with a size of the carrier +/- for example about 20 mm with respect to the alignment axis of the loading port. The actual placement error may be any value and is not dependent on the values listed, but may be specified in proportion to the correction mechanism used to position the carrier after placement. Therefore, the alignment repeatability of the main connection is substantially the same as the conventional connection method, and at the same time, increases the allowable placement error of the carrier transporter. Once the carrier is detected by the loading port, a film of air (air bearing) is activated to lift the carrier and eliminate friction at the interface between the carrier and the loading port. At this point, the forces on the carrier are its mass, the position of the center of gravity relative to the horizontal reference plane, and its own lifting force. The carrier lift area interfaces with an air pad on the loading port to lift the carrier and establish repeatable positioning of the carrier to the loading port (both angular and transverse). Here, the carrier floating on the air film may be placed in line with the loading port. As described above, the magnetic coupling can be used to apply a force to the carrier to translate and rotate the carrier. The force may be applied to the carrier using any method other than magnetism as long as the stroke is sufficient and the target position can be predicted. Completion of the connection between the carrier and the loading port is to clamp both objects to the holding position.

一例として、および特に図36A〜36Cで図示される例示的な実施形態を参照し、キャリア2000が配置領域にある場合、永久磁石2074A〜2074Cは、積載ポート接合部分上の磁石2374A〜2374Cと重なり合う。空気ベアリングは、励起されてもよく、積載ポートの磁気は、対向する磁極をキャリアの磁石に提示するために、電気的または機械的手段によって作動される。接合部分に摩擦がないことによって、磁極が自然に位置合わせするまでX、Y、およびθZ軸上をキャリアが自由に移動できるようにするが、物理的接触を生成しない。本ステップ全体を通して、空気ベアリングには、キャリアおよび積載ポート内の磁力によってあらかじめ荷重が加えられる。先行荷重は、キャリアの制御の維持、および空気ベアリングの硬度の向上において有用である。例えば所定の時間経過後、またはセンサフィードバック手段によって空気ベアリングの動作が停止し、キャリアを積載ポートのポートドア上まで下げられるようにする。ここで、磁石は完全に接触し、キャリアをポートドアに保持するためのクランプ力を提供する。   By way of example, and particularly with reference to the exemplary embodiment illustrated in FIGS. 36A-36C, when carrier 2000 is in the deployment area, permanent magnets 2074A-2074C overlap magnets 2374A-2374C on the load port interface. . The air bearing may be energized and the loading port magnetism is actuated by electrical or mechanical means to present opposing poles to the carrier magnet. The lack of friction at the interface allows the carrier to move freely on the X, Y, and θZ axes until the magnetic poles are naturally aligned, but do not create physical contact. Throughout this step, the air bearings are pre-loaded by magnetic forces in the carrier and loading ports. The preload is useful in maintaining control of the carrier and increasing the hardness of the air bearing. For example, the operation of the air bearing is stopped after a predetermined time has elapsed or by the sensor feedback means, so that the carrier can be lowered onto the port door of the loading port. Here, the magnets make full contact and provide a clamping force to hold the carrier to the port door.

図36Dに示される例示的な実施形態では、キャリア2000は、(キャリア搬送システムによって)配置された後の積載ポート連結点の磁場内に収まる大きさの鉄鋼材パッド2074Aおよび2074C(図36D参照)を有する。空気ベアリングが作動され、積載ポート上の磁石が電気的または機械的手段のいずれかによって作動され、キャリアの鉄鋼パッドに磁場を導入してもよい。接合部分に摩擦がないことにより、磁石と鉄剛パッドとの間の引力がキャリアを位置合わせした位置に並進または回転できるようになる。空気ベアリングは、磁力によってあらかじめ荷重が加えられる。先行荷重は、キャリアの制御の維持、および空気ベアリングの硬度の向上において有用である。例えば所定の時間経過後、またはセンサフィードバック手段によって空気ベアリングの動作が停止し、例えばキャリアを積載ポートのポートドア上まで下げられるようにする。鉄鋼パッド上の磁力は、キャリアをポートドアに保持するためのクランプ力を提供する。   In the exemplary embodiment shown in FIG. 36D, the carrier 2000 has steel pads 2074A and 2074C sized to fit within the magnetic field of the loading port junction after being deployed (by the carrier transport system) (see FIG. 36D). Having. The air bearing may be actuated and the magnet on the loading port actuated by either electrical or mechanical means to introduce a magnetic field to the steel pad of the carrier. The lack of friction at the interface allows the attractive force between the magnet and the ferrous pad to translate or rotate the carrier to the aligned position. The air bearing is pre-loaded by magnetic force. The preload is useful in maintaining control of the carrier and increasing the hardness of the air bearing. For example, the operation of the air bearing is stopped after a predetermined period of time or by sensor feedback means, so that the carrier can be lowered, for example, onto the port door of the loading port. The magnetic force on the steel pad provides a clamping force to hold the carrier to the port door.

さらに別の実施例によると、キャリアは、図37Eに示される例示的な実施形態のもの等、空気ベアリング面に一体化された、方向付けられた空気ノズル2372’(図37E参照)によって駆動されてもよい。該実施形態では、空気ノズル2372は、キャリアに運動を付与する、横方向に印加される圧力を底面に提供してもよい。運動は、キャリア上の磁石が積載ポートと一直線になるまで、キャリアをXまたはY軸に向けるために、ノズルの適切なセットにエネルギーを供給するコントローラによって制御することができる。ノズルのアレイがプラテンに搭載され、回転/傾斜する別の実施形態では、プラテンは、ノズルに所望の方向を提供するためのエネルギーが供給されてもよい。ノズルは、キャリアの意図される運動方向と対向する方向に排気を向ける。この動きは、磁石のアライメントまでキャリアを並進するための横力を付与する。キャリアの実際の位置を検出し、位置合わせした位置と比較するために、例えば磁気連結具からのフィードバックを含む、センサフィードバックのいくつかの形式が使用されてもよい。この情報は、どの方向にキャリアが並進されるべきか、および空気ノズルによってどのような力がキャリアに印加されるべきかを決定してもよい。別の実施形態では、キャリアを所望の位置に位置合わせするために、ノズルおよび磁気連結具が併用して使用されてもよい。   According to yet another example, the carrier is driven by a directed air nozzle 2372 ′ (see FIG. 37E) integrated into the air bearing surface, such as that of the exemplary embodiment shown in FIG. 37E. You may. In such an embodiment, the air nozzle 2372 may provide a laterally applied pressure to the bottom surface that imparts motion to the carrier. Movement can be controlled by a controller that supplies energy to the appropriate set of nozzles to direct the carrier in the X or Y axis until the magnet on the carrier is aligned with the loading port. In another embodiment where the array of nozzles is mounted on a platen and rotates / tilts, the platen may be energized to provide the desired direction to the nozzles. The nozzle directs the exhaust in a direction opposite to the intended direction of motion of the carrier. This motion provides a lateral force to translate the carrier until the magnet is aligned. Several forms of sensor feedback may be used to detect the actual position of the carrier and compare it with the aligned position, including, for example, feedback from a magnetic coupling. This information may determine in which direction the carrier should be translated and what force should be applied to the carrier by the air nozzle. In another embodiment, a nozzle and a magnetic coupling may be used in combination to align the carrier to a desired location.

図37Fは、別の例示的な実施形態による、積載ポート接合部分の平面図を示す。本実施形態では、積載ポート2300’’は、積載ポート内に置かれる磁石2374’’が図37Eの矢印によって示される移動方向に移動可能なX−Yステージに取り付けられていることを除き、前述されたものと類似する。本実施形態では、キャリアは、積載ポートに置かれ、空気ベアリングが作動され、キャリアの磁石は、X−Yステージ2374S’’に連結される積載ポートの磁石に引きつけられる。X−Yステージ2374S’’は、例えば空気シリンダ、ネジ山のないネジ、または電気ソレノイドであってもよく、並進した位置を報告するためにリニアエンコードされる。連結されたキャリアの磁石および積載ポートの磁石は、教えられた(位置合わせした)位置に戻るように駆動される。目的地に到着する際、空気ベアリングの動作が停止され、キャリアがポートドアまで下がり、クランプされてもよい。同様に、この方法は、使用される既存の運動学的連結アプローチに適応することができ、それによってそれぞれの運動ピンがX−Yステージに連結される。本実施例では、運動ピンの2つがX、Y、およびθZと位置を合わせるために駆動される。これは、非接触を前提として動作しないが、最小摩擦でキャリアの配置許容を向上するための実行可能な方法である。   FIG. 37F shows a plan view of a load port interface, according to another example embodiment. In this embodiment, the loading port 2300 '' is identical to the loading port 2300 '' described above except that the magnet 2374 '' located in the loading port is mounted on an XY stage that is movable in the direction of movement indicated by the arrow in FIG. 37E. Similar to what was done. In this embodiment, the carrier is placed in the loading port, the air bearing is actuated, and the carrier magnet is attracted to the loading port magnet connected to the XY stage 2374S ''. The XY stage 2374S '' may be, for example, a pneumatic cylinder, a threadless screw, or an electric solenoid, and is linearly encoded to report the translated position. The coupled carrier magnet and the loading port magnet are driven back to the taught (aligned) position. Upon arriving at the destination, the operation of the air bearing may be stopped and the carrier lowered to the port door and clamped. Similarly, the method can be adapted to the existing kinematic coupling approach used, whereby each motion pin is coupled to the XY stage. In this embodiment, two of the motion pins are driven to align with X, Y, and θZ. This is a feasible way to improve the placement tolerance of the carrier with minimal friction, but without operating on non-contact basis.

図37Gは、キャリアを配置し、キャリアの連結点を積載ポートと位置合わせするために、キャリアがプッシャーアーム2374Mによって駆動されてもよいことを除き、類似する積載ポート2300Aの別の例示的な実施形態を示す。示される例示的な実施形態では、積載面は、θXおよびθYを中心に回転可能に搭載されてもよい(矢印R、Pに示されるように)。積載平面を傾斜してキャリアの重心を移動するために、空気ベアリングと組み合わせた自由度を使用し、回転角方向への並進を付与することができる。この方法は、キャリアと積載ポートの磁石を位置合わせするために適切なキャリア方向に積載平面を理知的に動かすために、位置フィードバックを使用する。一度キャリアが位置につくと、空気ベアリングの動作が停止され、キャリアがポートドアにクランプされてもよい。最終的に、ドアの取り外しに適切なポートとの位置合わせを達成するために、積載平面が回転され、元の位置に戻される。   FIG. 37G illustrates another exemplary implementation of a similar loading port 2300A, except that the carrier may be driven by a pusher arm 2374M to position the carrier and align the coupling point of the carrier with the loading port. The form is shown. In the exemplary embodiment shown, the loading surface may be mounted rotatably about θX and θY (as indicated by arrows R, P). To tilt the loading plane to move the center of gravity of the carrier, a degree of freedom in combination with an air bearing can be used to provide translation in the direction of the rotational angle. This method uses position feedback to intelligently move the loading plane in the proper carrier direction to align the carrier and loading port magnets. Once the carrier is in position, the operation of the air bearing may be stopped and the carrier may be clamped to the port door. Finally, the loading plane is rotated back to its original position to achieve proper port alignment for door removal.

前述されたように、キャリア内の環境は、例えばウェハおよびキャリア内部に施された前プロセスならびに環境により、様々であってもよい。したがって、積載ポートまたは積載ステーションに連結されるキャリアは、現在のプロセスとは異なる環境をその中に有してもよい(例えばガス種、清浄度、または圧力)。例えば、キャリアのウェハの任意のプロセスは、不活性ガスを採用してもよい。したがって、任意のツールのキャリアと積載ポートとの間の接合部分は、キャリア開口中の圧力差または望ましくないガス種の導入を最小化するために、所望により、適したガス種が投入または排出されるようにしてもよい。別の実施例として、ツール環境が真空であり、接合部分を介してツールの積載ポートに結合されるキャリアの空気が抜かれて低圧力となり、ウェハがキャリアから直接真空ロードロックに積載されるようにしてもよい。キャリアと積載ポートとの間の接合部分およびキャリアとツールとの間の環境を適合できるようにする環境制御システムは、図10〜10Aおよび14に前述され、示されるものと実質的に類似してもよい。キャリア積載ポート接合および環境適合システムの別の適した実施例は、参照することにより本明細書に前述のように組み込まれる、2005年8月25日に出願された米国特許出願シリアル番号第11/210,918号に記載される。ここで、図38Aを参照すると、キャリア内の環境を、異なる制御環境を有してもよい積載ポートに適合するためのプロセスを図示するフローチャートが示されている。図38Aの例示的な実施形態では、キャリアおよび積載ポートの両方は、同一ガス種(例えば同一種の不活性ガス)を保持してもよい。本実施形態では、キャリアと積載ポート/ツールとの間の環境の平衡が達成されるまで、キャリアの圧力がプロセス圧力より高い場合は、キャリアから例えばロードポートチャンバ(または他の適したプレナム)への排気(接合部分を介して)を行い、キャリアの圧力が低い場合は、積載ポートまたはその他の適した供給元からキャリアにガスが挿入(接合部分を介して)されてもよい。図38Bの例示的な実施形態では、積載ポートが大気環境(例えば清浄度の高い空気)を有し、例えば図38Aに関連して前述されたものと同様な方法で、キャリアと積載ポートとの間の平衡が確立されてもよい。図38Cは、積載ポートが真空環境を有する例示的な実施形態におけるプロセスを図示する。キャリアおよび積載ポートが初期に異なるガス種を有する場合がある別の実施形態では、キャリアの初期環境は真空にされ、ドアが開口される前に、積載ポート内のガス種等がキャリアに投入(例えば積載ポートから)されてもよい。   As mentioned above, the environment within the carrier may vary depending on, for example, the pre-process and environment applied inside the wafer and the carrier. Thus, a carrier coupled to a loading port or station may have a different environment therein (eg, gas type, cleanliness, or pressure) than the current process. For example, any process of the carrier wafer may employ an inert gas. Thus, the interface between the carrier and the loading port of any tool may be loaded or exhausted with a suitable gas species, as desired, to minimize the pressure differential or the introduction of undesirable gas species in the carrier opening. You may make it so. In another embodiment, the tool environment is vacuum and the carrier coupled to the tool loading port through the interface is evacuated to a low pressure so that the wafer can be loaded directly from the carrier into a vacuum load lock. You may. An environmental control system that allows adaptation of the interface between the carrier and the loading port and the environment between the carrier and the tool is substantially similar to that shown and shown above in FIGS. Is also good. Another suitable embodiment of a carrier-loaded port bonding and environmentally compatible system is described in US Patent Application Serial No. 11/2005, filed August 25, 2005, which is hereby incorporated by reference herein. No. 210,918. Referring now to FIG. 38A, a flowchart is shown illustrating a process for adapting the environment within the carrier to a loading port that may have a different control environment. In the exemplary embodiment of FIG. 38A, both the carrier and the loading port may hold the same gas type (eg, the same type of inert gas). In this embodiment, from the carrier to, for example, a load port chamber (or other suitable plenum) if the pressure of the carrier is higher than the process pressure until an equilibrium of the environment between the carrier and the loading port / tool is achieved. (Via the joint), and if the carrier pressure is low, gas may be inserted into the carrier (via the joint) from a loading port or other suitable source. In the exemplary embodiment of FIG. 38B, the loading port has an atmospheric environment (eg, clean air) and the carrier and loading port may be connected in a manner similar to that described above, for example, with reference to FIG. 38A. An equilibrium between them may be established. FIG. 38C illustrates the process in an exemplary embodiment where the loading port has a vacuum environment. In another embodiment where the carrier and loading port may initially have different gas types, the initial environment of the carrier is evacuated and the gas type, etc. in the loading port is injected into the carrier before the door is opened ( (E.g., from a loading port).

再び図37Aを参照すると、前述されたように、例示的な実施形態における積載ポートは、ポートドア2310(ポートを開口および閉締するために)を上げるおよび下げる、ならびにウェハを処理するためにウェハのカセットをキャリアからロードポートチャンバ内の所望の高さに上げることができるインデクサ2306を有する。インデクサ2306は、前述され、図8、9、10〜10A、14および18に示される、ウェハによって占有される容量/環境から隔離されるインデクシングメカニズムを有する例示的な実施形態のものと類似してもよい。要約すると、インデクシングメカニズムの好適な実施例は、以下の配置を有してもよい:
1.ベローズを有する主ネジ−このメカニズムは、積載ポートのポートプレートに取り付けられた電気モータによって駆動される主ネジを採用する。清浄エリアに入る主ネジの一部分は、ベローズに封入される。ベローズは、動作中に概して清浄であり、疲労なく可撓性を維持することができる限り、金属、プラスチック、または繊維等のいかなる材料であってもよい。ベローズは、汚染物質生成メカニズムとウェハが置かれる清浄エリアとの間に障壁を提供する。ベローズの可撓性性質は、作動装置のストローク全体にわたり、本隔離を提供する。メカニズムのフィードバックは、モータまたは主ネジ上のロータリーエンコーダによるものであってもよく、運動の通路に沿ったリニアエンコーダからのものであってもよい。(図14参照)
2.ベローズを有する空気圧シリンダ−駆動メカニズムが、空気圧シリンダによるものであることを除き、前述実施形態類(1)と類似する。例えば2つの位置間を移動するために使用されてもよい;例えば閉締され、下げられたポッド。(図9参照)
3.空気圧シリンダ遠隔駆動部の主ネジ−駆動メカニズムがウェハ容量の外側の離れた位置に置かれることを除き、前述実施形態と類似する(図10参照)。積載ポートのポートプレートは、支持構造体で駆動部に取り付けられる。駆動部は、清浄エリアに露出されてもよいが、汚染物質は、空気流通路またはラビリンス封止によって制御される。空気流の使用は、生成され得る汚染物質をウェハの下にし、下方に押しやり、ウェハから離れるように、駆動部をウェハの下流に置く必要がある。ラビリンスまたはその他の「非摩擦」封止は、駆動部と清浄エリアとの間に固体障壁を提供することによって、粒子の導入をさらに制限することができる。第2に、駆動部は、処理ツール環境全体の外側に離れて置くことができる。これは、あまり清浄ではないFAB環境内の汚れている可能性のあるメカニズム内に置くが、あまり清浄ではないFABからプロセスツール環境を保護するために、ラビリンス封止を使用する。
4.ポートプレートに磁気で連結される駆動メカニズム−本実施形態は、ポートプレートと駆動メカニズムとの間に磁気連結具を採用する(例えば図8を参照、ただし反転されている)。磁気連結具は、駆動部を清浄エリアの外側に隔離できるようにする、空気ギャップにわたる非鉄鋼壁を介して動作してもよい。駆動方法は、主ネジ、空気圧シリンダ、またはリニアモータ等の前述されたいずれの種類であってもよい。後者は、運動の方向を抑制するために、空気ベアリングガイドと併用して清浄に動作できるため、清浄エリアの内側に存在してもよい。
Referring again to FIG. 37A, as described above, the loading port in the exemplary embodiment raises and lowers port door 2310 (to open and close the port), and handles the wafer to process the wafer. Has an indexer 2306 that can raise the cassette from the carrier to a desired height in the load port chamber. Indexer 2306 is similar to that of the exemplary embodiment described above and shown in FIGS. 8, 9, 10-10A, 14 and 18 with an indexing mechanism that is isolated from the capacity / environment occupied by the wafer. Is also good. In summary, a preferred embodiment of the indexing mechanism may have the following arrangement:
1. Main screw with bellows-This mechanism employs a main screw driven by an electric motor mounted on the port plate of the loading port. A portion of the main screw entering the clean area is enclosed in a bellows. The bellows can be any material, such as metal, plastic, or fiber, as long as it is generally clean during operation and can maintain flexibility without fatigue. The bellows provides a barrier between the contaminant generation mechanism and the clean area where the wafer is located. The flexible nature of the bellows provides this isolation over the entire stroke of the actuator. The feedback of the mechanism may be from a rotary encoder on a motor or a lead screw, or from a linear encoder along the path of movement. (See Fig. 14)
2. Pneumatic cylinder with bellows-similar to the previous embodiment (1) except that the drive mechanism is by pneumatic cylinder. For example, it may be used to move between two positions; for example, a closed and lowered pod. (See Fig. 9)
3. Similar to the previous embodiment except that the main screw-drive mechanism of the pneumatic cylinder remote drive is located at a remote location outside the wafer volume (see FIG. 10). The port plate of the loading port is attached to the drive with a support structure. The drive may be exposed to the clean area, but the contaminants are controlled by airflow passages or labyrinth seals. The use of an air flow requires that the drive be located downstream of the wafer so that any contaminants that may be generated can be below the wafer, pushed down, and away from the wafer. A labyrinth or other "non-frictional" seal can further limit the introduction of particles by providing a solid barrier between the drive and the cleaning area. Second, the drive can be remotely located outside the entire processing tool environment. It is placed in a potentially dirty mechanism in a less clean FAB environment, but uses a labyrinth seal to protect the process tool environment from the less clean FAB.
4. Driving Mechanism Magnetically Coupled to Port Plate—This embodiment employs a magnetic coupling between the port plate and the driving mechanism (see, eg, FIG. 8, but inverted). The magnetic coupling may operate through a non-steel wall over an air gap that allows the drive to be isolated outside the clean area. The driving method may be any of the types described above, such as a main screw, a pneumatic cylinder, or a linear motor. The latter may be present inside the clean area because it can operate cleanly in conjunction with an air bearing guide to suppress the direction of movement.

ここで、図39を参照すると、別の例示的な実施形態による、積載ポート2300Aおよびそこに接合されるキャリア2000A、ならびにウェハ空気流管理システムの断面図が示されている。キャリア2000Aおよび積載ポート2300Aはそれぞれ、前述された例示的な実施形態のキャリアおよび積載ポートに類似してもよい。図39に示される実施形態では、例示目的のために、ポートドアが開口され、処理のためにカセットがロードポートチャンバにインデックスされ、置かれている。キャリアが開口され、処理のためにウェハが置かれる際、ウェハの周囲の空気流は、ウェハの清浄度を維持することを助長してもよい。例えば、プロセスによっては、長時間にわたりウェハが下方位置に維持され、環境内の粒子がウェハ表面に堆積するリスクを増大する。さらに、適切な空気流がないと、積載ポートメカニズムによって生成されるいかなる汚染物質がウェハ表面上に堆積し得る。示される例示的な実施形態では、プロセス環境内の空気流の少なくとも一部分が「捕獲」され、ウェハにわたり流れるように方向を変更されてもよい。次いで空気は、ウェハ配送平面(WTP)の処理環境下流の背面に排気される。例示的な実施形態では、空気流パターンは、ウェハ上面と平行な方向に水平に通過し、ウェハカセットの背面を出る。排気ルーティングは、空気がカセットから出た後、垂直に引き寄せ、フロアに向けられた排気ポートから出るように向ける。このアプローチは、解放ループまたは封止環境内で動作中、ウェハ表面にわたり、清浄で一定の流れまたは空気を維持することができる。例えば、積載ポートが窒素またはアルゴンのようなプロセス依存ガス種を有する環境内で動作する場合、示されるように既存の空気流の方向を変えて主流に戻すことは、制御ガス種に使用される閉締されたループ環境を支持する。   Referring now to FIG. 39, there is shown a cross-sectional view of a load port 2300A and a carrier 2000A joined thereto, and a wafer airflow management system, according to another exemplary embodiment. Carrier 2000A and loading port 2300A may each be similar to the carrier and loading port of the exemplary embodiment described above. In the embodiment shown in FIG. 39, for illustrative purposes, the port door is opened and the cassette is indexed and placed in the load port chamber for processing. As the carrier is opened and the wafer is placed for processing, the airflow around the wafer may help maintain the cleanliness of the wafer. For example, some processes maintain the wafer in a down position for an extended period of time, increasing the risk of particles in the environment depositing on the wafer surface. Furthermore, without proper airflow, any contaminants created by the loading port mechanism can accumulate on the wafer surface. In the exemplary embodiment shown, at least a portion of the airflow in the process environment may be "captured" and redirected to flow across the wafer. The air is then exhausted to the rear of the wafer delivery plane (WTP) downstream of the processing environment. In the exemplary embodiment, the airflow pattern passes horizontally in a direction parallel to the wafer top surface and exits the back of the wafer cassette. Exhaust routing draws air vertically out of the cassette and directs it out of an exhaust port directed to the floor. This approach can maintain a clean, constant flow or air across the wafer surface when operating in an open loop or sealed environment. For example, if the loading port operates in an environment with a process-dependent gas species such as nitrogen or argon, redirecting the existing airflow back to the mainstream as shown is used for the control gas species Supports a closed loop environment.

図39に見られるように、例示的な実施形態では、例えばウェハがアクセスされる領域の上方に、プロセスミニ環境の垂直表面に対して供給エアフォイルが搭載される。この場所は、SEMI E63標準にあるFOUPドアオープナーのための予約空間である。エアフォイルは、ミニ環境からの既存の層流の容量を捕獲し、空気ストリームを垂直方向から水平方向に曲げる。例示的な実施形態では、ウェハカセットが積載ポートの外側表面の内部に下げられる際、拡散要素は、ウェハカセットの背面に置かれる。ディフュ−ザは、流れ特性により、例えば部分的に開く固体パネルから構成されてもよい。ディフュ−ザは、空気がダクトの排気側に入る前に、圧力の差を提供しながらウェハ上を通過する水平空気流の均一性を管理するように構成される。例示的な実施形態では、巡回の排気側は、ウェハにわたる空気の流れが確実に安定かつ均一なものとなるように、力誘起型であってもよい。例えば、プロセスツールのミニ環境ポートに向けられた出力口を有する排気側ダクトの内側に搭載される軸方向ファン等。あるいは、ユニットは、ファンおよび供給エアフォイルの構成なく使用されてもよく、ディフュ−ザおよび排気ダクトは、ウェハにわたる空気流が確実に安定した均一なものになるように配置されてもよい。   As seen in FIG. 39, in an exemplary embodiment, a supply airfoil is mounted against a vertical surface of the process mini environment, for example, above the area where the wafer is accessed. This location is the reserved space for the FOUP door opener in the SEMI E63 standard. The airfoil captures the volume of existing laminar flow from the mini environment and bends the air stream from vertical to horizontal. In an exemplary embodiment, when the wafer cassette is lowered inside the outer surface of the loading port, the diffusing element is placed on the back of the wafer cassette. The diffuser may consist of a solid panel, for example partially open, depending on the flow characteristics. The diffuser is configured to manage the uniformity of the horizontal airflow passing over the wafer while providing a pressure difference before the air enters the exhaust side of the duct. In an exemplary embodiment, the circuit exhaust side may be force induced to ensure that the air flow across the wafer is stable and uniform. For example, an axial fan mounted inside an exhaust duct with an output port directed to the mini-environment port of the process tool. Alternatively, the unit may be used without a fan and supply airfoil configuration, and the diffuser and exhaust duct may be arranged to ensure a stable and uniform air flow across the wafer.

ここで、図40A〜40Dを参照すると、個々の例示的な実施形態による、例示的キャリアのウェハ抑制の概略断面図が示されている。図40Aに示される例示的な実施形態は、ラジアルクランプウェハ抑制を図示する。クランピングは、カセットの並進側の壁によって提供されてもよい。メカニズムは、カセット内に存在し、積載ポートまたはポッドシェルとカセットの接合部分(Z軸)のいずれかによって作動され動かされる(Z軸)。別の実施形態では、ポッドシェルの内部に並進側壁があってもよい。メカニズムは、ポッドシェルを有して備えられ、積載ポート、ポートドア(OHTのZ軸)へのポッドシェル、またはカセット(積載ポートのZ軸)へのポッドのいずれかによって作動される。作動に先端材料(すなわち形状記憶材料または磁気拘束材料等)を使用する。図40Bに示される例示的な実施形態は、ウェハ上面に対して実質的に垂直に向いたクランプ力を採用する、ウェハ制御体を図示する。例示的な実施形態では、カセットと一体の垂直に並進するフィンガーである。メカニズムは、カセット内に備えられる。メカニズムは、積載ポート、ポートドア(OHTのZ軸)へのポッド、またはカセット(積載ポートのZ軸)へのポッドのいずれかによって作動される。別の実施形態では、ポッドシェルまたはカセットと一体の軸外並進フィンガーである。メカニズムは、カセットまたはポッドシェルのいずれかに備えることができる。フィンガーは、ウェハに対して水平外角度で並進する(図40C参照)。メカニズムは、積載ポート、ポートドア(OHTのZ軸)へのポッドシェル、またはカセット(積載ポートのZ軸)へのポッドシェルのいずれかによって作動される。別の例示的な実施形態では、ポッドシェルまたはカセットと一体である2DOFフィンガーである。フィンガーは回転し、次いでウェハと嵌合するために、垂直に並進する(図40D参照)。メカニズムは、ポートドア(OHTのZ軸)へのポッドシェル、またはカセット(積載ポートのZ軸)へのポッドシェルのいずれかによって作動される。別の実施形態では、キャリア内のウェハ抑制は、いかなる他の適した構成を有してもよい。例えば、ウェハは、ウェハエッジ接触が支持される、例えばウェハと線形エッジ接触を形成するカセット上の支持体フィンガー間でV字型であってもよい。   Referring now to FIGS. 40A-40D, schematic cross-sectional views of exemplary carrier wafer restraints are shown in accordance with individual exemplary embodiments. The exemplary embodiment shown in FIG. 40A illustrates radial clamp wafer restraint. Clamping may be provided by a translational wall of the cassette. The mechanism resides in the cassette and is activated and moved (Z-axis) either by the loading port or the pod shell-cassette interface (Z-axis). In another embodiment, there may be translational sidewalls inside the pod shell. The mechanism is provided with a pod shell and is operated either by a loading port, a pod shell to a port door (OHT Z-axis), or a pod to a cassette (loading port Z-axis). An advanced material (ie, shape memory material or magnetically constrained material, etc.) is used for operation. The exemplary embodiment shown in FIG. 40B illustrates a wafer control that employs a clamping force oriented substantially perpendicular to the top surface of the wafer. In an exemplary embodiment, it is a vertically translating finger integral with the cassette. The mechanism is provided in the cassette. The mechanism is activated either by a loading port, a pod to a port door (OH axis Z axis), or a pod to a cassette (loading port Z axis). In another embodiment, it is an off-axis translation finger integral with the pod shell or cassette. The mechanism can be provided on either the cassette or the pod shell. The fingers translate at an off-horizontal angle relative to the wafer (see FIG. 40C). The mechanism is actuated by either a loading port, a pod shell to the port door (OH axis Z axis), or a pod shell to the cassette (the loading port Z axis). In another exemplary embodiment, a 2DOF finger that is integral with the pod shell or cassette. The fingers rotate and then translate vertically to mate with the wafer (see FIG. 40D). The mechanism is actuated by either a pod shell to a port door (OH axis Z axis) or a pod shell to a cassette (Z axis of loading port). In another embodiment, the wafer restraint in the carrier may have any other suitable configuration. For example, the wafer may be V-shaped between support fingers on a cassette on which wafer edge contact is supported, for example, forming a linear edge contact with the wafer.

ここで、図41〜41Bを参照すると、別の例示的な実施形態による、処理ツールPTおよび処理配置搬送システムを有する代表的な処理配置の概略斜視図、端部正面図、および上平面図がそれぞれ示されている。処理ツールPTは、FABの処理ベイに配列されたツール等の例示的アレイで図示される。例示的な実施形態では、例えば搬送システム3000は、処理ベイのツールを提供してもよく、搬送システム3000は、FAB全体搬送システムのイントラベイ部分であってもよい。例示的な実施形態では、搬送システム3000は、前述され、図29A〜29Dに示される例示的な実施形態のAMHSシステムのセクションと概して類似してもよい。搬送システム3000は、図41に見られる適した搬送接合部分を介して、FAB AMHSシステムの別の(例えばインターベイ)部分3102と連通してもよい。前述されたように、示されるツールアレイ内の処理ツールPTの配置は、複数のツールの列を有する例示に過ぎない(実施例では、2つの列R1、R2が示されるが、別の実施形態では、より多いまたはより少ないツールの列を有してもよい)。示される実施例では、ツールの列は、実質的に平行に配置されてもよく(幾何学的ではあるが、互いに対して角度を付けられてもよい)、実質的に平行なプロセス方向を定めてもよい。異なるツールの列に沿ったプロセス方向は、互いと同一であっても対向してもよい。また、任意の列に沿ったプロセス方向は、ツールの列の一部分または領域に沿ったプロセス方向が1方通行となり、同一のツールの列の別の部分または領域のプロセス方向が対向通行となるように反転されてもよい。列R1、R2のプロセスツールは、異なるプロセス領域ZA−ZCを画定するために、分散されてもよい(例えば図41参照)。それぞれのプロセス領域ZA−ZCは、列R1、R2内に1つ以上のプロセスツールを含んでもよい。別の実施形態では、プロセス領域にツールが置かれてもよいが、単一列である。理解され得るように、任意の領域内のプロセスツールは、補完プロセスを有する、および/または同様のツール処理率を有する等、プロセスに関連してもよい。例えばツール領域ZAは、高処理量(例えば1時間当たり約500ウェハ(WPH))のツールを有してもよいが、中処理量(例えばおよそ75WPH〜500WPH未満)のツールが領域ZBに置かれてもよく、低処理量(例えばおよそ15WPH〜100WPH)のツールが領域ZCに置かれてもよい。理解され得るように、いずれかの任意の領域を確定するツールは、同一でなくてもよく、任意の領域内の1つ以上のツールは、任意の領域内の他のツールとは異なる処理量またはプロセスを有してもよいが、それでもなお、領域内のツールが、少なくとも搬送の観点から組織的に適切であり、ある領域内でツールが組織化されるように、ツール間に関係が存在してもよい。図41に図示されるツール領域は、例示に過ぎず、別の実施形態では、ツール領域は、いかなる他の所望の配置を有してもよい。   Referring now to FIGS. 41-41B, schematic perspective, end elevation, and top plan views of an exemplary processing arrangement having a processing tool PT and a processing arrangement transport system, according to another exemplary embodiment, are shown. Each is shown. The processing tool PT is illustrated in an exemplary array of tools or the like arranged in the processing bay of the FAB. In an exemplary embodiment, for example, the transport system 3000 may provide processing bay tools, and the transport system 3000 may be an intrabay portion of an entire FAB transport system. In an exemplary embodiment, the transport system 3000 may be generally similar to the section of the AMHS system of the exemplary embodiment described above and shown in FIGS. 29A-29D. The transport system 3000 may communicate with another (eg, interbay) portion 3102 of the FAB AMHS system via a suitable transport interface as seen in FIG. As mentioned above, the arrangement of the processing tools PT in the tool array shown is merely an example having a plurality of tool rows (in the example, two rows R1, R2 are shown, but another embodiment). May have more or fewer rows of tools). In the embodiment shown, the rows of tools may be arranged substantially parallel (geometrically but may be angled with respect to each other) and define substantially parallel process directions. You may. The process directions along the different rows of tools may be the same or opposite each other. The process direction along an arbitrary row is such that the process direction along a part or area of the tool row is one-way traffic, and the process direction of another part or area of the same tool row is opposite traffic. May be inverted. The process tools in rows R1, R2 may be distributed to define different process areas ZA-ZC (see, for example, FIG. 41). Each process area ZA-ZC may include one or more process tools in rows R1, R2. In another embodiment, the tools may be located in the process area, but in a single row. As can be appreciated, the process tools in any area may be associated with a process, such as having a complementary process and / or having a similar tool throughput. For example, tool zone ZA may have a high throughput (eg, about 500 wafers per hour (WPH)) of tools, while a medium throughput (eg, about 75 WPH to less than 500 WPH) tools may be placed in zone ZB. Alternatively, a tool with a low throughput (for example, approximately 15 WPH to 100 WPH) may be placed in the area ZC. As can be appreciated, the tools that determine any given area may not be the same, and one or more tools in any given area may have a different throughput than other tools in any given area. Or a process, but there is still a relationship between the tools so that the tools in the area are systematically appropriate, at least in terms of transport, and the tools are organized in an area May be. The tool areas illustrated in FIG. 41 are merely exemplary, and in other embodiments, the tool areas may have any other desired arrangement.

図41に見られるように、搬送システム3000は、キャリアをツールへ/ツールから搬送することができる。搬送システム3000は、前述された例示的な実施形態および図29〜35に示される搬送システムと概して類似してもよい。図41〜41Bに示される例示的な実施形態では、搬送システム3000は、オーバーヘッド構成(例えば搬送システムがツールの上方/上に置かれる)を有してもよい。別の実施形態では、搬送システムは、下部構成(例えば図30〜33に図示される搬送システムと類似する、例えば搬送システムがツールの下部に置かれる)等、いかなる他の適した構成を有してもよい。図41〜41Bに見られるように、搬送システムは、一般的に多数の搬送サブシステムまたはセクションを有してもよい。例示的な実施形態では、搬送システム3000は、コンベヤセクション(例えば前述され、図20〜25Bに示されるソリッドステートのコンベヤと類似するもの、またはいずれかの他の適したコンベヤ)等、一般的にバルク材料/高速搬送セクション3100を有してもよい。コンベヤセクションは、すべてのツール領域にわたり延在してもよく、例えばコンベヤセクションにキャリアが置かれる/から移動される際に停止/減速することなく、実質的に等速な搬送速度でキャリアを搬送してもよい。また、例示的な実施形態では、搬送システム3000は、ストレージステーション/位置3000S(図41Bも参照)、1つ以上のストレージステーション/位置(図42も参照)にアクセスできるシャトル3202を有するシャトルシステムセクション3200、および接合する搬送システムセクション3300を含んでもよい。例示的な実施形態では、接合する搬送システムセクションは、バルク搬送コンベヤセクション3100によって搬送されたキャリア、またはストレージステーションのキャリアにアクセス可能であり、キャリアを処理ツールの積載セクションに移送可能であってもよい。例示的な実施形態では、ストレージステーション、シャトルシステムセクション3200、および接合する搬送システムセクションは、搬送システムに沿って選択的に設置することが可能な選択設置可能部分に形成されてもよい。例示的な実施形態では、搬送システムセクション3100、3300、3200は、搬送システムに設置するよう選択されたシステムセクションの一部分を容易に設置できるようにするためのモジュラーであってもよい。搬送システムに沿って設置するよう選択された搬送システムシャトルシステム、接合システム、およびストレージシステムセクションの一部分は、処理ツールの領域ZA〜ZCに対応してもよい。理解され得るように、搬送システム3000は、処理ツールまたは処理ツール領域に対応するように構成可能であってもよい。さらに、例示的な実施形態では、搬送システムは、領域TA〜TC内に構成可能であってもよく、一般的に処理ツール領域ZA〜ZCと連通し、それらに対応する。したがって、搬送システムは、異なるシステムセクション構成を有する異なる領域を有してもよい。例示的な実施形態では、ストレージシステムおよびシャトルシステムセクションは、搬送システムの領域TA〜TCのそれぞれに構成可能であってもよい。また、例示的な実施形態では、接合部分搬送システムセクションは、それぞれの領域に構成可能であってもよい。例示的な実施形態では、接合部分搬送システムは、選択設置可能な接合トランスポータ(図41に示される実施例の構台)部分3310、3320を有してもよく、これらは、追加され、取り外されてもよく、搬送システムの領域TA〜TCのそれぞれに多数の異なる配向で設置されてもよい。所望の接合部分搬送システム部分は、所望のツール接合部分および例えばツール領域ZA〜ZCに対応するプロセスツールの処理速度に相応するアクセス速度を提供するために、搬送システムの領域内に設置されてもよい。図41Aに最もよくみられるように、接合部分搬送システムセクションは、選択可変数のトランスポータ進行平面を有してもよい(例えば領域TCのいくつかは、単一接合トランスポータ進行平面(図48参照)を有してもよく、その他の領域TA、TBは、1つ以上のトランスポータ進行平面ITC1、ITC2(図41A、46参照)を有してもよい)。複数の平面を有する領域では、トランスポータは、互いを通過して縦走できてもよい。2つの平面が示されるが、より多くまたはより少ないトランスポータ平面が提供されてもよい。例示的な実施形態では、搬送システムは、実質的に水平な進行平面を有して配置されるが、別の実施形態では、搬送システムは、接合トランスポータバイパスのための垂直な進行平面を有するものを含む、いかなる他の所望の配置を有してもよい。   As seen in FIG. 41, the transport system 3000 can transport a carrier to / from a tool. The transport system 3000 may be generally similar to the exemplary embodiment described above and the transport system shown in FIGS. In the exemplary embodiment shown in FIGS. 41-41B, the transport system 3000 may have an overhead configuration (eg, the transport system is located above / above the tool). In another embodiment, the transport system has any other suitable configuration, such as a lower configuration (e.g., similar to the transport system illustrated in FIGS. 30-33, e.g., the transport system is located below the tool). You may. As seen in FIGS. 41-41B, the transport system may generally have multiple transport subsystems or sections. In an exemplary embodiment, the transport system 3000 is generally a conveyor section (eg, similar to the solid state conveyor described above and shown in FIGS. 20-25B, or any other suitable conveyor). It may have a bulk material / high speed transport section 3100. The conveyor section may extend across the entire tool area, for example, transporting the carrier at a substantially uniform transport speed without stopping / decelerating as the carrier is placed on / moved from the conveyor section. May be. Also, in the exemplary embodiment, the transport system 3000 includes a shuttle system section having a shuttle 3202 with access to a storage station / location 3000S (see also FIG. 41B) and one or more storage stations / locations (see also FIG. 42). 3200 and a transfer system section 3300 to join. In an exemplary embodiment, the transfer system section to be joined can access the carrier transported by the bulk transport conveyor section 3100, or the carrier of the storage station, and transfer the carrier to the loading section of the processing tool. Good. In an exemplary embodiment, the storage station, shuttle system section 3200, and joining transport system section may be formed into selectable installable portions that can be selectively installed along the transport system. In an exemplary embodiment, the transport system sections 3100, 3300, 3200 may be modular to facilitate installation of a portion of the system section selected for installation in the transport system. Portions of the transport system shuttle system, bonding system, and storage system section selected to be installed along the transport system may correspond to the processing tool areas ZA-ZC. As can be appreciated, the transport system 3000 may be configurable to correspond to a processing tool or processing tool area. Further, in the exemplary embodiment, the transport system may be configurable in the areas TA-TC and generally communicate with and correspond to the processing tool areas ZA-ZC. Thus, the transport system may have different areas with different system section configurations. In an exemplary embodiment, the storage system and shuttle system sections may be configurable in each of the areas TA-TC of the transport system. Also, in the exemplary embodiment, the junction transport system section may be configurable for each region. In an exemplary embodiment, the junction transport system may include optional junction transporters (the gantry of the example shown in FIG. 41) portions 3310, 3320, which are added and removed. And may be located in a number of different orientations in each of the areas TA-TC of the transport system. The desired joint transfer system part may also be installed in the area of the transfer system in order to provide an access speed commensurate with the processing speed of the process tool corresponding to the desired tool joint and, for example, the tool area ZA-ZC. Good. As best seen in FIG. 41A, a junction transport system section may have a selectable variable number of transporter travel planes (eg, some of the areas TC may have a single junction transporter travel plane (FIG. 48). Other regions TA, TB may have one or more transporter travel planes ITC1, ITC2 (see FIGS. 41A, 46)). In regions with multiple planes, the transporters may be able to traverse past each other. Although two planes are shown, more or fewer transporter planes may be provided. In an exemplary embodiment, the transport system is arranged with a substantially horizontal travel plane, but in another embodiment, the transport system has a vertical travel plane for a junction transporter bypass. It may have any other desired arrangement, including:

低、中、高処理量のためにオーバーヘッドガントリーシステム(OGS)を構成することができる。因子または処理を変更する能力は、フィールドの再構成が可能なモジュラーアセンブリによって行うことができる。これらのモジュラーアセンブリは、例えば3つのカテゴリ、低処理量、中処理量、高処理量に分類することができる。様々なモジュールの配置は、所望の移動側、ストレージ容量、および所望の処理量のベイへの分散等、多くの因子に依存してもよい。   Overhead gantry systems (OGS) can be configured for low, medium and high throughput. The ability to change factors or processes can be provided by a modular reconfigurable assembly of the field. These modular assemblies can be classified, for example, into three categories: low throughput, medium throughput, and high throughput. The placement of the various modules may depend on a number of factors, such as the desired moving side, storage capacity, and distribution of the desired throughput into bays.

低処理量:一例として、低処理量のツールまたはツール領域は、単一ガントリー3310に十分に収容することができる。この構成は、「フィーダ」ロボット3320またはシャトルシステム3200を使用することなく、すべての所望の移動を提供してもよい。ガントリーは、キャリアをストレージからツールに移送することに加え、キャリアをイントラベイのコンベヤから掴み、ストレージ位置に移送する。キャリアを隣接するガントリー領域に移動するために、キャリアは、隣接するガントリーによる取り出しのために、イントラベイのコンベヤ上に置かれてもよく、またはストレージネスト内に置かれてもよい。本構成を用いて、間にあるガントリーが移動するまで、あるガントリーが別のガントリーを横断する。2つ以上のガントリーが並んで作業しており、1つに障害が発生した場合、隣接するガントリーが障害の発生したユニットの作業を引き受ける。作業量は減少するが、完全に中断されない。   Low Throughput: As an example, a low throughput tool or tool area can be fully accommodated in a single gantry 3310. This configuration may provide all desired movements without using a “feeder” robot 3320 or shuttle system 3200. The gantry, in addition to transferring the carrier from storage to the tool, grabs the carrier from the intrabay conveyor and transfers it to the storage location. To move the carrier to an adjacent gantry area, the carrier may be placed on an intrabay conveyor or in a storage nest for removal by an adjacent gantry. Using this configuration, one gantry traverses another gantry until the intervening gantry moves. If more than one gantry is working side by side and one fails, the adjacent gantry will take over the work of the failed unit. Work is reduced but not completely interrupted.

中処理量:例えば、中処理量ツールまたはツール領域は、「フィーダ」ロボット3320(例えば追加ガントリー/トランスポータレベル)を追加することによって満たされる。本構成は、フィーダロボット3320および分類機/シャトル33200が追加された低処理量の配置と概して類似する。例示的な実施形態では、フィーダロボットおよび分類機/シャトルは、イントラベイのコンベヤからストレージへの移動のみを実行するための専用のデバイスであってもよい。すべてのフィーダロボットが、フィーダの片側(図44参照)に2つのガントリーローダロボット3310、3312を採用することが望ましい場合がある。しかしながら、別の実施形態では、フィーダは、1つのローダロボットと対にされてもよい。分類機/シャトルの目的は、フィーダからキャリアを受け入れ、ストレージのための列を作ることである。本構成を用いることによって、「ローダ」ロボットは、イントラベイのコンベヤからキャリアを掴むという付加が追加されることなく、ストレージからツールへの移動およびその逆の移動にのみ集中することができる。システムは、隣接する低、中、または高処理量モジュールとともに動作することができる。ローダロボットに障害が発生した場合、隣接するローダロボットが、障害が発生したロボットの領域に移動し、作業する。(図46および47参照)。フィーダメカニズムに障害が発生した場合、個々のローダロボットが低処理量構成と同一の方法で動作する。両方の障害例において、システムは、容量は低減するが、動作中であり続ける。   Medium throughput: For example, a medium throughput tool or tool area is filled by adding a “feeder” robot 3320 (eg, additional gantry / transporter levels). This configuration is generally similar to the low throughput arrangement with the addition of feeder robot 3320 and sorter / shuttle 33200. In an exemplary embodiment, the feeder robot and sorter / shuttle may be dedicated devices for performing only the transfer from the intrabay conveyor to the storage. It may be desirable for all feeder robots to employ two gantry loader robots 3310, 3312 on one side of the feeder (see FIG. 44). However, in another embodiment, the feeders may be paired with one loader robot. The purpose of the sorter / shuttle is to accept carriers from feeders and queue for storage. By using this configuration, the "loader" robot can focus solely on moving from storage to tools and vice versa without the additional load of grabbing the carrier from the intrabay conveyor. The system can operate with adjacent low, medium, or high throughput modules. When a failure occurs in the loader robot, the adjacent loader robot moves to the area of the failed robot and performs work. (See FIGS. 46 and 47). If the feeder mechanism fails, the individual loader robots operate in the same manner as the low throughput configuration. In both failure cases, the system will continue to operate, although with reduced capacity.

高処理量:一例として、高処理量用途では、特定のツールまたはツール領域の需要を満たすようにガントリーモジュールを構成することができる。高処理量の配置は、ローダロボットをベイのそれぞれの側に、中処理量領域のものと類似するフィーダロボット配置、およびストレージにキャリアの列を作るための類似分類機/シャトルを有してもよい。(図45参照)。ローダロボットは、より短い距離の移動を可能にするベイの側に置かれるツールに関与する。キャリアは、イントラベイのコンベヤシステムを介して高処理領域に出入りする。高処理量の構成は、ローダロボットの障害および/またはフィーダロボットの障害の両方に対する耐障害性を有する。ローダロボットに障害が発生した場合、障害の発生したロボットが領域から移動された後に、その他のローダロボットがベイの両側で作業してもよい。フィーダに障害が発生した場合、ローダロボットがイントラベイのコンベヤシステムからキャリアを掴む責任を負うようになる。ローダロボットおよびフィーダロボットの両方に障害が発生した場合、1つのローダロボットがすべての所望の移動に対する責任を負うようになる。   High Throughput: As an example, in high throughput applications, the gantry module can be configured to meet the needs of a particular tool or tool area. High throughput arrangements may also have loader robots on each side of the bay, with a feeder robot arrangement similar to that of the medium throughput area, and a similar sorter / shuttle to queue the carriers in storage. Good. (See FIG. 45). The loader robot involves a tool located beside the bay that allows for shorter distance travel. Carriers enter and exit the high throughput area via the intrabay conveyor system. High throughput configurations are fault tolerant to both loader robot failures and / or feeder robot failures. If a loader robot fails, other loader robots may work on both sides of the bay after the failed robot has been moved out of the area. In the event of a feeder failure, the loader robot will be responsible for grabbing the carrier from the intrabay conveyor system. If both the loader robot and the feeder robot fail, one loader robot will be responsible for all desired movements.

低、中、および高のそれぞれの構成は、所望の移動速度により、単一体として、または3つの配置のいずれかに隣接して動作することができる。システムは、システムにわたるキャリアの流れを完全に不能にするいかなる単一障害点も有さない。個々または複数の構成要素の障害に対する耐障害性に加え、システムは、複数の使用可能なキャリアの移動通路を活用することができる。ホストコントローラは、通常の動作条件下で、特定のキャリアの重要な移動に続くレベルを含む移動の標準セットを採用する。一時的なキャリア交通量の急増、ツール障害、または上流制限を克服するために、ホストの制御論理は、問題のあるエリアから離れてキャリアの流れのルートを再設定し、反転するためのスキームを開始することができる。図50は、例示的な実施形態による、キャリアを点AからBに移動する多くの方法を示す。   Each of the low, medium, and high configurations can operate as a single entity or adjacent to any of the three configurations, depending on the desired speed of travel. The system does not have any single point of failure that completely disables carrier flow across the system. In addition to fault tolerance to individual or multiple component failures, the system can take advantage of multiple available carrier travel paths. The host controller employs a standard set of movements, including levels following significant movements of a particular carrier, under normal operating conditions. To overcome temporary carrier traffic spikes, tool obstructions, or upstream restrictions, the host control logic provides a scheme for rerouting and reversing carrier flow away from problem areas. You can start. FIG. 50 illustrates many ways to move a carrier from points A to B, according to an exemplary embodiment.

例示的な実施形態では、「フィーダ」ロボットは、イントラベイのコンベヤシステムからキャリアを取り出し、それらを適切なストレージ位置に置いてもよい。所望により、フィーダロボットは、ツールローダロボットがストレージからツールへの移動にだけ集中できるようにし、システムの全移動量を向上する。フィーダは、イントラベイのコンベヤが限られた障害または障害なく(例えば図20のものと類似するアクセスレーンからキャリアにアクセスする際のコンベヤの障害が存在しない場合がある)移動できるようにする迅速な短距離移動を利用する。フィーダメカニズムは、ガントリーシステムの作業負荷を軽減する。様々な運動を支援するための予想される駆動メカニズムには、リニアモータ、ボールネジ、空圧駆動、ベルト駆動、摩擦駆動、および磁気推進が含まれる。以下の実施形態は、前述された前提に基づき、実施することができる:
1.フィーダロボットは、x方向(ベイの長手)に固定され、y(ベイの横軸)およびz(垂直)方向における自由度を有することを除き、ガントリーローダロボットと類似する。フィーダメカニズムは、ローダロボットがペイロードなく通過できるように、ツールローダロボットの下方の平面上に置かれる。積載ポート領域の上方のエリアは、ローダロボットがペイロードを有するフィーダにわたり移動できるように解放されている。フィーダシステムは、運搬車が上昇位置にある場合に、イントラベイのコンベヤを通過し、キャリア上を移動し、掴むために十分な空間を有するように、垂直に置かれる。フィーダは、上方からキャリアにアクセスし、短距離垂直ストロークを使用してイントラベイのコンベヤシステムからキャリアを掴み、所望のストレージフランジに置く。本構成では、ストレージレーンは、イントラベイのコンベヤと同一平面上に存在する。ストレージレーンは、ストレージ列に沿う次の位置にキャリアを往復させるために使用される2方向分類機/シャトルメカニズムを所有する。シャトル駆動メカニズムは、例えば、ベイの長手に沿うピッチ間隔の少なくとも1つにキャリアを移動できるように設計される。ピッチ間隔は、ガントリーツールローダロボットがフィーダロボットに隣接して進行し、障害なくキャリアを掴むことができる距離として定義することができる。また、所望により、分類機/シャトルも、隣接するローダロボット領域とストレージレーンとの間でキャリアを搬送するために使用される。例えば、キャリアの一連の動きは、以下である:
・ イントラベイのコンベヤは、ベイの長手に沿うフィーダロボットの固定X位置で一瞬停止する。
・ フィーダロボットは、以前のY位置からイントラベイのコンベヤ上のキャリアの直上まで進行する。
・ フィーダロボットがキャリアを掴む。
・ フィーダロボットは、特定のシャトルレーンまでY方向(ベイの横軸)に進行する。
・ フィーダロボットは、キャリアをシャトル上に置き、次の移動に進む。
・ シャトル/分類機メカニズムがキャリアをX方向に推進する。
・ ガントリーツールローダロボットは、ストレージ位置に移動し、次いでキャリアを掴み、適切なツールに置く。
In an exemplary embodiment, a “feeder” robot may remove carriers from the intrabay conveyor system and place them in a suitable storage location. If desired, the feeder robot allows the tool loader robot to focus solely on moving from storage to tools, improving the overall travel of the system. The feeder is quick to allow the intrabay conveyor to move with limited or no obstruction (eg, there may be no obstruction of the conveyor when accessing the carrier from an access lane similar to that of FIG. 20). Use short distance travel. The feeder mechanism reduces the workload of the gantry system. Possible drive mechanisms to support various movements include linear motors, ball screws, pneumatic drives, belt drives, friction drives, and magnetic propulsion. The following embodiments can be implemented based on the above assumptions:
1. The feeder robot is similar to the gantry loader robot except that it is fixed in the x-direction (length of the bay) and has degrees of freedom in the y (horizontal axis of the bay) and z (vertical) directions. The feeder mechanism is placed on a plane below the tool loader robot so that the loader robot can pass without payload. The area above the loading port area is open so that the loader robot can move over the feeder with the payload. The feeder system is placed vertically so that it has enough space to pass through the intrabay conveyor, move on the carrier and grab when the truck is in the raised position. The feeder accesses the carrier from above and uses a short vertical stroke to grab the carrier from the intrabay conveyor system and place it on the desired storage flange. In this configuration, the storage lanes are on the same plane as the intrabay conveyor. The storage lane possesses a two-way sorter / shuttle mechanism used to shuttle the carrier to the next location along the storage row. The shuttle drive mechanism is designed, for example, to be able to move the carrier to at least one of the pitch intervals along the length of the bay. Pitch spacing can be defined as the distance that the gantry tool loader robot can travel adjacent to the feeder robot and grab the carrier without obstruction. Also, if desired, a sorter / shuttle is also used to transport carriers between adjacent loader robot areas and storage lanes. For example, the career sequence is as follows:
• The intrabay conveyor stops momentarily at the fixed X position of the feeder robot along the length of the bay.
The feeder robot travels from the previous Y position to just above the carrier on the intrabay conveyor.
・ The feeder robot grabs the carrier.
-The feeder robot travels in the Y direction (horizontal axis of the bay) to a specific shuttle lane.
・ The feeder robot places the carrier on the shuttle and proceeds to the next movement.
A shuttle / sorter mechanism propels the carrier in the X direction.
The gantry tool loader robot moves to the storage position and then grabs the carrier and places it on the appropriate tool.

例示的な実施形態によるシステムのいくつかの利点の例には、従来のシステムを上回る向上したウェハ処理量、キャリアの移動を完了するための複数の移動通路、および向上した耐障害性が含まれる。   Examples of some of the advantages of a system according to exemplary embodiments include improved wafer throughput over conventional systems, multiple travel paths to complete carrier transfer, and improved fault tolerance. .

図48に示される別の例示的な実施形態によると、フィーダロボットは、シャトルおよびイントラベイのコンベヤシステムの直下にある平面上にある線形ステージとして実装される。ステージは、実施形態1と同一の自由度を有し、上方というよりは、下方からキャリアを掴む。一度キャリアがイントラベイのコンベヤから捕獲されると、ベイと逆に推進され、適切なシャトル上に開放する。本構造は、コンベヤレーンを装置境界間のどこにでも置くことができるという利益を有する。例えば、イントラベイのコンベヤは、実施形態1のように、外側というよりは中心にあってもよい。本配置のその他の利点は、実施形態1では、ローダがこの移動を実行できるのは、積載ポート領域内に位置する場合のみに制限されているのに対して、ローダロボットは今、ベイ内のいかなるY位置においてペイロードを有するフィーダメカニズムを通過することができるということである。さらに、ローダロボットは、衝突を回避するためにフィーダジオメトリと通信する必要がない。フィーダおよびローダロボットの両方は、ペイロードを有し、互いに接合せずに同一の垂直空間を占有することができる。本構成の一連の動きは、上方というよりは下方からキャリアを掴むことを除き、実施形態1と同一である。   According to another exemplary embodiment shown in FIG. 48, the feeder robot is implemented as a linear stage on a plane directly below the shuttle and intrabay conveyor system. The stage has the same degree of freedom as in the first embodiment, and grips the carrier from below rather than above. Once the carrier is captured from the intrabay conveyor, it is propelled back from the bay and released onto the appropriate shuttle. This structure has the advantage that the conveyor lane can be placed anywhere between the machine boundaries. For example, the intrabay conveyor may be central rather than external, as in Embodiment 1. Another advantage of this arrangement is that in Embodiment 1, the loader can perform this movement only if it is located in the loading port area, whereas the loader robot is now in the bay. It is possible to pass through the feeder mechanism with the payload at any Y position. Further, the loader robot does not need to communicate with the feeder geometry to avoid collisions. Both the feeder and the loader robot have a payload and can occupy the same vertical space without joining to each other. A series of movements of this configuration is the same as that of the first embodiment except that the carrier is grasped from below rather than above.

別の実施形態では、下方からのオーバーヘッドまたはメカニズムは、X(ベイの長手)、Y(ベイの横軸)、およびZ(垂直)方向に移動することができる。本構成では、3軸フィーダが必要に応じて特定のストレージレーンおよびスロットに移動できるため、シャトル/分類機が使用されなくてもよい。例えば、キャリアは、イントラベイのコンベヤから移動され、適切なストレージレーンに置かれ、次いでストレージ内のキャリアの初期待ち行列に向かって垂直に並進される。図49に最もよく見られるように、その他の例示的な実施形態によると、FABフロアからOHTシステムが到達可能な最高点に延在するキャリアジオメトリと一致する容量のキャリアストレージを可能にし、ベイの長手方向全体にわたり配置することができる、垂直ストレージコラムを提供することによって向上したストレージ容量が生成されてもよい。   In another embodiment, the overhead or mechanism from below can move in the X (length of the bay), Y (horizontal axis of the bay), and Z (vertical) directions. In this configuration, a shuttle / sorter may not be used because the 3-axis feeder can move to a particular storage lane and slot as needed. For example, a carrier is moved from an intrabay conveyor, placed in the appropriate storage lane, and then translated vertically toward the initial queue of carriers in storage. As best seen in FIG. 49, another exemplary embodiment allows for carrier storage of a capacity that matches the carrier geometry that extends from the FAB floor to the highest point reachable by the OHT system, Improved storage capacity may be created by providing a vertical storage column that can be located throughout the longitudinal direction.

別の実施形態では、所望により、FAB内のストレージ密度を向上するための円筒型キャリアネストを置くことができる。円筒型ストレージネストは、キャリアを重ねて保持し、キャリアを指定の高さまで上げるまたは下げるメカニズムを提供することができる。垂直運動のメカニズムは、空気圧式、機械式、または磁気式であってもよい。   In another embodiment, a cylindrical carrier nest can be placed to increase the storage density in the FAB, if desired. Cylindrical storage nests can hold carriers one on top of the other and provide a mechanism to raise or lower the carriers to a specified height. The mechanism of vertical movement may be pneumatic, mechanical, or magnetic.

ここで、図51を参照すると、さらに別の例示的な実施形態による、搬送システム4000の概略平面図が示されている。図51に図示される例示的な実施形態では、搬送システムは、例えばFAB全体搬送システムのインターベイ部分等の代表的なセクションであり、別の実施形態では、搬送システムは、いかなる所望の寸法および構成を有してもよい。図51に示される例示的な実施形態では、搬送システム4000は、前述され、図41〜50に図示される搬送システム3000と概して類似してもよい。類似機構には、類似番号が付けられている。搬送システム3000と同様に、図51に示される例示的な実施形態では、搬送システム4000は、バルクまたは高速大量搬送セクション4100(例えばコンベヤ)および接合セクション4200を有してもよい。示される本実施形態の接合セクション4200は、例示に過ぎず、別の実施形態では、いかなる所望の数のサブセクション(例えば前述されたものと類似するストレージセクション、シャトルセクション)を有するいかなる所望の構成を有してもよい。一般的に、接合セクション4200は、バルク搬送システムセクション4100とプロセスツールとの間のキャリアを接合できる多数のフィーダロボットを有してもよい。バルク搬送システムセクション4100は、前述され、一部分が図20に示される搬送システム500と概して類似してもよい。図51に示される例示的な実施形態では、バルク搬送システムセクション4100は、ソリッドステートのコンベヤシステムを有するトラックを備えてもよい。トラックは、参照することによりその全体が本明細書に前述のように組み込まれる、米国特許出願シリアル番号第10/697,528号に記載されるものと類似する、ソリッドステートのコンベヤシステムを有してもよい。図51に示される例示的な実施形態では、搬送システム4100は、搬送システムによるキャリアの搬送が、搬送されているその他のキャリアの動きから実質的に分断される、非同期搬送システム(搬送システム500と類似する)であってもよい。したがって、1つ以上のキャリアは、搬送中に搬送システムのキャリア搬送ストリーム内のその他の隣または近接キャリアの搬送速度に影響を与えることなく、独立して動作する(例えば加速/減速、停止、積み込み/取り出し)ことが可能であってもよい。   Referring now to FIG. 51, there is shown a schematic plan view of a transport system 4000 according to yet another exemplary embodiment. In the exemplary embodiment illustrated in FIG. 51, the transport system is a representative section, such as, for example, an interbay portion of an entire FAB transport system, and in another embodiment, the transport system includes any desired dimensions and It may have a configuration. In the exemplary embodiment shown in FIG. 51, the transport system 4000 may be generally similar to the transport system 3000 described above and illustrated in FIGS. Similar features are given similar numbers. As with the transport system 3000, in the exemplary embodiment shown in FIG. 51, the transport system 4000 may have a bulk or high speed mass transport section 4100 (eg, a conveyor) and a joining section 4200. The junction section 4200 of the present embodiment shown is merely exemplary, and in other embodiments, any desired configuration having any desired number of subsections (eg, storage sections, shuttle sections similar to those described above). May be provided. In general, the joining section 4200 may have a number of feeder robots that can join carriers between the bulk transport system section 4100 and the process tool. The bulk transport system section 4100 may be generally similar to the transport system 500 described above and in part shown in FIG. In the exemplary embodiment shown in FIG. 51, the bulk transport system section 4100 may comprise a truck having a solid state conveyor system. The truck has a solid-state conveyor system similar to that described in US patent application Ser. No. 10 / 697,528, which is hereby incorporated by reference herein in its entirety. You may. In the exemplary embodiment shown in FIG. 51, transport system 4100 is an asynchronous transport system (with transport system 500 and transport system 500) in which transport of carriers by the transport system is substantially decoupled from movement of other carriers being transported. Similar). Thus, one or more carriers may operate independently (e.g., accelerate / decelerate, stop, load) without affecting the transport speed of other adjacent or nearby carriers in the carrier transport stream of the transport system during transport. / Removal) may be possible.

図51に示される例示的な実施形態では、以後バルク搬送機4100と称するバルク搬送システムセクションは、一般的に主搬送トラック4100Mを備える。また、バルク搬送機4100は、多数のサイディングトラック4100Sを有してもよい。例示目的のためにループとして図51に示され、別の実施形態では、いかなる他の所望の形状を有してもよい主搬送トラック4100Mは、バルク搬送機によって搬送されているキャリアの主搬送通路(またはストリーム)を画定する。例示的な実施形態の説明は、特にキャリアを参照するが、本明細書に記載される機構は、バルク搬送機によって搬送されるペイロードのプラテンまたはその他の起動デバイス上に(基板)キャリアが置かれる場合がある別の実施形態にも同様に適用することができる。例示的な実施形態では、主搬送通路は、連続的かつ実質的に等速であってもよい。したがって、主搬送トラック4100M上で搬送されるキャリアは、搬送システム上で停止したキャリアからの障害なく、主通路上の搬送機全体にわたり、持続的かつ高速に進行することが可能である。採用されたサイディングまたは分岐トラック4100Sは、搬送速度を決定するバルク搬送上のキャリアの動作を主搬送通路から分断できるようにする。前述されたように、速度を決定する動作は、主搬送通路に障害を与えることなく、サイディングトラックから実行されてもよい。したがって、サイディングトラック4100Sは、例えばキャリア緩衝装置、積み込み/取り出し位置または通路切り替えデバイスを画定してもよい。例示的な実施形態では、1つのサイディングトラックが示されるが、例えば、および別の実施形態では、いかなる所望の数のサイディングトラックがあってもよい。また、二股に分かれ、実質的に直線状のセグメントで再度合流する、示される例示的な実施形態におけるサイディングの構成も、例示に過ぎず、別の実施形態では、サイディングトラックは、いかなる他の所望の構成を有してもよい。例えば、サイディングトラックは、主トラックループの対向側間(任意のベイ内)で分岐してもよく、または例えば図29A、29Bに示されるような異なるインターベイ(例えばインター−インター)搬送セクション、もしくはインター−イントラ(あるいはその逆)搬送セクションの主トラック間で分岐してもよい。別の実施形態では、サイディングは、主トラックと異なる配向を有してもよく、主トラックの上または下を横断してもよい。その他の別の実施形態では、所望により、実質的に直角の交差点または切り替え等が主トラックとサイディングトラックとの間の交差点に配置されてもよい。   In the exemplary embodiment shown in FIG. 51, the bulk transport system section, hereinafter referred to as bulk transport 4100, comprises a main transport track 4100M. Further, the bulk transporting machine 4100 may have a large number of siding tracks 4100S. For illustration purposes, shown in FIG. 51 as a loop, in another embodiment, the main transport track 4100M, which may have any other desired shape, is the main transport path of the carrier being transported by the bulk transporter (Or stream). Although the description of the exemplary embodiments specifically refers to a carrier, the mechanisms described herein place the (substrate) carrier on a platen or other activation device of the payload that is transported by the bulk transporter. The same applies to other embodiments that may be applicable. In an exemplary embodiment, the main transport path may be continuous and substantially constant velocity. Therefore, the carrier transported on the main transport truck 4100M can travel continuously and at high speed over the entire transport on the main path without any obstacle from the carrier stopped on the transport system. The employed siding or branch track 4100S allows the operation of the carrier on the bulk transport that determines the transport speed to be separated from the main transport path. As described above, the operation of determining the speed may be performed from the siding track without obstructing the main transport path. Thus, the siding track 4100S may define, for example, a carrier shock absorber, a loading / unloading position or a path switching device. In the exemplary embodiment, one siding track is shown, but, for example, and in another embodiment, there may be any desired number of siding tracks. Also, the configuration of the siding in the illustrated embodiment, which is bifurcated and rejoins at a substantially straight segment, is merely exemplary; in other embodiments, the siding track may have any other desired shape. May be provided. For example, siding tracks may branch between opposing sides of the main track loop (in any bay), or different interbay (eg, inter-inter) transport sections, eg, as shown in FIGS. 29A, 29B, or Branches may be made between main tracks in an inter-intra (or vice versa) transport section. In another embodiment, the siding may have a different orientation than the main track and may traverse above or below the main track. In other alternative embodiments, if desired, a substantially right-angled intersection or switch may be located at the intersection between the main track and the siding track.

例示的な実施形態では、主およびサイディングトラック4100M、4100Sは、バルク搬送機のトラックを組み合わせるためにモジュールで接続されるモジュラートラックセグメントA、B、C、D、Lを備えてもよい。キャリアは、例えばリニアモータによってバルク搬送機のトラック4100S、4100M上で駆動されてもよい。前述されたトラック500と同様に、リニアモータのフォーサーは、トラック4100M、4100S内/上に置かれてもよく、リニアモータの反応部分は、キャリア上にあってもよい。キャリアは、キャリアの適したソリッドステートの支持体部材上で作用する非接触型または滑らかなベアリング(例えば空気/ガスベアリング)磁気浮上システム、または接触型ベアリング(例えばローラー、ボール/ローラーベアリング等)のトラック内の適したデバイスによって、トラック上で移動可能に支持されてもよい。別の実施形態では、キャリアは、車輪、ローラー、ガス/空気ベアリング等、そこに一体化された起動支持体を有してもよい。理解され得るように、主およびサイディングトラック上でキャリアを支持する起動支持体は、それぞれのキャリアをトラックにわたり安定して支持するために、トラック上でいかなる所望の配置を有してもよく、キャリアがトラックに沿って自由に移動できるように、主およびサイディングトラックに沿って分布されてもよい。例示的な実施形態では、リニアモータは、例えば線形誘導モータ(LIM)、リニアブラシレスDCモータ(等)である場合があるが、別の実施形態では、バルク搬送機の主およびサイディングトラックに沿ってキャリアを促すために、いかなる所望のリニアモータまたはいずれかの他の種類のモータ/駆動部が使用されてもよい。前述されたように、例示的な実施形態では、LIMのフォーサー(または相巻線)4120、4120M、4120Sは、搬送機の主およびサイディングトラックを形成するトラックモジュールA、B、C、D、L内に置かれ、キャリアは、以下にさらに詳細に記載されるLIMの反応速度/部材を有する。別の実施形態では、キャリアまたは運搬車プラテンにモータコイルが搭載されてもよく、トラックに磁気反応要素が搭載されてもよい。   In an exemplary embodiment, the main and siding trucks 4100M, 4100S may comprise modular truck segments A, B, C, D, L connected in modules to combine the trucks of the bulk carrier. The carrier may be driven on the tracks 4100S, 4100M of the bulk carrier by, for example, a linear motor. Similar to the truck 500 described above, the linear motor forcer may be located in / on the trucks 4100M, 4100S, and the responsive portion of the linear motor may be on a carrier. The carrier may be a non-contact or smooth bearing (eg, air / gas bearing) magnetic levitation system acting on a suitable solid state support member of the carrier, or a contact bearing (eg, roller, ball / roller bearing, etc.). It may be movably supported on the track by a suitable device in the track. In another embodiment, the carrier may have a starting support integrated therein, such as wheels, rollers, gas / air bearings, and the like. As can be appreciated, the starting supports supporting the carriers on the main and siding tracks may have any desired arrangement on the tracks to stably support the respective carriers across the tracks, May be distributed along the main and siding tracks so that they can move freely along the tracks. In an exemplary embodiment, the linear motor may be, for example, a linear induction motor (LIM), a linear brushless DC motor (etc.), but in another embodiment, along the main and siding tracks of the bulk conveyor. Any desired linear motor or any other type of motor / drive may be used to facilitate the carrier. As described above, in the exemplary embodiment, the LIM forcers (or phase windings) 4120, 4120M, 4120S are the track modules A, B, C, D, L that form the main and siding tracks of the transporter. The carrier has a LIM reaction rate / member, which is described in further detail below. In another embodiment, the carrier or carrier platen may be equipped with motor coils, and the truck may be equipped with magnetically responsive elements.

さらに図51を参照すると、示される例示的な実施形態の主4100Mおよびサイディング4100SトラックのモジュラーセグメントA、B、C、D、Lは、代表的なものであり、別の実施形態では、いかなる所望の構成を有してもよい。トラックセグメントA、B、C、D、Lは、指示がない限り、概して類似する。図51に見られるように、例示的な実施形態では、トラックセグメント(モジュール)は、一般的にシングルトラックセグメント(例えばA、C、D、L)および接合部(トラック切り替え)セグメントを含んでもよい。別の実施形態では、いずれかの他の所望のモジュラートラックセクションが使用されてもよい。例えば、別の実施形態では、任意のトラックモジュールは、非接合マルチトラックモジュールと称される場合がある、一般的に互いに平行して延在する、複数のトラック(それぞれが異なるキャリア搬送通路を形成する)を含んでもよい。例示的な実施形態では、シングルトラックセグメントは、実質的に直線状のセグメントA、D、Lおよび湾曲状のセグメントCを含んでもよいが、別の実施形態では、シングルトラックセグメントは、いかなる他の所望の形状を有してもよい。示される例示的な実施形態では、トラックセクションは、描写目的のために、実質的に共通高度に描画される。別の実施形態では、主およびサイディングトラックは、異なる高度のセクションを含んでもよい。例えば、サイディングは、主トラックおよび/またはその他のサイディングとは異なる高度(例えばより低いまたはより高い)に位置してもよい。また、主トラックおよび/またはサイディングトラックは、より高いまたはより低いトラック部分等、トラックに沿って異なる高度にトラックセクションを有してもよい。適したランプ(図示せず)が異なる高度のトラックセクションを接合し、トラックを進行するキャリアが間を移行できるようにしてもよい。図51から理解され得るように、接合セグメントB、4102、4102’は、サイディングまたは分岐トラック4100Sが主トラック4100Mと合流する場所、または接合が望ましい場所に置くことができる。図51に示される例示的な実施形態では、例示目的のために、2つの接合トラックセグメント4102、4102’が示される。図51に示される接合セグメント4102、4102’の構成は、主トラック4100Mの片側に合流/分化するシングル分岐トラック(例えば図51の軸Xで示される方向に対して左側)を有する例示に過ぎない。別の実施形態では、接合セグメントは、主トラックの右に分岐してもよい。その他の別の実施形態では、接合セグメントは、主トラックの反対側にある分岐であって、実質的に直接互いに対向するまたは交代する分岐を有する1つのセグメント内の複数分岐、または主トラックの片側(例えば左および/または右)上での複数分岐等、いかなる所望の構成を有してもよい。例示的な実施形態では、シングルトラックセグメントA、C、D、Lは、異なる形状(例えば直線状、湾曲状等)を有するが、その他の点では概して類似する。トラックセグメントA、C、D、Lのそれぞれは、モータのフォーサー4120内に対応するセクションを含んでもよい。理解され得るように、および図51に示されるように、モジュラートラックセグメントが組み立てられる場合、モータのフォーサーセクション(様々なトラックセクションの)は、動作可能に統合される(適したコントローラを使用して)場合に、キャリア/プラテン内の反応プレートを操作し、主およびサイディングトラックの長手方向にわたりキャリア/プラテンを駆動するために、主およびサイディングトラックに実質的に連続的なモータのフォーサー4120M、4120Sを画定してもよい。別の実施形態では、トラックは、一体化フォーサーセクションなく、1つ以上のセグメントを含んでもよい。   Still referring to FIG. 51, the modular segments A, B, C, D, L of the main 4100M and siding 4100S tracks of the exemplary embodiment shown are representative, and in another embodiment, any desired May be provided. Track segments A, B, C, D, L are generally similar unless otherwise indicated. As seen in FIG. 51, in an exemplary embodiment, track segments (modules) may generally include single track segments (eg, A, C, D, L) and junction (track switching) segments. . In other embodiments, any other desired modular track sections may be used. For example, in another embodiment, any track module may be referred to as a non-bonded multi-track module, a plurality of tracks extending generally parallel to each other, each forming a different carrier transport path. May be included. In an exemplary embodiment, a single track segment may include substantially straight segments A, D, L and a curved segment C, but in other embodiments, the single track segment may include any other It may have a desired shape. In the exemplary embodiment shown, the track sections are rendered at a substantially common elevation for rendering purposes. In another embodiment, the main and siding tracks may include different altitude sections. For example, the siding may be located at a different altitude (eg, lower or higher) than the main track and / or other siding. Also, the main track and / or siding track may have track sections at different altitudes along the track, such as higher or lower track portions. Suitable ramps (not shown) may join the track sections at different altitudes so that the carrier traveling the track can transition between them. As can be seen from FIG. 51, the joining segments B, 4102, 4102 'can be located where the siding or branching track 4100S merges with the main track 4100M or where joining is desired. In the exemplary embodiment shown in FIG. 51, two joining track segments 4102, 4102 'are shown for illustrative purposes. The configuration of the joining segments 4102, 4102 ′ shown in FIG. 51 is merely an example having a single branching track (for example, the left side with respect to the direction indicated by the axis X in FIG. 51) that merges / differents to one side of the main track 4100M. . In another embodiment, the joining segment may branch to the right of the main track. In other alternative embodiments, the joining segment is a branch on the opposite side of the main track, with multiple branches in one segment having branches that are substantially directly opposite or alternating with each other, or one side of the main track. It may have any desired configuration, such as multiple branches on (eg, left and / or right). In the exemplary embodiment, single track segments A, C, D, L have different shapes (eg, straight, curved, etc.), but are otherwise generally similar. Each of the track segments A, C, D, L may include a corresponding section in the forcer 4120 of the motor. As can be appreciated, and as shown in FIG. 51, when modular track segments are assembled, the forcer sections (of the various track sections) of the motor are operably integrated (using a suitable controller). )) In order to operate the reaction plate in the carrier / platen and to drive the carrier / platen over the length of the main and siding tracks, a motor forcer 4120M, 4120S substantially continuous with the main and siding tracks is used. May be defined. In another embodiment, the track may include one or more segments without an integrated forcer section.

理解され得るように、フォーサー4120またはリニアモータの一次コイルアセンブリと称される場合があるものは、例えばLIM配置の場合、一般的にスチール積層体および相巻線を備え、それらは、トラックセグメントと一体化して形成されてもよく、またはトラックセグメントに接合されるフォーサー筐体に収容されてもよい。別の実施形態では、トラックセグメントに一体化されるリニアモータのフォーサーの相巻線は、いかなる他の適した配置を有してもよい。それぞれのセグメントA、C、D、L内のフォーサーセクション(例えば図52のセグメントC参照)は、それ自体がセグメント化されてもよく、または連続していてもよい。湾曲状のトラックセグメントCは、フォーサーセクション4120Cを有してもよく、その中で相巻線は、コイルアセンブリがトラックの湾曲に相応する曲線を画定するように配置されてもよく、概して湾曲状のフォーサーセクションを画定するために配置されたセグメントを有するフォーサーセクションを有してもよい。別の実施形態では、トラックセグメントのフォーサーセクションは、いかなる他の所望の形状を有してもよい。トラックセグメントA、C、D、Lのフォーサーセクションは、トラックおよび該トラックに乗るキャリアに対して対称に配置されてもよい。別の実施形態では、フォーサーは、トラックおよびその上のキャリアに対して非対称に置かれてもよい。   As can be appreciated, what may be referred to as a primary coil assembly of a forcer 4120 or a linear motor, for example in the case of a LIM arrangement, generally comprises a steel laminate and phase windings, which comprise track segments and It may be integrally formed or housed in a forcer housing joined to the track segment. In another embodiment, the phase windings of the linear motor forcer integrated into the track segment may have any other suitable arrangement. The forcer section within each segment A, C, D, L (eg, see segment C in FIG. 52) may itself be segmented or may be continuous. Curved track segment C may have a forcer section 4120C, in which the phase windings may be arranged such that the coil assembly defines a curve corresponding to the curvature of the track, and may be generally curved. May have a forcer section with segments arranged to define a fourth forcer section. In another embodiment, the forcer section of the track segment may have any other desired shape. The forcer sections of the track segments A, C, D, L may be arranged symmetrically with respect to the track and the carrier riding the track. In another embodiment, the forcer may be placed asymmetrically with respect to the track and the carrier thereon.

図54は、代表的なトラックセグメントAおよびその上に移動可能に支持される代表的なキャリア5000の概略端面図を示す。前述したように、一般的にトラック(主およびサイディング4100M、4100S)は、トラックに沿ったキャリアの制御移動をもたらすために、起動力/推進力、起動支持体、およびキャリア5000の誘導を提供する。また、前述されたように、例示的な実施形態では、例えばLIM等のキャリアを駆動するリニアモータは、キャリア上の反応プレート/要素5100を操作する、トラック内のフォーサー4120M、4120Sにバイアスをかける。また、図53を参照すると、代表的なキャリア5000およびキャリアの反応プレート5100の概略底面図が示されている。図53に示されるキャリア上の反応プレート5100の配置は、例示に過ぎず、別の実施形態では、キャリア上の反応プレートは、いかなる他の適した配置を有してもよい。別の実施形態では、反応プレートは、より多くてもより少なくてもよい。例示的な実施形態では、反応プレート5100は、キャリアの底面上に示されるが、別の実施形態では、反応プレートは、キャリアのいずれかの他の所望の側面または部分に置かれてもよい。例示的な実施形態では、LIMを画定するもの等、反応プレート5100は、スチールまたはアルミニウム等の金属から作製されてもよいが、いずれかの他の適した材料が使用されてもよい。反応プレートの1つ以上は、以下に記載されるように、鉄鋼(磁気)材料から作製されてもよい。別の実施形態では、反応要素は、リニアブラシレスDCモータの相巻線等のモータ相巻線で動作するように配列された永久磁石を含んでもよい。キャリア上の反応プレートは、トラック4100M、4100S内のフォーサー4120M、4120Sに対応し、主またはサイディングトラックに沿う推進力を提供するプレート5102を1つ以上含んでもよい。これは、図54に概略的に図示される。反応プレート5102は、1つのプレートとして図53に概略的に示されるが、例えば図20C、20Dに示されるような配置を有する、いかなる所望の数のプレートを含んでもよい。前述されたように、トラック内のフォーサー4120(およびしたがってフォーサーセクション4120A、4120C、個々のセグメントの図52、54参照)および対応する反応プレート5102は、キャリアならびにトラックに対して実質的に対称に配置されてもよい。別の実施形態では、モータのフォーサーは、非対称であってもよい。   FIG. 54 shows a schematic end view of an exemplary track segment A and an exemplary carrier 5000 movably supported thereon. As mentioned above, the trucks (primary and siding 4100M, 4100S) typically provide motive / propulsive forces, motive support and guidance of the carrier 5000 to provide controlled movement of the carrier along the track. . Also, as described above, in the exemplary embodiment, a linear motor driving a carrier, such as a LIM, biases the forcers 4120M, 4120S in the truck that operate the reaction plate / element 5100 on the carrier. . Referring also to FIG. 53, a schematic bottom view of a representative carrier 5000 and a carrier reaction plate 5100 is shown. The arrangement of the reaction plate 5100 on the carrier shown in FIG. 53 is for illustration only, and in other embodiments, the reaction plate on the carrier may have any other suitable arrangement. In another embodiment, there may be more or less reaction plates. In an exemplary embodiment, the reaction plate 5100 is shown on the bottom surface of the carrier, but in other embodiments, the reaction plate may be located on any other desired side or portion of the carrier. In an exemplary embodiment, the reaction plate 5100, such as that defining the LIM, may be made from a metal, such as steel or aluminum, although any other suitable material may be used. One or more of the reaction plates may be made from a steel (magnetic) material, as described below. In another embodiment, the responsive element may include a permanent magnet arranged to operate with a motor phase winding, such as a phase winding of a linear brushless DC motor. The reaction plate on the carrier may include one or more plates 5102 corresponding to the forcers 4120M, 4120S in the trucks 4100M, 4100S and providing propulsion along the main or siding truck. This is schematically illustrated in FIG. The reaction plate 5102 is shown schematically in FIG. 53 as a single plate, but may include any desired number of plates, for example, having an arrangement as shown in FIGS. 20C, 20D. As described above, the forcers 4120 in the track (and thus the forcer sections 4120A, 4120C, see FIGS. 52, 54 of the individual segments) and the corresponding reaction plates 5102 are arranged substantially symmetrically with respect to the carrier and the track. May be done. In another embodiment, the forcer of the motor may be asymmetric.

図54に示される例示的な実施形態では、キャリア5000は、適した空気ベアリング4200によって、トラック上に移動可能に支持される。図54に示されるガス/空気/液体ベアリングの分布は、例示に過ぎず、別の実施形態では、トラックからキャリアを安定して支持する、いずれかの他の所望のガス圧力分布を提供するために、排気ポートが配置されてもよい。別の実施形態では、トラックからキャリアを上げるために排気を行うガスポートがキャリア内に存在してもよい。前述されたように、その他の別の実施形態では、キャリアとトラックとの間の起動支持体は、いかなる他の所望の種類であってもよく、トラックセグメントまたはキャリアのいずれかの従属物であってもよい。空気ベアリング4200のガスポートおよび/またはキャリア上のガス衝撃エリアは、キャリアのトラックに対する水平誘導を生じる合成方向力を生成するように構成されてもよい。理解され得るように、ガスポートは、適したガスの供給源(図示せず)に連通可能に接続されてもよい。例示的な実施形態では、トラックセクションは、ガス供給元から流体ベアリングのガスポートにガスを送り込むためのガス導管を有してもよい。例えばトラック上のキャリアが存在する場所に近接するガスポートを操作するために、適した弁調整および制御が含まれてもよい。制御は、能動的であってもよい(例えばセンサがキャリアの存在を特定し、キャリアの動作が既知であるトラックセクションで操作されるガスポートのオン/オフを切り替える)。   In the exemplary embodiment shown in FIG. 54, the carrier 5000 is movably supported on a truck by a suitable air bearing 4200. The distribution of gas / air / liquid bearings shown in FIG. 54 is merely exemplary, and in another embodiment, to provide any other desired gas pressure distribution that stably supports the carrier from the truck. In addition, an exhaust port may be arranged. In another embodiment, a gas port that exhausts to lift the carrier from the truck may be present in the carrier. As mentioned above, in other alternative embodiments, the starting support between the carrier and the track may be of any other desired type and may be a dependent of either the track segment or the carrier. You may. The gas ports of the air bearing 4200 and / or the gas impact area on the carrier may be configured to create a resultant directional force that results in horizontal guidance of the carrier to the track. As can be appreciated, the gas port may be communicatively connected to a suitable gas supply (not shown). In an exemplary embodiment, the track section may include a gas conduit for delivering gas from a gas supply to a gas port of the fluid bearing. Suitable valve adjustments and controls may be included, for example, to operate a gas port proximate to the location of the carrier on the truck. The control may be active (e.g., a sensor determines the presence of the carrier and turns on / off a gas port operated on a track section where the operation of the carrier is known).

図51〜52、および54に示される例示的な実施形態では、トラック4100M、4100Sは、キャリアがトラックを下方に進む際の移動を誘導するために、制御および誘導システム4130を含んでもよい。誘導システム4130は、主およびサイディングトラック4100M、4100Sに沿って延在する非接触型システムであってもよい。例示的な実施形態では、トラックセグメントA、C、D、Lのそれぞれは、誘導システム4130A、4130Cの対応するセクション(図52、54参照)を含んでもよく、これらは、セグメントが接合される場合、結合して実質的に連続するトラックの誘導システムを形成してもよい。別の実施形態では、誘導システムは、トラックに独立して搭載可能であってもよい。その他の別の実施形態では、誘導システムは、いかなる適した種類であってもよく、例えばトラックの支持システムと一体化されてもよく(例えばトラックに沿って移動するキャリアの配向および水平位置合わせを維持することを助長する、トラックまたはその間のキャリア上のローラーもしくは車輪)、および/またはリニアモータと一体化されてもよく(以下にさらに記載されるように)、および/またはキャリア支持体およびリニアモータから独立していてもよい。例示的な実施形態では、トラック4100M、4100S内の誘導システム4130は、一般的に、トラック内のリニアモータのフォーサー4120と実質的に平行に延在する誘導磁石トラック4130M、4130Sを備えてもよい。誘導磁石トラックは、例えば、直列配置され、磁石トラックを形成する永久磁石を備えてもよい。また、OT切り替え/接合部等のトラックの一部分も電磁石を含んでもよく、切り替えるためにオン/オフが繰り返されてもよい。別の実施形態では、誘導には、キャリア上に誘導力を生成することができる巻線をトラックセクション内のモータに提供することが含まれてもよい。該誘導巻線は、リニアフォーサーに一体化されてもよく、またはトラックに沿った推進力を提供するリニアフォーサーから分離され、独立していてもよい。理解され得るように、トラック内の誘導フォーサーは、適した誘導プレート/要素5104(キャリアをトラック4100M、4100Sに対する所望の水平位置に維持するためのキャリア内の磁性体(例えば鉄鋼)または永久磁石等)と相互作用してもよい。その他の別の実施形態では、キャリア上に誘導力を生成するための固定具がキャリア上に搭載され、トラック内の固定子要素と動作し、キャリア誘導を行ってもよい。前述されたように、例示的な実施形態では、トラックセグメントモジュールA、C、D、Lは、図52および54に示されるように、誘導トラックの対応するセクション1430A、1430Lをそれぞれ有してもよい。例示的な実施形態では、トラックセクションA、C、D、Lの誘導トラックセクション1430A、1430Lは、フォーサー4120Aに沿って反対側に配置される、2つの誘導トラック4132、4134(例えば図54参照)を備えてもよい。示される誘導トラックの位置は、例示である。別の実施形態では、より多いまたはより少ない誘導トラックがいかなる所望の位置に提供されてもよい。誘導トラックと相互作用するキャリアの誘導プレート/要素は、以下に記載されるように、リニアモータのその他のセクションの軸外(軸Xに対して)リニアモータ反応プレート5104R、5106R、5104L、5106L(図53参照)であってもよく、またはリニアモータ反応プレートから独立した他の適した鉄鋼プレート/要素であってもよい。その他の別の実施形態では、キャリアは、磁石要素を誘導してもよく、トラックは、トラック誘導システムを画定するために、キャリア上の磁石と相互作用するように配置される鉄鋼/磁性体トラックを有してもよい。また、誘導システムは、トラックに沿ったキャリアの移動を制御するコントローラと通信可能に接続されたホール効果センサ、LVDT等の位置付け/位置検知システム/デバイスも含んでもよい。位置付けシステム/デバイスは、参照することによって前述のように組み込まれる米国特許出願第11/211,236号に記載されるものと類似してもよい。一例として、主およびサイディングトラックに沿った位置付けフィードバックもまた、LIMの適したホール効果センサによって提供されてもよい。   In the exemplary embodiments shown in FIGS. 51-52, and 54, the tracks 4100M, 4100S may include a control and guidance system 4130 to guide the carrier as it moves down the track. Guidance system 4130 may be a non-contact system that extends along main and siding tracks 4100M, 4100S. In the exemplary embodiment, each of the track segments A, C, D, L may include corresponding sections of the guidance systems 4130A, 4130C (see FIGS. 52, 54), where the segments are joined. , May be combined to form a substantially continuous track guidance system. In another embodiment, the guidance system may be independently mountable on a truck. In other alternative embodiments, the guidance system may be of any suitable type, for example, may be integrated with the truck's support system (eg, to provide orientation and horizontal alignment of the carrier moving along the truck). Rollers or wheels on the truck or carrier between them to help maintain) and / or may be integrated with a linear motor (as described further below), and / or carrier support and linear It may be independent of the motor. In an exemplary embodiment, the guidance system 4130 in the tracks 4100M, 4100S may comprise an induction magnet track 4130M, 4130S that extends generally parallel to the linear motor forcer 4120 in the track. . The induction magnet track may for example comprise permanent magnets arranged in series and forming a magnet track. Also, a portion of the track, such as the OT switch / joint, may include an electromagnet, and the on / off may be repeated for switching. In another embodiment, the induction may include providing a winding on the carrier that can generate an induction force to a motor in the track section. The induction winding may be integral to the linear forcer, or it may be separate and independent of the linear forcer that provides propulsion along the track. As can be appreciated, the guide forcer in the track may be a suitable guide plate / element 5104 (such as a magnetic (eg, steel) or permanent magnet in the carrier to maintain the carrier in a desired horizontal position relative to the tracks 4100M, 4100S). ). In other alternative embodiments, a fixture for generating a guiding force on the carrier may be mounted on the carrier and operate with stator elements in the truck to provide carrier guidance. As described above, in the exemplary embodiment, the track segment modules A, C, D, L may also have corresponding sections 1430A, 1430L of the guided track, respectively, as shown in FIGS. Good. In the exemplary embodiment, the guided track sections 1430A, 1430L of track sections A, C, D, L are located on opposite sides along forcer 4120A, with two guided tracks 4132, 4134 (see, eg, FIG. 54). May be provided. The positions of the guidance tracks shown are exemplary. In another embodiment, more or less guidance tracks may be provided at any desired location. The guide plates / elements of the carrier interacting with the guide tracks are the off-axis (relative to axis X) linear motor reaction plates 5104R, 5106R, 5104L, 5106L of the other sections of the linear motor, as described below. 53 (see FIG. 53) or other suitable steel plate / element independent of the linear motor reaction plate. In other alternative embodiments, the carrier may guide the magnet element and the track is a steel / magnetic track arranged to interact with a magnet on the carrier to define a track guiding system. May be provided. The guidance system may also include a positioning / position sensing system / device, such as a Hall effect sensor, LVDT, communicatively connected to a controller that controls the movement of the carrier along the track. The positioning system / device may be similar to that described in US patent application Ser. No. 11 / 211,236, which is incorporated by reference above. As an example, positioning feedback along the main and siding tracks may also be provided by suitable Hall effect sensors of the LIM.

ここで再び図52を参照すると、上記に記載されるトラックセグメントCおよび代表的な接合セグメントBの概略平面図が示されている。バルク搬送機4100のその他の接合セグメントは、接合セグメントBと概して類似する。例示的な実施形態では、接合セグメントは、主およびサイディングトラック4120M、4120Sの両方にフォーサーセクションを有してもよい。また、例示的な実施形態では、セグメントBは、切り替えリニアモータのフォーサーセクション4125を有してもよい。理解され得るように、例示的な実施形態では、主トラックおよびサイディングトラックのリニアモータから独立した独立型リニアモータは、以下に記載されるように、キャリアの主およびサイディングトラックの切り替えを行うための接合部に置かれてもよい。例示的な実施形態では、切り替えリニアモータは、LIMであってもよく、ブラシレスDCモータ等のいかなる他の適したリニアモータが使用されてもよい。別の実施形態では、いずれかの他の適した電気的または機械的切り替えシステムが使用されてもよい。図52に見られるように、本実施形態では、フォーサー4125(切り替えモータの)は、主およびサイディングトラックのフォーサー4120M、4120Sからのオフセット位置に置かれてもよい。主トラックのフォーサーセクションは、示されるように、サブセクション4122、4124、4126にさらに区分されてもよい。主トラックのフォーサーのサブセクション4122、4124、4126は、示されるように、互いから物理的に分離されてもよく、またはコントローラによって互いから事実上分離され、切り替えLIMフォーサー4125の向かいのセクション4124が、その他の隣接する主トラックのLIMフォーサーセクション4122、4126から独立して電源を切ることができるようにしてもよい。図52に示される、接合セグメント上のフォーサーセクション4122、4125、4124、4126、および誘導システムの構成は、例示に過ぎず、別の実施形態では、接合セグメントは、いかなる他の所望の構成を有してもよい。図52に見られるように、例示的な実施形態では、切り替えフォーサー4125は、主およびサイディングトラックのフォーサーからサイディングトラックが合流/分化する方向(例えば軸Xから左)にオフセットされてもよい。例示的な実施形態では、切り替えフォーサー4125は、主トラックの方向(軸Xによって示される)と概して平行に配置することができる1つの端部4125M、およびサイディングトラックの局所方向(図52に軸bによって示される)と概して平行に配置されてもよい別の端部4125Sを有してもよい。例示的な実施形態では、サイディング(軸b)の主トラックからの出口/入口の局所方向は、主トラックの移動方向(軸X)に対して鋭角で配向される。したがって、理解され得るように、キャリアは、サイディングに移動する場合、軸Xに沿った運動量を利用して切り替えを行ってもよく、全体として軸Xに沿った運動量を相殺しない場合がある(例えば主トラック上で停止しない場合がある)。別の例示的な実施形態によると(図52Cも参照)、接合セグメントは、切り替えガイド4130S’(トラック4132S’、4134S”)を有して切り替えフォーサー(4125)の位置に提供され、以下に記載されるモータA’を用いずに切り替えるために、進行軸(例えば軸X)に沿ったキャリアの運動量を吸収することによって切り替えを行ってもよい。前述されたように、別の実施形態では、所望により、サイディングへの入口/出口と主トラックの方向との間に角度が提供されてもよい。(例えば直交だが、その場合でも、切り替えリニアモータの構成は、X方向の運動量を利用する)。図52〜53に見られるように、例示的な実施形態では、切り替えLIMフォーサー4125の端部4125Mは、キャリアの反応プレート5104、5106の1つ以上で動作するように置かれてもよい(図53も参照)。反応プレート5104、5106は、横方向(軸Yに沿って)にオフセットされてもよい。さらに、反応プレート5106L、5106Rも、キャリアの所望の参照点(例えば中心)から長手方向(軸Xに沿って)にオフセットされてもよい。例示的な実施形態では、反応プレートは、横軸Yに対して異なる角度α、βにある対角軸上に置かれてもよい。別の実施形態では、キャリアは、より多いまたはより少ない反応プレートを有する、いかなる他の所望の反応プレート配置を有してもよい。前述されたように、反応プレート5104L、5106Lの1つ以上は、キャリアを主トラック4100Mからサイディング4100Sに切り替える(およびサイディング4100S、セグメント4102’のその他の端部で合流する接合部においてその逆も同様、図51参照)ために、切り替えフォーサー4125によって使用されてもよい。   Referring again to FIG. 52, there is shown a schematic plan view of the track segment C and the representative junction segment B described above. The other joining segments of bulk carrier 4100 are generally similar to joining segment B. In an exemplary embodiment, the joining segment may have forcer sections on both the main and siding tracks 4120M, 4120S. Also, in the exemplary embodiment, segment B may include a switched linear motor forcer section 4125. As can be appreciated, in the exemplary embodiment, a stand-alone linear motor independent of the main track and siding track linear motors is used to perform carrier main and siding track switching, as described below. It may be placed at the junction. In an exemplary embodiment, the switching linear motor may be a LIM, and any other suitable linear motor such as a brushless DC motor may be used. In another embodiment, any other suitable electrical or mechanical switching system may be used. As seen in FIG. 52, in this embodiment, the forcer 4125 (of the switching motor) may be located at an offset from the main and siding track forcers 4120M, 4120S. The main track forcer section may be further partitioned into subsections 4122, 4124, 4126, as shown. The main track forcer subsections 4122, 4124, 4126 may be physically separated from each other as shown, or may be effectively separated from each other by the controller, such that the section 4124 opposite the switching LIM forcer 4125 is Power may be turned off independently of the LIM forcer sections 4122, 4126 of other adjacent main tracks. The configuration of the forcer sections 4122, 4125, 4124, 4126 on the junction segment and the guidance system shown in FIG. 52 is merely exemplary; in another embodiment, the junction segment has any other desired configuration. May be. As seen in FIG. 52, in an exemplary embodiment, the switching forcer 4125 may be offset from the main and siding truck forcers in a direction in which the siding truck merges / differentates (eg, left from axis X). In the exemplary embodiment, the switching forcer 4125 has one end 4125M that can be positioned generally parallel to the direction of the main track (indicated by axis X) and the local direction of the siding track (axis b in FIG. 52). ) May have another end 4125S that may be positioned generally parallel to the end 4125S. In the exemplary embodiment, the local direction of the exit / entrance from the main track of the siding (axis b) is oriented at an acute angle to the direction of movement of the main track (axis X). Thus, as can be appreciated, when moving in siding, the carrier may use the momentum along axis X to switch, and may not offset the momentum along axis X as a whole (eg, May not stop on the main truck). According to another exemplary embodiment (see also FIG. 52C), the joining segment is provided at the location of the switching forcer (4125) with a switching guide 4130S ′ (tracks 4132S ′, 4134S ″) and described below. In order to switch without using the motor A ′, the switching may be performed by absorbing the momentum of the carrier along the advancing axis (eg, the axis X.) As described above, in another embodiment, If desired, an angle may be provided between the entrance / exit to the siding and the direction of the main track (e.g., orthogonal, but the switching linear motor configuration still utilizes momentum in the X direction). 52-53, in an exemplary embodiment, the end 4125M of the switching LIM forcer 4125 is a reactive pre-carrier of the carrier. (See also FIG. 53.) The reaction plates 5104, 5106 may be laterally offset (along axis Y). The reaction plates 5106L, 5106R may also be offset longitudinally (along the axis X) from the desired reference point (eg, center) of the carrier, and in an exemplary embodiment, the reaction plates are relative to the horizontal axis Y. In other embodiments, the carrier may have any other desired reaction plate arrangement, with more or fewer reaction plates. As described above, one or more of the reaction plates 5104L, 5106L may switch the carrier from the main truck 4100M to the siding 4100S (and the carrier). Vice versa the junction meet at other end of the loading 4100S, segment 4102 ', in order see FIG. 51), it may be used by switching forcer 4125.

図52Bに最もよく見られるように、例示的な実施形態では、誘導磁石セクション4130は、主トラックとサイディングトラックとの間で切り替えを行うように配置される。図52Bに見られるように、例示的な実施形態では、誘導磁石トラック4134(サイディングの入口に近接する側)は、切り替え誘導トラック4134S’(該側に対応する)の少なくとも一部分がトラック4134Mと合流するように割り込まれる。図52Bに示される誘導トラックの接合部分は、例示に過ぎず、別の実施形態では、トラックの接合部分/インターチェンジは、いずれかの他の適した方法で配置されてもよい。対向する誘導磁石トラック4132M(サイディングの入口の反対側)は、示されるように、対応する切り替え誘導4132S’と合流する。例示的な実施形態では、誘導トラック4130M、4130S’のそれぞれは、オン/オフに切り替えられる作動可能な磁場を備えるセクション4132J(図52も参照)を含んでもよい。例えば、誘導トラックのセクション4132Jは、例えば永久磁石を有する磁気チャックと類似する電磁石コイル、およびコイルを通過する電流が誘導磁石セクションの磁場を事実上オン/オフに切り替えることができ、したがってキャリアと誘導トラックとの間の誘導力を解放するように巻線の周囲に配置されるコイルで構成されてもよい。別の実施形態では、作動可能な磁気セクションは、いかなる他の所望の配置を有してもよい。理解され得るように、所望の誘導トラック4132M、4132S’、4134S、4134S’の誘導磁石セクション4132Jは、切り替えを行うために、「オン」および「オフ」に切り替えられ、例えばキャリアが主トラック上を進み続ける場合、主誘導トラック4132M、4134Mは「オン」に切り替えられ、切り替え誘導は「オフ」に切り替えられ、キャリアがサイディングに切り替えられる場合、切り替え誘導4132S’、4134S’は「オン」であり、主誘導は「オフ」である。誘導磁石セクション4132M、4134Mを「オフ」に切り替えることによって、もはや主トラックに保持されなくてもよいことから、キャリアが横方向(主トラック外)に自由に動けるようになる。誘導磁石がキャリア内にある別の実施形態では、接合セグメントの誘導システムは、キャリアの磁石に相殺磁場を生成するのに適した巻線を含んでもよい。接合セグメントは、「オン」に切り替えられる際、キャリア(フォーサー4125によって移動される)をサイディングトラック4100Sに誘導する、一般的にサイディングの入口(軸b)と直線状に配置される作動可能/動作可能な1つ以上の誘導磁石(図示せず)をさらに含んでもよい。これらの誘導磁石セクションは、キャリアが接合部上を移動し、主トラック上を進みつづける場合、「オフ」に切り替えられてもよい。したがって、一例として、キャリアを主トラックからサイディングに切り替えるために、例示的な実施形態では、フォーサーセクション4124の動作が停止されてもよく、誘導磁石セクション4132M、4134Mが「オフ」に切り替えられ、誘導4132S’、4134S’内のスイッチが「オン」であってもよい。キャリアの運動量は、矢印b(図52参照)の方向のキャリアの軌道を事実上サイディング上に偏向する、切り替え誘導を有するトラックに沿って移動してもよい。フォーサー4125(提供される場合)は、キャリアを主トラックからサイディングの入口に向かってさらに促してもよいが、例示的な実施形態では、キャリアの運動量は、所望のサイディングトラック4100Sに沿って運動を継続するために、サイディングのフォーサー4120Sが対応する反応プレート5102上で動作するまでキャリアをサイディングに移動するのに十分であってもよい。誘導磁石トラック4130Sは、サイディングトラック4100Sに沿ってキャリアを誘導するために、キャリアの磁気要素を取得する。例示的な実施形態では、キャリアの切り替えは、概して受動的な方法で達成されており、切り替えに位置フィードバックが採用されなくてもよい。能動的切り替えのある別の実施形態では、キャリアを主からサイディングに切り替え中の位置フィードバックは、誘導/位置付けシステムによって実行されてもよく、該システムは、例えばキャリアが主トラック上にある場合に、切り替えLIMフォーサーにハンドオフする前にキャリアの位置を取得するために配置されてもよく、キャリアが切り替えLIMを介して切り替わる間、位置フィードバックを継続し、サイディングトラックLIMへのハンドオフを可能にする。このように、位置付けデバイスは、切り替え中の位置フィードバックを可能にするために配置される、いかなる適した種類の連続または分散デバイス(例えば光学、磁気、バーコード、基準ストリップ、レーザー/ビーム測距または高周波測距)であってもよい。   As best seen in FIG. 52B, in an exemplary embodiment, the induction magnet section 4130 is arranged to switch between a main track and a siding track. As seen in FIG. 52B, in the exemplary embodiment, the guide magnet track 4134 (the side proximate to the siding entrance) has at least a portion of the switching guide track 4134S ′ (corresponding to that side) merge with the track 4134M. You will be interrupted. The guide track interface shown in FIG. 52B is exemplary only, and in other embodiments, the track interface / interchange may be arranged in any other suitable manner. The opposing guide magnet track 4132M (opposite the siding entrance) merges with the corresponding switching guide 4132S 'as shown. In an exemplary embodiment, each of the guide tracks 4130M, 4130S 'may include a section 4132J (see also FIG. 52) with an actuatable magnetic field that can be turned on / off. For example, the section 4132J of the induction track may be an electromagnet coil, for example, similar to a magnetic chuck with permanent magnets, and the current passing through the coil may effectively switch the magnetic field of the induction magnet section on / off, thus providing carrier and induction It may consist of a coil arranged around the winding to release the inductive force between the track. In another embodiment, the actuatable magnetic section may have any other desired arrangement. As can be appreciated, the guide magnet section 4132J of the desired guide track 4132M, 4132S ', 4134S, 4134S' is switched "on" and "off" to effect the switch, for example, when the carrier is over the main track. If continuing, the main guidance tracks 4132M, 4134M are switched "on" and the switching guidance is switched "off"; if the carrier is switched to siding, the switching guidance 4132S ', 4134S' is "on"; The main lead is "off". Switching the induction magnet sections 4132M, 4134M "off" allows the carrier to move freely in the lateral direction (outside the main track) because it no longer needs to be held in the main track. In another embodiment where the induction magnet is in the carrier, the junction segment induction system may include windings suitable for generating a canceling magnetic field in the carrier magnet. The splicing segment is operable / movable, generally aligned with the siding entrance (axis b), which, when switched on, directs the carrier (moved by the forcer 4125) to the siding track 4100S. It may further include one or more possible induction magnets (not shown). These inductive magnet sections may be switched "off" as the carrier moves over the joint and continues on the main track. Thus, by way of example, in order to switch the carrier from the main track to the siding, in an exemplary embodiment, the operation of the forcer section 4124 may be deactivated, and the induction magnet sections 4132M, 4134M are switched "off" and the induction The switches in 4132S 'and 4134S' may be "ON". The momentum of the carrier may move along a track with switching guidance, effectively deflecting the trajectory of the carrier in the direction of arrow b (see FIG. 52) on siding. Forcer 4125 (if provided) may further urge the carrier from the main track toward the entrance of the siding, however, in an exemplary embodiment, the momentum of the carrier may cause movement along the desired siding track 4100S. To continue, it may be sufficient to move the carrier into the siding until the siding forcer 4120S operates on the corresponding reaction plate 5102. The induction magnet track 4130S acquires the magnetic element of the carrier to guide the carrier along the siding track 4100S. In the exemplary embodiment, the switching of carriers is accomplished in a generally passive manner, and position switching may not employ position feedback. In another embodiment with active switching, position feedback during the switching of the carrier from main to siding may be performed by a guidance / positioning system, for example, when the carrier is on a main track, It may be arranged to obtain the position of the carrier before handing off to the switching LIM forcer, while continuing the position feedback while the carrier switches via the switching LIM, allowing handoff to the siding track LIM. Thus, the positioning device may be any suitable type of continuous or dispersive device (eg, optical, magnetic, bar code, reference strip, laser / beam ranging or laser / beam ranging) that is arranged to allow position feedback during switching. High-frequency ranging).

ここで、図52Aを参照すると、別の例示的な実施形態による、バルクトランスポータの接合セグメントB’の別の平面図が示されている。本例示的な実施形態では、接合セグメントB’は、指示がない限り、図52に示されるセグメントBと類似する。図52Aでは、明確化のために、誘導磁石トラックは図示されない。また、セグメントB’上の主トラックのLIMフォーサーセクション4120M’は、隣接するフォーサー4122’、4126’から独立して電源を切ることができるサブセクション4124’を有してもよい。本例示的な実施形態では、サイディングのLIMフォーサー4120B’は、キャリアが主トラック上にある場合に、キャリアの反応プレート5106L’上で動作できる(所望により)ようにするために、主トラックに向かって十分に延在してもよい。これは、切り替えを行うために置かれたキャリアの反応プレート5102’、5106L’(ファントムで)を示す図52Aに図示される。トラックLIMの反応プレート5102’は、例えば主トラックのフォーサーセグメント4124’の上(および例えば隣接する「上流」主トラックのフォーサー4122’、および反応プレートから離れて)に置かれてもよく、5106L’は、サイディングのLIMフォーサー4120B’と動作するように置かれてもよい。したがって、切り替えるために、主トラックセグメント4124’は電源が切られ、サイディングのフォーサー4120B’は、エネルギーが供給され、キャリアをサイディングトラックに向けてもよい。サイディングから主トラックへの切り替えは、同様な方法で達成されてもよい。別の実施形態では、主およびサイディングトラックのリニアモータは、DCブラシレスモータまたは他のブラシレス鉄芯モータ等のいかなる適したリニアモータであってもよい。別の実施形態では、永久磁石反応要素は、キャリア内にあってもよく、その他の別の実施形態では、永久磁石は、トラックセグメント(キャリア内のコアモータ)内にあってもよい。別の実施形態では、相巻線は、磁石とモータ芯との間の磁場を相殺し、モータの磁石/鉄芯要素の相互作用によって提供される誘導を削除し、あるトラックから別のトラックにキャリアを切り替えられるようにするために、所望により、トラック(図20A、20Bに示されるものと同様な方法で)またはキャリアのいずれかの中に置かれてもよい。   52A, another plan view of a junction segment B 'of a bulk transporter is shown, according to another exemplary embodiment. In the present exemplary embodiment, junction segment B 'is similar to segment B shown in FIG. 52 unless otherwise indicated. In FIG. 52A, the induction magnet track is not shown for clarity. Also, the LIM forcer section 4120M 'of the main track on segment B' may have a subsection 4124 'that can be powered off independently of adjacent forcers 4122', 4126 '. In the present exemplary embodiment, the siding LIM forcer 4120B 'is directed toward the main truck to enable operation (if desired) on the carrier's reaction plate 5106L' when the carrier is on the main track. May extend sufficiently. This is illustrated in FIG. 52A, which shows the reaction plate 5102 ', 5106L' (with phantom) of the carrier placed to perform the switch. The reaction plate 5102 'of the truck LIM may be located, for example, on the main truck forcer segment 4124' (and away from, for example, the adjacent "upstream" main truck forcer 4122 'and the reaction plate) 5106L'. May be placed to work with the siding LIM Forcer 4120B '. Thus, to switch, the main track segment 4124 'is powered off and the siding forcer 4120B' may be energized and direct the carrier to the siding track. Switching from siding to main track may be accomplished in a similar manner. In another embodiment, the main and siding track linear motors may be any suitable linear motor, such as a DC brushless motor or other brushless iron core motor. In another embodiment, the permanent magnet responsive element may be in a carrier, and in other alternative embodiments, the permanent magnet may be in a track segment (core motor in the carrier). In another embodiment, the phase winding cancels the magnetic field between the magnet and the motor core, eliminates the induction provided by the magnet / core element interaction of the motor, and moves from one track to another. If desired, the carrier may be placed in either a track (in a manner similar to that shown in FIGS. 20A and 20B) or a carrier to allow the carrier to be switched.

ここで、再び図51を参照すると、例示的な実施形態では、1つ以上のトラックセグメントLは、エリアIを有してもよく、そこで接合セクション4200のロボット等によってキャリアがトラックから持ち上げられてもよい。理解され得るように、リフトエリアI内の誘導磁石トラック4130Sは、図52に示されるセクション4132Jと類似する作動可能な磁場を有するセクションとともに提供されてもよい。別の実施形態では、相巻線は、キャリアをトラックによる捕獲から「解放」し、トラックからのキャリアの持ち上げの容易化を促進するために、トラックまたはキャリアのいずれかの中の磁石と、トラックまたはキャリアのいずれかの中のリニアモータの鉄芯もしくは鉄鋼反応プレートである磁性体との間の磁場を相殺するために、提供されてもよい。   Referring again to FIG. 51, in an exemplary embodiment, one or more track segments L may have an area I, where the carrier is lifted from the track by a robot or the like in the joining section 4200. Is also good. As can be appreciated, induction magnet track 4130S in lift area I may be provided with a section having an operational magnetic field similar to section 4132J shown in FIG. In another embodiment, the phase windings include a magnet in either the track or the carrier and a track, to "release" the carrier from capture by the track and to facilitate the lifting of the carrier from the track. Or it may be provided to offset the magnetic field between the magnetic body which is the iron core or steel reaction plate of the linear motor in any of the carriers.

再び図53を参照すると、例示的な実施形態では、1つ以上のキャリア5000は、キャリア列内の1つ以上のキャリアを互いに連結するための連結具5200を有してもよい。連結具は、連結または解放するためにコントローラに動作可能に接続されてもよい磁気連結具等、いかなる適した種類のものであってもよい。別の実施形態では、キャリア間連結は、例えば機械的連結であってもよい。連結具5200は、図53に概略的に示されるが、別の実施形態では、キャリアの所望の位置に置かれてもよい。キャリア間連結は、バルク搬送機4100による搬送中に、2つ以上のキャリアを互いに繋ぐために使用されてもよい。理解され得るように、これは、繋がれたキャリアの1つ以上が列の機関となることを可能にし、一方、列中の他のキャリアは、受動的であってもよい。図51は、例示的な実施形態による、キャリアの列を示す。理解され得るように、繋がれる間、繋がれたキャリアはまとめられ、列中の「機関」キャリアの移動を制御することによって、すべてのキャリアが移動できるようにする。これは、コントローラの負荷を大幅に低減する可能性がある。列中の任意のキャリアの位置情報は、キャリアの列中(例えば「機関」キャリア基準)の、制御された相対的に望ましいレフェレンスに登録されてもよい。したがって、所望のコントローラは、列として移動する場合、それぞれのキャリアの個々のキャリアの移動を追跡することなく、所望のキャリアを特定し、所在を確認してもよく、列中の任意のキャリアの個別制御の開始を所望する場合、コントローラは、トラック上の列の位置および列上の所望の参照に対する任意のキャリアの位置を検索し、トラック上のキャリアの概略位置を特定してもよい。トラック位置付けシステムを用いて、高精度な位置付けを行ってもよい。別の実施形態では、キャリアの列から分断する際の位置付けは、いかなる他の所望の方法で行われてもよい。理解され得るように、列中のいずれかのキャリアが機関キャリアであってもよい。所望の運転パラメータを支持するために、キャリアの列の機関の位置付けが確立されてもよい。さらに、機関の位置は、機関キャリアの動作を停止し、列中の別のキャリアを機関となるように起動することによって、切り替えられてもよい。   Referring again to FIG. 53, in an exemplary embodiment, one or more carriers 5000 may include a coupler 5200 for coupling one or more carriers in a carrier row to one another. The coupling may be of any suitable type, such as a magnetic coupling that may be operably connected to the controller for coupling or release. In another embodiment, the inter-carrier connection may be, for example, a mechanical connection. The coupling 5200 is shown schematically in FIG. 53, but may be located at a desired location on the carrier in other embodiments. The inter-carrier connection may be used to connect two or more carriers together during transport by the bulk transporter 4100. As can be appreciated, this allows one or more of the tethered carriers to be in the queue, while the other carriers in the queue may be passive. FIG. 51 illustrates a row of carriers, according to an exemplary embodiment. As can be appreciated, while tethered, tethered carriers are grouped together, allowing all carriers to move by controlling the movement of "institution" carriers in the queue. This can significantly reduce the controller load. The position information of any carrier in the queue may be registered with a controlled, relatively desirable reference in the carrier queue (eg, "institution" carrier reference). Thus, when moving as a row, the desired controller may identify and locate the desired carrier without tracking the individual carrier movements of each carrier, and may determine the location of any carrier in the row. If it is desired to initiate individual control, the controller may retrieve the position of the column on the track and the position of any carrier relative to the desired reference on the column, and identify the approximate position of the carrier on the track. High-precision positioning may be performed using a track positioning system. In other embodiments, positioning when disconnecting from a row of carriers may be performed in any other desired manner. As can be appreciated, any carrier in the queue may be an institution carrier. In order to support the desired operating parameters, the positioning of the engine in the row of carriers may be established. Further, the position of the engine may be switched by deactivating the engine carrier and activating another carrier in the queue to become the engine.

ここで、図55を参照すると、さらに別の例示的な実施形態による、搬送システムA4000の概略端部正面図が示されている。図55に示される例示的な実施形態では、搬送システムの配置は、例示に過ぎず、別の実施形態では、搬送システムは、いかなる他の適した配置を有してもよい。図55に示される例示的な実施形態では、搬送システムA4000は、前述され、図51に図示される搬送システム4000と概して類似する(類似機構には、類似番号が付けられている)。搬送システムA4000は、一般的に、高速バルクまたは大量搬送セクションA4100および接合セクション4200を含んでもよい。高速大量搬送セクションA4100は、高速大量搬送通路A4102を1つ以上(例示目的のために、図55に図示される実施形態では、2つの通路が示される)有してもよい。例示的な実施形態では、大量搬送通路A4102は、FAB内のキャリアA5000を大量搬送できるように、前述されたものと同様の方法等で構成されてもよい。また、例示的な実施形態では、大量搬送セクションA4100の大量搬送通路A4102は、通路を進行するキャリアを、実質的に等速(少なくとも通路のいくつかの部分で)で通路の進行方向に搬送するように配置されてもよい。大量搬送セクショの通路は、前述されたものと同様な方法で互いに接続されてもよい。図55に示される例示的な実施形態では、接合セクションA4200は、例えば、前述され、図51に示される接合セクション4200と概して類似してもよい。例示的な実施形態では、接合セクションA4200は、大量搬送機と処理ツールとの間のキャリアを接合することができる。接合セクションA4200は、一般的に、シャトリングセクションA4202およびストレージセクションA4204を有してもよい。前述されたように、ストレージセクションA4204は、多数の処理ツールのためのキャリアを保管または緩衝するためのストレージ位置A4204Aを有して配置されてもよい。ストレージ位置A4204Aは、処理ツールのキャリアを効率的に緩衝するために、いかなる所望の方法で配置されてもよい。シャトリングセクションA4202は、ストレージセクションA4204のストレージ位置と処理ツールの積載接合部分(例えば積載ポート)との間のキャリアを接合できる、多数のフィーダロボットA4202を有してもよい。例示的な実施形態では、搬送システムA4000は、例えば実質的に等速でバルク搬送セクション通路A4100および接合セクションA4200を搬送されているキャリアA5000を接合することができる、搬送機ハンドオフセクションA4300を有してもよい。したがって、例示的な実施形態では、搬送システムA4000は、通路を進行するキャリアが実質的に等速で搬送される搬送システムの通路の一部分でさえ、非同期搬送システムであってもよい。例示的な実施形態では、搬送機ハンドオフセクションA4300は、キャリアが実質的に等速で進行する搬送通路A4102からキャリアが搬送システムA4000によって搬送されている間、キャリアの搬送速度決定動作を事実上分断できるようにする。   Referring now to FIG. 55, there is shown a schematic end elevation view of a transport system A4000, according to yet another exemplary embodiment. In the exemplary embodiment shown in FIG. 55, the arrangement of the transport system is exemplary only, and in other embodiments, the transport system may have any other suitable arrangement. In the exemplary embodiment shown in FIG. 55, transport system A4000 is generally similar to transport system 4000 described above and illustrated in FIG. 51 (similar features are numbered similarly). The transport system A4000 may generally include a high speed bulk or mass transport section A4100 and a joining section 4200. The high-speed bulk transport section A4100 may have one or more high-speed bulk transport paths A4102 (two paths are shown in the embodiment illustrated in FIG. 55 for illustrative purposes). In an exemplary embodiment, the bulk transport path A4102 may be configured in a manner similar to that described above to enable bulk transport of the carrier A5000 in the FAB. Also, in the exemplary embodiment, the bulk transport path A4102 of the bulk transport section A4100 transports the carriers traveling through the pathway at substantially constant speed (at least in some portions of the pathway) in the direction of travel of the pathway. It may be arranged as follows. The passages of the mass transport section may be connected to one another in a manner similar to that described above. In the exemplary embodiment shown in FIG. 55, junction section A4200 may be generally similar to junction section 4200, for example, described above and shown in FIG. In an exemplary embodiment, the joining section A4200 can join a carrier between a mass transporter and a processing tool. The junction section A4200 may generally have a shuttling section A4202 and a storage section A4204. As mentioned above, the storage section A4204 may be arranged with a storage location A4204A for storing or buffering carriers for multiple processing tools. The storage location A4204A may be arranged in any desired way to efficiently buffer the carrier of the processing tool. The shuttling section A 4202 may have a number of feeder robots A 4202 capable of joining a carrier between a storage location of the storage section A 4204 and a loading interface (eg, loading port) of a processing tool. In the exemplary embodiment, the transport system A4000 has a transporter handoff section A4300 that can bond the carrier A5000 being transported through the bulk transport section path A4100 and the bonding section A4200, for example, at substantially constant speed. You may. Thus, in the exemplary embodiment, the transport system A4000 may be an asynchronous transport system, even a portion of the pathway of the transport system where carriers traveling along the pathway are transported at substantially constant speed. In the exemplary embodiment, the transport handoff section A4300 effectively decouples the transport speed determination operation of the carrier while the carrier is transported by the transport system A4000 from the transport path A4102 where the carrier travels at substantially constant speed. It can be so.

さらに図55を参照すると、大量またはバルク搬送セクションの通路A4102は、いかなる所望のバルクコンベヤシステムを備えてもよい。ここで、図55Aを参照すると、図示される例示的な実施形態では、大量搬送セクションA4100の通路A4102は、例示目的のみのために、ベルトまたはリボンコンベヤA4103として示されている。理解され得るように、ベルトコンベヤA4103は、キャリア支持体または運搬面A4604を有し、搬送するために、キャリアA5000がベルトA4103から(またはその上に)支持される。また、理解され得るように、ベルトA4103、およびしたがってそのキャリア運搬面(ベルトによって画定される、またはベルトに従属する)は、実質的に一定の搬送速度で通路の搬送方向(図55Aに矢印Xで示される)に沿って移動してもよい。別の実施形態では、大量搬送システムセクションの通路に沿ってキャリアを輸送するための輸送システムは、いかなる所望の構成であってもよい。例えば、通路は、前述されたようなソリッドステートのコンベヤシステムを有してもよく、または機械的に定められる輸送手段(ローラー、流体ベアリング等)を有してもよい。その他の別の実施形態では、通路は、自動または半自動運搬車のためのトラックであってもよい。通路の輸送システムは、システムによって運搬されるキャリアが実質的に等速で運搬されるように、または所望により運搬速度が可変となるように、操作可能となるよう構成されてもよい。結果的に、搬送機ハンドオフセクションは、輸送システムによって搬送されるキャリアの搬送速度決定動作から独立して、実質的に一定な搬送速度を維持するために、所望の通路の輸送システム(またはその一部分)を操作することを可能にする。   Referring still to FIG. 55, the passageway A4102 of the bulk or bulk transport section may comprise any desired bulk conveyor system. Referring now to FIG. 55A, in the illustrated exemplary embodiment, the passageway A4102 of the mass transport section A4100 is shown as a belt or ribbon conveyor A4103 for illustrative purposes only. As can be appreciated, belt conveyor A4103 has a carrier support or transport surface A4604, and carrier A5000 is supported from (or on) belt A4103 for transport. Also, as can be appreciated, the belt A4103, and thus its carrier transport surface (defined by or subordinate to the belt), has a substantially constant transport speed with the transport direction of the path (arrow X in FIG. 55A). ). In another embodiment, the transport system for transporting carriers along the path of the mass transport system section may be of any desired configuration. For example, the passage may have a solid state conveyor system as described above, or may have a mechanically defined vehicle (rollers, fluid bearings, etc.). In another alternative embodiment, the aisle may be a truck for an automatic or semi-automatic vehicle. The aisle transport system may be configured to be operable such that the carriers transported by the system are transported at substantially constant speed, or such that the transport speed is variable as desired. As a result, the transport handoff section can be used to maintain a substantially constant transport speed independent of the transport speed determining operation of the carrier transported by the transport system, to maintain the transport system in a desired path (or a portion thereof). ) Can be operated.

図55Aに示される例示的な実施形態では、大量搬送セクション通路A4102は、処理ツールのオーバーヘッドに置かれるオーバーヘッドシステムとして示されている。別の実施形態では、大量搬送セクション通路は、ツールおよびツールの積載接合部分LPに対して、いかなる所望の高度に置かれてもよい。図55、55A〜55Cに示される例示的な実施形態におけるキャリアA5000は、代表的なものである。キャリアA5000は、前述され、図36A〜36Bに示されるキャリア2000と類似してもよい。例示的な実施形態では、キャリアA5000は、一般的に、上部接合セクションA5002(例えば、一般的にキャリアの上方または上からキャリアが接合および嵌合できるように配置される)および下部接合セクションA5004(例えば、一般的にキャリアの下方または下からのキャリアの接合および嵌合を提供するように配置される)を有してもよい。キャリアは、前述されたような側面開口型、上面開口型、または底面開口型であってもよい。別の実施形態では、キャリアは、キャリアを搬送システムおよび処理ツールの積載接合部分に接合するための接合/嵌合面(例えば側面嵌合)のいかなる所望の配置を有してもよい。図55に示される例示的な実施形態における処理ツールの積載接合部分LPは、代表的なものである。例示的な実施形態では、積載接合部分LPは、キャリアの下側接合セクションA5004と接合するように配置されてもよいが、別の実施形態では、ツール積載接合部分は、キャリアのいかなる所望の側面上の補完キャリア嵌合機能と嵌合するように構成されてもよい。図55に図示される搬送システムA4000に対するツール積載接合部分LPの位置は、例示に過ぎず、別の実施形態では、ツール積載接合部分は、搬送システムと所望の関係で置かれてもよい。図55、55Aに図示される例示的な実施形態では、大量搬送セクションの通路A4102のコンベヤシステムは、キャリアA5000の上部接合セクションA5002と嵌合するように配置されたキャリア支持体A4104を有してもよい。図55、55Aに示されるキャリア支持体の構成は、代表的なものであり、キャリア支持体は、搬送中にコンベヤからキャリアを解放可能に捕獲し、保持するために、キャリア上部接合部分A5002の嵌合機能を補完し、それと動作可能である、いかなる適した構成を有してもよい。例示的な実施形態では、キャリアA5000は、実質的に通路の下に吊り下げられた通路A4102のコンベヤによって運ばれてもよい。キャリア下部接合部分A5004は、通路A4102上で搬送中、アクセス可能(キャリアの下または横等から)であってもよい。別の実施形態では、通路のコンベヤ上のキャリア支持体は、輸送中に、キャリアのいずれかの所望の側面または表面上でキャリアと嵌合し、支持する(例えばコンベヤは、キャリア底面と嵌合または接合してもよい)ためのいかなる所望の構成を有してもよい。   In the exemplary embodiment shown in FIG. 55A, the mass transport section path A4102 is shown as an overhead system placed on the processing tool overhead. In another embodiment, the mass transport section passage may be located at any desired elevation relative to the tool and the loading interface LP of the tool. The carrier A5000 in the exemplary embodiment shown in FIGS. 55, 55A-55C is exemplary. Carrier A5000 may be similar to carrier 2000 described above and shown in FIGS. 36A-36B. In an exemplary embodiment, the carrier A5000 generally includes an upper joining section A5002 (eg, generally arranged to allow carriers to be joined and fitted from above or above the carrier) and a lower joining section A5004 ( (E.g., generally arranged to provide a mating and mating of the carrier from below or below the carrier). The carrier may be side-open, top-open, or bottom-open as described above. In another embodiment, the carrier may have any desired arrangement of mating / mating surfaces (eg, side mating) for joining the carrier to the loading joint of the transport system and processing tool. The loading interface LP of the processing tool in the exemplary embodiment shown in FIG. 55 is exemplary. In an exemplary embodiment, the load interface LP may be positioned to interface with the lower interface section A5004 of the carrier, but in other embodiments, the tool interface may be connected to any desired side of the carrier. It may be configured to fit with the above complementary carrier fitting function. The position of the tool load interface LP with respect to the transport system A4000 illustrated in FIG. 55 is merely exemplary, and in other embodiments, the tool load interface may be placed in any desired relationship with the transport system. In the exemplary embodiment illustrated in FIGS. 55 and 55A, the conveyor system of the mass transport section passageway A4102 has a carrier support A4104 arranged to mate with the upper joining section A5002 of the carrier A5000. Is also good. The configuration of the carrier support shown in FIGS. 55 and 55A is exemplary, and the carrier support may include a carrier upper interface A5002 to releasably capture and hold the carrier from the conveyor during transport. It may have any suitable configuration that complements and is operable with the mating function. In an exemplary embodiment, carrier A5000 may be carried by a conveyor in passage A4102 suspended substantially beneath the passage. The lower carrier interface A5004 may be accessible (e.g., beneath or beside the carrier) during transport on passageway A4102. In another embodiment, the carrier support on the conveyor of the passage fits and supports the carrier on any desired side or surface of the carrier during transport (eg, the conveyor mates with the carrier bottom surface). Or may be joined).

さらに図55を参照すると、前述されたように、搬送システムの接合セクションA4200は、前述され、図41〜46および51に示される接合システム3200、3300、4200と概して類似するオーバーヘッドガントリーシステムであってもよい。接合システムA4200は、シャトルおよびフィーダロボットA4202によって縦走される、選択可能に可変の数のトランスポータ進行平面(ガントリーA4201によって画定されるもの等)を有してもよい。また、前述されたように、別の実施形態では、接合システムは、いかなる他の所望の構成を有してもよい。例示的な実施形態では、ガントリーA4201およびストレージ位置A4204は、大量搬送セクションの通路A4102間にネスト化されてもよい。フィーダロボットA4204は、キャリアA5000にキャリア上部接合部分A5002から嵌合し、キャリアを上方から支持するように構成されてもよい。シャトル(図示せず)は、キャリアを上方または下方から支持してもよい。別の実施形態では、接合セクションのロボットおよびシャトルは、いかなる適した配置を有してもよい。前述されたように、大量搬送セクションA4100と接合セクション4200との間のキャリアのハンドオフは、以下にさらに記載されるように、ハンドオフセクションA4300で実行されてもよい。   Still referring to FIG. 55, as described above, the joining section A4200 of the transport system is an overhead gantry system generally similar to the joining systems 3200, 3300, 4200 described above and shown in FIGS. Is also good. Joining system A4200 may have a selectable variable number of transporter travel planes (such as those defined by gantry A4201) traversed by shuttle and feeder robot A4202. Also, as described above, in other embodiments, the joining system may have any other desired configuration. In an exemplary embodiment, gantry A 4201 and storage location A 4204 may be nested between passages A 4102 in the mass transport section. The feeder robot A4204 may be configured to fit into the carrier A5000 from the carrier upper joint portion A5002 and support the carrier from above. A shuttle (not shown) may support the carrier from above or below. In another embodiment, the robots and shuttles in the joining section may have any suitable arrangement. As described above, carrier handoff between mass transport section A4100 and junction section 4200 may be performed in handoff section A4300, as further described below.

図55、55Aに最もよく見られるように、ハンドオフセクションA4300は、一般的に、大量搬送通路に沿って搬送されるキャリア(実質的に一定の通路の搬送速度等で)にアクセスし、それを捕獲し、キャリアを通路から分断し、接合セクションA4200のロボット/シャトルがキャリアにアクセスできるドロップステーションにキャリアを置くことができる、キャリッジ表面を有する。ここで、図55B〜55Dも参照すると、例示的な実施形態では、ハンドオフセクションA4300は、多数のキャリアA4302(例示目的のために、1つが示されている)を有してもよい。図に見られるように、キャリッジA4302は、搬送速度で通路上を搬送される際に、キャリアと位置合わせ配置が可能な運搬車またはいずれかの他の適した輸送メカニズムもしくはシステムであってもよい。したがって、キャリッジA4302は、キャリアとのキャリッジ連結およびキャリアの大量搬送輸送支持体A4104からの分断を可能にするのに十分な距離だけ、通路の搬送方向(矢印Xによって示される)に進行可能であってもよい。例示的な実施形態では、キャリッジA4302は、トラックまたは通路A4304に乗っている運搬車として概略的に図示される。トラックA4304は、大量搬送セクションの通路A4102の下に置かれてもよい(図55も参照)。例えば、トラックA4304は、ハンガーでオーバーヘッドから吊り下げられてもよい。また、示される例示的な実施形態では、トラック、およびその上のキャリッジA4302も、接合部分セクションの下に位置される。前述されたように、別の実施形態では、ハンドオフセクションのキャリッジは、いかなる他の適した構成を有してもよい。理解され得るように、例示的な実施形態では、ハンドオフセクションの配置は、例えば、通路の分離部分にある通路上のキャリアにキャリッジがアクセスできるようにする。ハンドオフセクションは、通路の適切なセクションに分布されてもよい。図55Dに最もよく見られるように、キャリッジA4302は、キャリア接合部分A4306を有してもよく、これによって通路上のキャリアと嵌合し、捕獲してもよい。キャリッジA4302のキャリア接合部分A4306は、いかなる適した配置を有してもよい。例示的な実施形態では、キャリア接合部分A4306は、例えばキャリアの下部接合部分A5004(図55A参照)と嵌合するための嵌合機能を有してもよい。例えば、キャリッジ接合部分A4306は、キャリアの運動学的連結機構を補完し、嵌合された場合に結果として嵌合時の受動的な位置合わせおよびキャリアとキャリッジとの間の安定した受動的な固定を生じる運動学的連結機構を有してもよい。別の実施形態では、キャリッジ接合部分は、キャリアを捕獲するためのいずれかの他の所望の受動的または能動的な連結もしくは嵌合システム(例えばクランプ磁気チャック等)を有してもよい。図55Bに見られるように、キャリッジA4302はキャリッジA4302のキャリア接合部分A4306が連結されるようキャリアA5000と十分に位置合わせされるように、トラックA4304上に支持されてもよい。理解され得るように、キャリッジトラックA4304は、キャリッジA4302が通路A4102の進行速度と一致するように加速し、通路によって搬送される所望のキャリアA5000と並び、捕獲し、キャリアを通路支持体A4204から解放するのに十分であってもよい。例示的な実施形態では、キャリッジトラックA4304は、キャリッジが例えばドロップオフステーションDSで接合システムA4200にハンドオフするために、所望の速度に減速するのに十分であってもよい。例示的な実施形態では、ドロップオフステーションDSの位置は、選択的に可変(ハンドオフセクショントラックA4304に沿って等)であってもよいが、静止していてもよい。別の実施形態では、キャリッジは、永久ループトラック上等、通路の進行速度と実質的に一致する速度で移動するトラック上に配置されてもよい。   As best seen in FIGS. 55 and 55A, handoff section A4300 generally accesses and conveys a carrier (e.g., at a substantially constant path speed) conveyed along a bulk path. It has a carriage surface that can capture and sever the carrier from the aisle and place the carrier at a drop station where the robot / shuttle at junction section A4200 can access the carrier. Referring now also to FIGS. 55B-55D, in an exemplary embodiment, handoff section A4300 may have multiple carriers A4302 (one shown for illustrative purposes). As can be seen, the carriage A4302 may be a truck or any other suitable transport mechanism or system that can be aligned with the carrier as it is transported over the path at the transport speed. . Accordingly, the carriage A4302 can travel in the transport direction of the path (indicated by arrow X) a distance sufficient to allow for carriage coupling with the carrier and separation of the carrier from the bulk transport support A4104. You may. In the exemplary embodiment, carriage A4302 is schematically illustrated as a truck on a truck or aisle A4304. The truck A 4304 may be located below the path A4102 of the mass transport section (see also FIG. 55). For example, truck A4304 may be hung from overhead with hangers. Also, in the exemplary embodiment shown, the track and the carriage A4302 thereon are also located below the junction section. As mentioned above, in another embodiment, the carriage of the handoff section may have any other suitable configuration. As can be appreciated, in an exemplary embodiment, the placement of the handoff section allows the carriage to access a carrier on the aisle, for example, at a separate portion of the aisle. The handoff sections may be distributed in appropriate sections of the aisle. As best seen in FIG. 55D, the carriage A4302 may have a carrier interface A4306, which may mate and capture the carrier on the passage. The carrier interface A4306 of the carriage A4302 may have any suitable arrangement. In an exemplary embodiment, the carrier interface A4306 may have a mating feature, for example, to mate with a lower interface A5004 of the carrier (see FIG. 55A). For example, the carriage interface A4306 complements the kinematic coupling mechanism of the carrier, and when mated results in passive alignment upon mating and stable passive fixation between the carrier and the carriage. May be provided. In another embodiment, the carriage interface may include any other desired passive or active coupling or mating system (eg, a clamped magnetic chuck, etc.) for capturing the carrier. As seen in FIG. 55B, carriage A4302 may be supported on track A4304 such that carrier interface A4306 of carriage A4302 is sufficiently aligned with carrier A5000 to couple. As can be seen, the carriage track A4304 accelerates such that the carriage A4302 matches the travel speed of the path A4102, aligns with and captures the desired carrier A5000 conveyed by the path, and releases the carrier from the path support A4204. May be enough to do so. In an exemplary embodiment, the carriage track A4304 may be sufficient to slow down the carriage to a desired speed, for example, for handing off to the bonding system A4200 at the drop-off station DS. In the exemplary embodiment, the location of drop-off station DS may be selectively variable (such as along handoff section track A4304), but may be stationary. In another embodiment, the carriage may be located on a track that moves at a speed that substantially matches the advancing speed of the path, such as on a permanent loop track.

図55A、55Dに最もよく見られるように、例示的な実施形態では、ハンドオフセクションA4300のキャリッジ表面は、通路上のキャリアに近接し、キャリアを通路支持体から積み込み/取り出しするために、Z方向における移動を有してもよい。図示される例示的な実施形態では、キャリッジは、キャリッジ接合部分A4306をZ方向に駆動することができる、適したZ駆動部(主ネジ、空圧、電磁石等)を有して提供されてもよい。   As best seen in FIGS. 55A and 55D, in an exemplary embodiment, the carriage surface of handoff section A4300 is in proximity to the carrier on the aisle and in the Z direction to load / unload the carrier from the aisle support. May have a move in. In the illustrated exemplary embodiment, the carriage may also be provided with a suitable Z drive (primary screw, pneumatic, electromagnet, etc.) that can drive the carriage interface A4306 in the Z direction. Good.

したがって、および一例として、通路からキャリアを取り出すために、キャリッジ接合部分A4306は、キャリアの接合部分A5004(キャリッジとキャリアが1直線に並ぶ)と接触するために上げられてもよい。キャリアの接合部分は、例えばキャリアを連結した後、キャリアA5000を通路(一例として通路支持体からのキャリアの解放を促進するために、通路に対するキャリッジの進行速度は、速める/遅らせる等、可変であってもよい)から解放するために、さらに上げられてもよい。通路から解放されるキャリアは、通路によって運搬されるキャリアがキャリアの搬送エンベロープを取り除くために、キャリッジA4302によって下げられてもよい。ハンドオフセクションA4300によるキャリアの通路上への積載は、実質的に類似するが、反対の方法で達成されてもよい。別の実施形態では、キャリッジ接合部分のZ方向移動は、支持トラックがZ駆動部またはリフトを有する、または通路上のキャリアと接触させるためにキャリッジを上げるおよび下げる、上下するランプ等の可変の高さのキャリッジに対する支持表面を有する等、いずれかの他の所望の方法で行われてもよい。その他の別の実施形態では、キャリアとキャリッジを閉締するための軸に沿った移動は、通路またはキャリアの適した駆動部もしくは他の変位手段によって行われてもよい(例えば通路支持体は、Z軸駆動部を有してもよい)。さらに他の別の実施形態では、ハンドオフセクションによってキャリアと通路の連結および分断のためにキャリアとキャリッジを閉締する移動軸または閉締軸は、いかなる所望の方向(地面基準座標系に対して)であってもよい。   Thus, and by way of example, to remove the carrier from the passage, the carriage interface A4306 may be raised to contact the carrier interface A5004 (the carriage and carrier are aligned). The carrier interface may be variable, e.g., to speed up / slow the carriage relative to the passage to facilitate release of the carrier from the passage support after the carrier A5000 is coupled to the carrier A5000. May be raised further to release from the The carrier released from the passage may be lowered by the carriage A4302 so that the carrier carried by the passage removes the carrier envelope of the carrier. Loading of the carrier onto the aisle by handoff section A4300 may be accomplished in a substantially similar but opposite manner. In another embodiment, the Z-direction movement of the carriage interface is such that the support track has a Z-drive or lift, or raises and lowers the carriage to make contact with a carrier on the path, a variable height such as a ramp that goes up and down. This may be done in any other desired way, such as by having a support surface for the carriage. In other alternative embodiments, movement along the axis for closing the carrier and carriage may be performed by a passage or a suitable drive or other displacement means of the carrier (e.g., the passage support may be It may have a Z-axis drive unit). In yet another alternative embodiment, the moving or closing axis for closing the carrier and carriage for coupling and disconnection of the carrier and the passageway by the handoff section may have any desired orientation (relative to the ground reference coordinate system). It may be.

図55、55B〜Cに最もよく見られ、前述されたように、ハンドオフセクションA4300は、例えば接合セクションA4200のロボットA4202によってアクセス可能に配置されるドロップステーションDSを有する。例示的な実施形態では、ドロップステーションDSは、大量搬送セクションの通路およびその上を搬送されるキャリアの搬送エンベロープTEは、Y軸等(別の実施形態では、オフセットは、いずれかの所望の軸に沿ってもよい)にオフセットされてもよい。一般的に、通路によって定義される長手方向からの横方向オフセットと称される場合がある、ドロップステーションDS(図55B〜55Cに最もよく見られる)のオフセットは、接合セクションA4200から上部キャリア接合部分A5002へのアクセスを容易にする。また、例示的な実施形態では、キャリアの上部接合部分A500Nは、キャリアがキャリッジA4302によってドロップステーションDSに置かれる場合、キャリッジが別のキャリアの接合部分A5002でキャリアと接合するために、接合セクションA4200によって自由に嵌合されてもよい。したがって、例示的な実施形態では、キャリアは、掴み/配置行動の干渉なく、キャリッジA4302と接合セクションのロボットA4202との間を直接移送されてもよい。別の実施形態では、キャリアをストレージ位置に置くために、ハンドオフシステムのキャリッジが配置されてもよく、接合セクションがストレージ位置からキャリアにアクセスしてもよい。その他の別の実施形態では、ハンドオフセクションのキャリッジおよび接合セクションのロボットは、共通接合部分でキャリアを接合してもよい。例示的な実施形態では、キャリアへの上面アクセスは、接合セクションがドロップステーションDSからキャリアを接合するために、フィーダロボットA4202を採用できるようにする。別の実施形態では、ハンドオフセクションのドロップステーションは、接合セクションがドロップステーションでキャリアにアクセスし、接合できるようにするために、搬送エンベロープからいずれかの適した方向にオフセットされてもよい。   As best seen in FIGS. 55, 55B-C and described above, the handoff section A4300 has a drop station DS that is accessible, for example, by the robot A4202 of the junction section A4200. In the exemplary embodiment, the drop station DS is configured such that the transport envelope TE of the path of the mass transport section and the carrier transported thereon is the Y-axis or the like (in another embodiment, the offset is any desired axis). May be offset). The offset of the drop station DS (most commonly seen in FIGS. 55B-55C), sometimes referred to as the lateral offset from the longitudinal direction defined by the passage, is from the junction section A4200 to the top carrier junction. Facilitates access to A5002. Also, in the exemplary embodiment, the upper joining section A500N of the carrier is provided with a joining section A4200 in order for the carriage to join the carrier at another joining section A5002 of the carrier when the carrier is placed at the drop station DS by the carriage A4302. May be freely fitted. Thus, in an exemplary embodiment, the carrier may be transferred directly between the carriage A4302 and the robot A4202 in the junction section without interfering with the grasping / positioning behavior. In another embodiment, the carriage of the handoff system may be positioned to place the carrier in the storage position, and the junction section may access the carrier from the storage position. In another alternative embodiment, the carriage in the handoff section and the robot in the joining section may join the carriers at a common joint. In the exemplary embodiment, top access to the carrier allows the joining section to employ the feeder robot A 4202 to join the carrier from the drop station DS. In another embodiment, the drop station of the handoff section may be offset from the transport envelope in any suitable direction to allow the joining section to access and join the carrier at the drop station.

図55B〜55Cに最もよく見られるように、例示的な実施形態では、キャリアA5000は、キャリッジA4302によってドロップステーションから、またはそこへ移動されてもよい。一例として、キャリッジは、キャリッジがキャリアをドロップステーションに移動できるようにする、適したY駆動部(駆動部は、キャリッジまたは少なくともキャリアを接合/支持する部分にオフセット方向における移動自由度を提供するために所望されるものであってもよい)を有してもよい。一例として、キャリッジ接合部分A4306は、Y方向に移動可能な可動式支持体上にあってもよい。別の実施形態では、キャリアを有するユニット等のキャリッジは、キャリアをドロップステーションに移動するために、Y方向に移動可能であってもよい。さらに他の実施形態では、トラックは、トラックに沿って進行するキャリッジがドロップステーションに誘導されるように、搬送エンベロープから離れている曲がり(例えば永久ループ)等を有する形であってもよい。   As best seen in FIGS. 55B-55C, in an exemplary embodiment, carrier A5000 may be moved from or to a drop station by carriage A4302. As an example, the carriage may be a suitable Y drive that allows the carriage to move the carrier to the drop station, where the drive provides a degree of freedom of movement in the offset direction to the carriage or at least the part joining / supporting the carrier. May be desired). As an example, the carriage interface A4306 may be on a movable support that is movable in the Y direction. In another embodiment, a carriage, such as a unit with a carrier, may be movable in the Y direction to move the carrier to a drop station. In still other embodiments, the track may be of a shape having a bend away from the transport envelope (eg, a permanent loop) or the like, such that a carriage traveling along the track is guided to a drop station.

ここで、また図56〜56Aを参照すると、別の例示的な実施形態による、代表的な搬送システムA4000’の概略平面図および正面図がそれぞれ示されている。図56〜56Aに図示される例示的な実施形態では、搬送システムA4000’は、前述された搬送システムA4000と実質的に類似する(類似機構には、類似番号が付けられている)。搬送システムA4000’は、一般的に、多数の通路A4102’を有する大量搬送セクションA4100’、接合セクションA4200’(例示目的のために、ガントリーとして図示される)、および大量搬送機と接合部分セクションとの間でキャリアA5000’をハンドオフし、所望の大量搬送セクションの通路によって搬送されるキャリアが実質的に一定の進行速度を維持できるようにするためのハンドオフセクションA4300’を有する。例示的な実施形態では、ハンドオフセクションA4300’のドロップステーションDS’と通路A4102’の搬送エンベロープTE’との間の分離またはオフセット(搬送エンベロープの外側で搬送速度を決定するキャリアの動作/行動を実行できるようにする)は、通路A4102’の方向変更によって行われてもよい。図56に最もよく見られるように、例示的な実施形態では、通路は、互いに対して異なる方向を有するセクションA4102A’、A4102B’、A4102C’を有してもよい。例えば、これは、シャント/バイパスセクションの交差点、通路の端部セクション(図29A〜29B、および図51も参照)で行われてもよい。また、図56に示される実施例のもののように、異なる方向を有する通路セクションA4102A’、A4102B’、A4102C’は、大量搬送システムの通路からキャリアを積み込み/取り出しすることが望ましいFAB領域内に提供されてもよい。図56に示される例示的な実施形態では、通路セクションA4102A’、A4102B’、A4102C’の配置は、一般的に2つの曲がりを画定し、それらのそれぞれは、ドロップステーションDSを設置するために搬送エンベロープTE’とハンドオフセクションとの間の所望の分離を提供するのに十分な寸法である。前述されたように、示される通路セクションの方向および配置は、例示に過ぎない。例示的な実施形態では、それぞれのセクションは、ハンドオフセクション部分A4300’を有し、これは、互いおよび前述され、図55A〜55Dに示されるハンドオフセクションA4300と実質的に類似してもよい。それぞれのハンドオフセクション部分A4300’は、通路A4102’からキャリアA5000’を積み込み/取り出しする(前述されたものと同様な方法で)ために配置されるキャリッジおよび縦走トラックA4304’(図56Aも参照)を有してもよい。それぞれのハンドオフセクション部分A4300D’は、キャリアのためのドロップステーションDS’を有してもよい。例示的な実施形態では、ドロップステーションDS’は、トラックA4304’と実質的に一列であってもよい(図56のいくつかの下流または上流の通路の搬送エンベロープTE’とともに)。例示的な実施形態では、ハンドオフセクションのある部分A4300、A4300Bは、キャリアを通路から取り出すために使用されてもよく、その他の部分は、キャリアを通路に積み込むために使用されてもよい。一例として、部分A4300’は、接合し、通路セクションA4102A’からキャリアを掴んでもよい。取り出されたキャリアA5000’は、接合セクションA4200’にハンドオフするために、例えばトラックA4304’の端部に位置するドロップステーションDS’に持ち込まれてもよい。通路上に積み込むキャリアは、ハンドオフするために、接合セクションA4200’によって部分A4300B’のドロップステーションDSB’に持ち込まれてもよい。ハンドオフセクション部分A4300B’は、次いでキャリアを移動し、通路セクションA4102C’と搬送速度および方向を合わせ、キャリアを通路に積み込む。別の実施形態では、ハンドオフセクションのそれぞれの部分は、キャリアを通路に/通路から積み込みおよび取り出し可能であってもよく(例えばトラックは、通路に対してキャリアを積み込みまたは取り出しするのを支持するために置かれる複数のドロップステーションを有してもよく、および/またはキャリッジは、積み込みおよび取り出しの両方を行うために、トラックに沿って循環してもよい。したがって、搬送システムA4000’は、非同期であってもよい。   Referring now also to FIGS. 56-56A, schematic plan and front views, respectively, of an exemplary transport system A4000 'are shown, according to another exemplary embodiment. In the exemplary embodiment illustrated in FIGS. 56-56A, transport system A4000 'is substantially similar to transport system A4000 described above (similar features are numbered similarly). The transport system A4000 'generally includes a mass transport section A4100' having a number of passages A4102 ', a junction section A4200' (shown as a gantry for illustrative purposes), and a mass transporter and junction section. Has a handoff section A4300 'for handing off the carrier A5000' and allowing the carrier transported by the desired mass transport section path to maintain a substantially constant travel speed. In the exemplary embodiment, the separation or offset between the drop station DS 'of the handoff section A4300' and the transport envelope TE 'of the path A4102' (performing the carrier action / action to determine the transport speed outside the transport envelope) May be performed by changing the direction of the passage A 4102 '. As best seen in FIG. 56, in the exemplary embodiment, the passage may have sections A4102A ', A4102B', A4102C 'having different directions relative to each other. For example, this may be done at the intersection of the shunt / bypass sections, the end sections of the passages (see also FIGS. 29A-29B and also FIG. 51). Also, as in the embodiment shown in FIG. 56, passage sections A4102A ', A4102B', A4102C 'having different orientations are provided in the FAB area where it is desirable to load / unload carriers from the passage of the mass transport system. May be done. In the exemplary embodiment shown in FIG. 56, the arrangement of passage sections A4102A ', A4102B', A4102C 'generally defines two bends, each of which is transported to install drop station DS. Dimensions are sufficient to provide the desired separation between the envelope TE 'and the handoff section. As mentioned above, the orientation and arrangement of the passage sections shown are exemplary only. In the exemplary embodiment, each section has a handoff section portion A4300 ', which may be substantially similar to each other and to the handoff section A4300 described above and shown in FIGS. Each handoff section section A4300 'includes a carriage and longitudinal track A4304' (see also FIG. 56A) arranged to load / unload carrier A5000 'from passage A4102' (in a manner similar to that described above). May have. Each handoff section portion A4300D 'may have a drop station DS' for the carrier. In the exemplary embodiment, the drop station DS 'may be substantially in line with the track A4304' (along with the transport envelope TE 'of some downstream or upstream passages in FIG. 56). In an exemplary embodiment, some portions A4300, A4300B of the handoff section may be used to remove carriers from the aisle, and other portions may be used to load carriers into the aisle. As an example, portions A4300 'may join and grasp the carrier from passage section A4102A'. The removed carrier A5000 'may be brought to a drop station DS', for example, located at the end of a track A4304 ', for handing off to the junction section A4200'. Carriers loading on the aisle may be brought to drop station DSB 'in section A4300B' by joining section A4200 'for handoff. Handoff section portion A4300B 'then moves the carrier, aligns transport speed and direction with aisle section A4102C', and loads the carrier into the aisle. In another embodiment, each portion of the handoff section may be capable of loading and unloading a carrier into / from a passageway (eg, a truck to support loading or unloading a carrier from / to a passageway). And / or the carriage may circulate along a track to perform both loading and unloading, so that the transport system A4000 'is asynchronous. There may be.

工場自動化は、例えばそれぞれのウェハのプロセス全体にわたり、計画を立て、スケジュールを組み、追跡するために、ウェハ識別表示を使用する。IDは、機械読み取り可能であり、ホストサーバ上のデータベースで管理される。データベース内のウェハ識別表示は、ウェハの破損、装置の停止状況またはソフトウェアのエラーから影響を受ける。したがって、これを克服するために、それぞれの処理ツールで繰り返される読み取りステップが使用されてもよい。ウェハの機械読み取りは、典型的に、例えばキャリアが積載され、ウェハが取り出され、次いで配向された後に行われてもよい。IDは、検証のためにホストに報告され、次いで認証後に処理が開始される。従来的に、不正なウェハが積載される場合、特定するためにかなりの時間を浪費しなければそれが分からない。さらに、ツールがエラーのために停止する場合、ウェハを取り出し、キャリア/データベースに再入力する必要があり、人的エラーの可能性を生み出す。キャリアは、ウェハID’を格納することができ、積載ポート内に含まれ、それによって読まれる、搭載された書き込み可能なデータタグを所有してもよい。前述された例示的な実施形態によるキャリアは、積載ポートでキャリアの書き込み可能なIDタグとウェハID’をインターロックする、インターロックを有してもよい。キャリア上の書き込み可能なIDタグは、外部デジタルI/O信号を盛り込む。信号は、ポッドドアの取り外しを検出することができるセンサに直結される。センサは、光学的、機械的、音響的、容量的なもの等のいかなる適した種類のものであってもよい。一例として、ポッドシェルおよびポッドドアの両方の上の導電性パッドを低電圧信号ラインが通り抜けてもよい。ドアが閉締され、電圧の流れが終了する場合、パッドは、局部接触する。ドアが取り外される際、電圧の流れが遮断され、キャリアIDタグに信号が作製される。   Factory automation uses wafer identification, for example, to plan, schedule and track the entire wafer process. The ID is machine-readable and is managed in a database on the host server. The wafer identification in the database is affected by wafer breakage, equipment downtime or software errors. Therefore, repeated reading steps in each processing tool may be used to overcome this. Mechanical reading of the wafer may typically be performed, for example, after the carrier is loaded, the wafer is unloaded, and then oriented. The ID is reported to the host for verification and then the process starts after authentication. Conventionally, when a fraudulent wafer is loaded, it cannot be known unless a considerable amount of time is wasted on identification. Further, if the tool stops due to an error, the wafer must be removed and re-entered into the carrier / database, creating a potential for human error. The carrier may have an on-board writable data tag that can store the wafer ID 'and is included in and read by the loading port. The carrier according to the exemplary embodiment described above may have an interlock that interlocks the wafer ID 'with the carrier's writable ID tag at the loading port. A writable ID tag on the carrier incorporates an external digital I / O signal. The signal is coupled directly to a sensor that can detect pod door removal. The sensors may be of any suitable type, such as optical, mechanical, acoustic, capacitive, and the like. As an example, low voltage signal lines may pass through conductive pads on both the pod shell and the pod door. When the door is closed and the voltage flow ends, the pads make local contact. When the door is removed, the voltage flow is interrupted and a signal is created on the carrier ID tag.

一例示的な実施形態に従い、ソフトウェアインテグリティタグおよびドアが開口されたかどうかを検出するための方法に加えて、ウェハ読み取り方法を導入する。例えば、インテグリティタグは、ウェハが積載され、ドアがポッドに固定された後に、書き込み可能なキャリアIDに書き込まれる。ポッドが次のツール積載ポートに到着した際、タグは、インテグリティタグとともに読まれる。インテグリティタグが有効な場合、ウェハID’は、改ざんされておらず、有効であると考えられる。インテグリティタグが無効な場合、ある時点でドアが取り外されており、ウェハIDの正確性に疑いがある。この情報に基づき、ホストは、完全性を検証するために、ツールでのウェハの読み取りを強制する。   According to one exemplary embodiment, a wafer reading method is introduced in addition to a software integrity tag and a method for detecting whether a door has been opened. For example, the integrity tag is written to a writable carrier ID after the wafer is loaded and the door is secured to the pod. When the pod arrives at the next tool loading port, the tag is read along with the integrity tag. If the integrity tag is valid, the wafer ID 'has not been tampered with and is considered valid. If the integrity tag is invalid, the door has been removed at some point, and the accuracy of the wafer ID is suspect. Based on this information, the host forces the tool to read the wafer to verify its integrity.

別の例示的な実施形態によると、一体型ウェハIDリーダは、積載ポートに提供されてもよい。リーダは、サイクル時間を最小化するために、連続ドア開口中に、ID’を読み取ることができるように配置される。本実施形態は、処理ツール内の方法と比較し、サイクル時間が低減されるという利点を有し、また、処理ツールホスト通信から分離して検証スキーム全体を実行することができる。   According to another exemplary embodiment, an integrated wafer ID reader may be provided at the loading port. The reader is positioned so that the ID 'can be read during continuous door opening to minimize cycle time. This embodiment has the advantage of reduced cycle time compared to the method in the processing tool, and also allows the entire verification scheme to be performed separately from the processing tool host communication.

別の例示的な実施形態によると、キャリア内のそれぞれのウェハスロットに対する専用アルファベット数字ディスプレイがキャリアに付け加えられてもよい。一体化されたディスプレイは、キャリア内の実際のウェハIDと相互に関係する。文字高さは、操作者と天井に取り付けられたストレージネストとの間の距離と同様の離れた距離から読むために十分大きくてもよい。本実施形態では、ディスプレイは、IDインテグリティを図式的に示す。インテグリティタグが無効な場合、異なる文字または色でディスプレイ上に図式的に示される。   According to another exemplary embodiment, a dedicated alphanumeric display for each wafer slot in the carrier may be added to the carrier. The integrated display correlates to the actual wafer ID in the carrier. The character height may be large enough to read from a distance similar to the distance between the operator and the storage nest mounted on the ceiling. In this embodiment, the display schematically shows the ID integrity. If the integrity tag is invalid, it is shown schematically on the display in a different character or color.

さらに別の例示的な実施形態に従って、外部ウェハIDリーダを統合する。外部ウェハIDリーダは、例えば、AMHSシステム内の積載ポートおよび処理ツールの外部に置かれてもよい。疑わしいウェハID’を有するキャリアは、外部リーダに積載され、検証される。一度動作が完了すると、ドアが固定され、書き込み可能なキャリアIDにインテグリティタグが書き込まれる。ここで、キャリアは、最終目的地であるストレージ/積載ポート位置に移動される。これは、ツール処理時間と連続というよりは、ウェハキャリアの待ち時間と平行して実行されるという利点を有する。さらに、外部リーダは、ウェハ配向方法を組み込むことができる。   According to yet another exemplary embodiment, an external wafer ID reader is integrated. The external wafer ID reader may be located, for example, outside of the loading port and processing tool in the AMHS system. The carrier with the suspicious wafer ID 'is loaded on an external reader and verified. Once the operation is completed, the door is fixed and the integrity tag is written to the writable carrier ID. Here, the carrier is moved to the storage / loading port position which is the final destination. This has the advantage that it is performed in parallel with the waiting time of the wafer carrier, rather than continuously with the tool processing time. Further, an external reader can incorporate a wafer orientation method.

前述された説明は、本発明の実例でしかないことが理解されるべきである。本発明から逸脱することなく、当業者によって様々な代替および修正が考案されてもよい。したがって、本発明は、添付の特許請求の範囲に含まれる、すべての代替、修正、および変形を包括することが意図される。   It should be understood that the foregoing description is only illustrative of the present invention. Various alternatives and modifications may be devised by those skilled in the art without departing from the invention. Accordingly, the present invention is intended to embrace all such alternatives, modifications and variances that fall within the scope of the appended claims.

Claims (8)

半導体部品処理システムであって、
前記半導体部品処理システムは、オーバーヘッドガントリーフィーダロボットを含み、前記オーバヘッドガントリーフィーダロボットは、インターベイ搬送セクション及びイントラベイ搬送セクションのうちの少なくとも1つとインタフェースをとりかつ前記インターベイ搬送セクション及び前記イントラベイ搬送セクションのうちの少なくとも1つの移動方向を横切って、前記インターベイ搬送セクション及びイントラベイ搬送セクションのうちの少なくとも1つと少なくとも1つの固定された基板保持コンテナ保管部との間で基板保持コンテナを搬送し、前記少なくとも1つの固定された基板保持コンテナ保管部は、少なくとも1つの基板処理ツールの上方に配され、
前記半導体部品処理システムは、前記インターベイ搬送セクション及び前記イントラベイ搬送セクションのうちの前記少なくとも1つとは別個でありかつ前記少なくとも1つの基板処理ツール上で前記基板保持コンテナを搬送するように構成された搬送セクションを含み、
前記搬送セクションは、前記少なくとも1つの基板処理ツール上で、少なくとも前記少なくとも1つの固定された基板保持コンテナ保管部とインタフェースをとるように構成されることで、前記搬送セクションと前記少なくとも1つの基板保持コンテナ保管部との間における基板保持コンテナの移動が、前記少なくとも1つの基板処理ツール上でなされ、
前記搬送セクションは、前記少なくとも1つの基板保持コンテナ保管部と前記少なくとも1つの基板処理ツールとの間で前記基板保持コンテナを搬送するように構成され、
前記搬送セクションは、前記少なくとも1つの基板処理ツール上に配されかつ少なくとも2つの交差しかつ水平方向において同一平面上にある独立した搬送軸を画定する少なくとも1つのオーバーヘッドガントリーを含み、前記搬送軸は前記基板保持コンテナの搬送を水平面内の動作において2自由度の動作によってもたらし、前記搬送セクションは、前記オーバーヘッドガントリーフィーダロボット上に配置されて、基板保持コンテナを搬送する搬送セクションが前記オーバーヘッドガントリーフィーダロボットを越えて通過可能であることを特徴とする半導体部品処理システム。
A semiconductor component processing system,
The semiconductor component processing system includes an overhead gantry feeder robot, wherein the overhead gantry feeder robot interfaces with at least one of an interbay transport section and an intrabay transport section and includes the interbay transport section and the intrabay transport section. Transporting a substrate holding container between at least one of the interbay transport section and the intrabay transport section and at least one fixed substrate holding container storage across a direction of movement of at least one of the sections. Wherein the at least one fixed substrate holding container storage is disposed above at least one substrate processing tool;
The semiconductor component processing system is configured to transport the substrate holding container separate from the at least one of the interbay transport section and the intrabay transport section and on the at least one substrate processing tool. Transport section,
The transport section is configured to interface with at least the at least one fixed substrate holding container storage on the at least one substrate processing tool, such that the transport section and the at least one substrate holding tool are configured. Movement of the substrate holding container to and from the container storage is performed on the at least one substrate processing tool;
The transfer section is configured to transfer the substrate holding container between the at least one substrate holding container storage and the at least one substrate processing tool;
The transport section includes at least one overhead gantry disposed on the at least one substrate processing tool and defining at least two intersecting and horizontally co-planar independent transport axes, the transport axis comprising: The transfer of the substrate holding container is effected by two degrees of freedom operation in a horizontal plane, and the transfer section is disposed on the overhead gantry feeder robot, and the transfer section for transferring the substrate holding container is provided by the overhead gantry feeder robot. A semiconductor component processing system characterized by being able to pass over a semiconductor device.
請求項に記載の半導体部品処理システムであって、前記搬送セクションは、前記オーバーヘッドガントリーフィーダロボットの上方に配され、基板保持コンテナを搬送する前記搬送セクションは、前記オーバーヘッドガントリーフィーダロボットを越えて通ることが可能であることを特徴とする半導体部品処理システム。 2. The semiconductor component processing system according to claim 1 , wherein the transport section is disposed above the overhead gantry feeder robot, and the transport section that transports a substrate holding container passes over the overhead gantry feeder robot. A semiconductor component processing system, wherein 請求項に記載の半導体部品処理システムであって、前記少なくとも1つのオーバーヘッドガントリーは、少なくとも3以上の自由度を有する少なくとも1つのオーバーヘッドキャリアを含むことを特徴とする半導体部品処理システム。 2. The semiconductor component processing system according to claim 1 , wherein said at least one overhead gantry includes at least one overhead carrier having at least three or more degrees of freedom. 請求項に記載の半導体部品処理システムであって、前記基板保持コンテナは、シェル及び前記シェルの側部に配されたドアを有して前記シェルのアクセス側部を画定し、前記少なくとも1つのオーバーヘッドキャリアは、前記少なくとも1つのオーバーヘッドキャリアによって担持されている基板保持コンテナを回転させて前記シェルの前記アクセス側部が向いている方向を変更する回転駆動部を含むことを特徴とする半導体部品処理システム。 4. The semiconductor component processing system of claim 3 , wherein the substrate holding container has a shell and a door disposed on a side of the shell to define an access side of the shell; Semiconductor component processing, characterized in that the overhead carrier comprises a rotary drive for rotating a substrate holding container carried by the at least one overhead carrier to change the direction in which the access side of the shell is facing. system. 請求項に記載の半導体部品処理システムであって、前記オーバーヘッドガントリーフィーダロボットは、前記フィーダロボットによって担持されている基板保持コンテナを回転させるように構成された回転駆動部を含んでいることを特徴とする半導体部品処理システム。 2. The semiconductor component processing system according to claim 1 , wherein the overhead gantry feeder robot includes a rotation drive configured to rotate a substrate holding container carried by the feeder robot. Semiconductor parts processing system. 請求項に記載の半導体部品処理システムであって、前記少なくとも1つのオーバーヘッドガントリーは、前記少なくとも1つの基板処理ツールの互いに対向して配されたロードポートをもたらすように構成されていることを特徴とする半導体部品処理システム。 The semiconductor component processing system of claim 1 , wherein the at least one overhead gantry is configured to provide opposed load ports of the at least one substrate processing tool. Semiconductor parts processing system. 請求項に記載の半導体部品処理システムであって、前記少なくとも1つのオーバーヘッドガントリーは少なくとも1つのキャリアを含み、前記キャリアは、前記キャリアによって担持されている基板保持コンテナを回転させて、前記基板保持コンテナの配向を前記互いに対向して配されたロードポートの各々の配向に対応させるように構成されていることを特徴とする半導体部品処理システム。 7. The semiconductor component processing system according to claim 6 , wherein the at least one overhead gantry includes at least one carrier, and the carrier rotates a substrate holding container carried by the carrier to hold the substrate. A semiconductor component processing system, wherein the orientation of a container is configured to correspond to the orientation of each of the load ports arranged opposite to each other. 請求項に記載の半導体部品処理システムであって、前記オーバーヘッドガントリーフィーダロボットは、2つの独立した自由度を有していることを特徴とする半導体部品処理システム。 2. The semiconductor component processing system according to claim 1 , wherein the overhead gantry feeder robot has two independent degrees of freedom.
JP2017000254A 2006-05-11 2017-01-04 Reduced capacity carrier, transporter, loading port, shock absorber system Active JP6630296B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2019138208A JP6896027B2 (en) 2006-05-11 2019-07-26 Semiconductor component processing system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US79990806P 2006-05-11 2006-05-11
US60/799,908 2006-05-11

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2014064109A Division JP6073262B2 (en) 2006-05-11 2014-03-26 Reduced capacity carrier, transport machine, loading port, shock absorber system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019138208A Division JP6896027B2 (en) 2006-05-11 2019-07-26 Semiconductor component processing system

Publications (2)

Publication Number Publication Date
JP2017069583A JP2017069583A (en) 2017-04-06
JP6630296B2 true JP6630296B2 (en) 2020-01-15

Family

ID=38694507

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2009509884A Pending JP2009537075A (en) 2006-05-11 2007-05-11 Reduced capacity carrier, transport machine, loading port, shock absorber system
JP2014064109A Active JP6073262B2 (en) 2006-05-11 2014-03-26 Reduced capacity carrier, transport machine, loading port, shock absorber system
JP2017000254A Active JP6630296B2 (en) 2006-05-11 2017-01-04 Reduced capacity carrier, transporter, loading port, shock absorber system
JP2019138208A Active JP6896027B2 (en) 2006-05-11 2019-07-26 Semiconductor component processing system

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2009509884A Pending JP2009537075A (en) 2006-05-11 2007-05-11 Reduced capacity carrier, transport machine, loading port, shock absorber system
JP2014064109A Active JP6073262B2 (en) 2006-05-11 2014-03-26 Reduced capacity carrier, transport machine, loading port, shock absorber system

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019138208A Active JP6896027B2 (en) 2006-05-11 2019-07-26 Semiconductor component processing system

Country Status (4)

Country Link
JP (4) JP2009537075A (en)
CN (1) CN101490833B (en)
TW (1) TWI405290B (en)
WO (1) WO2007133701A2 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8297319B2 (en) 2006-09-14 2012-10-30 Brooks Automation, Inc. Carrier gas system and coupling substrate carrier to a loadport
TWI475627B (en) 2007-05-17 2015-03-01 Brooks Automation Inc Substrate carrier, substrate processing apparatus and system, for reducing particle contamination of substrate during processing and method of interfacing a carrier with a processing tool
JP2010144199A (en) * 2008-12-17 2010-07-01 Canon Anelva Corp Vacuum vessel, vacuum treatment apparatus having vacuum vessel, and method for manufacturing vacuum vessel
CN101712130B (en) * 2009-12-22 2012-11-14 中国电子科技集团公司第四十五研究所 Positioning conversion device applied to chemical mechanical polishing equipment of silicon wafer
JP6599094B2 (en) * 2014-11-13 2019-10-30 株式会社ミツトヨ Optical device
JP6456177B2 (en) * 2015-02-12 2019-01-23 株式会社ディスコ Wafer processing system
US9601360B2 (en) * 2015-03-16 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer transport method
CN105543807B (en) * 2015-12-15 2018-06-26 华中科技大学 A kind of alternating temperature thin film deposition system
WO2018088085A1 (en) * 2016-11-14 2018-05-17 村田機械株式会社 Ceiling conveyance system, and relay conveyance apparatus and conveyance method used therefor
US11031266B2 (en) * 2018-07-16 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer handling equipment and method thereof

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0221740U (en) * 1988-07-28 1990-02-14
JPH04171841A (en) * 1990-11-05 1992-06-19 Matsushita Electron Corp Self-traveling transfer truck
US5204739A (en) * 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
EP0582017B1 (en) * 1992-08-04 1995-10-18 International Business Machines Corporation Dispatching apparatus with a gas supply distribution system for handling and storing pressurized sealable transportable containers
DE69403890T2 (en) * 1994-01-14 1998-01-08 Ibm Assembly / disassembly device for sealable pressurized transport containers
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
JP4056141B2 (en) * 1998-08-07 2008-03-05 松下電器産業株式会社 Substrate transfer device
KR100303321B1 (en) * 1999-05-20 2001-09-26 박종섭 Appratus for controlling abnormal lot in automization system to produce semiconductor and control method using the same
JP2005294280A (en) * 2002-04-12 2005-10-20 Hirata Corp Sealed container transfer system
TWI304391B (en) * 2002-07-22 2008-12-21 Brooks Automation Inc Substrate processing apparatus
JP2004227060A (en) * 2003-01-20 2004-08-12 Murata Mach Ltd Automated guided vehicle system
US7221993B2 (en) * 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
JP4487302B2 (en) * 2003-05-20 2010-06-23 株式会社安川電機 Load port
JP4470576B2 (en) * 2003-05-20 2010-06-02 ムラテックオートメーション株式会社 Transport system
JP4259968B2 (en) * 2003-09-22 2009-04-30 大日本スクリーン製造株式会社 Substrate processing equipment
US20050095087A1 (en) * 2003-10-30 2005-05-05 Sullivan Robert P. Automated material handling system
JP2005243729A (en) * 2004-02-24 2005-09-08 Asyst Shinko Inc Conveyer system
JP2006051886A (en) * 2004-08-12 2006-02-23 Murata Mach Ltd Ceiling traveling vehicle system
ATE527690T1 (en) * 2004-08-23 2011-10-15 Murata Machinery Ltd LIFT-BASED TOOL LOADING AND BUFFERING SYSTEM

Also Published As

Publication number Publication date
CN101490833B (en) 2013-08-14
TW200816351A (en) 2008-04-01
WO2007133701A9 (en) 2008-01-17
JP6073262B2 (en) 2017-02-01
JP2017069583A (en) 2017-04-06
TWI405290B (en) 2013-08-11
WO2007133701A2 (en) 2007-11-22
CN101490833A (en) 2009-07-22
JP2014146825A (en) 2014-08-14
JP6896027B2 (en) 2021-06-30
JP2009537075A (en) 2009-10-22
WO2007133701A3 (en) 2008-12-04
JP2019192942A (en) 2019-10-31

Similar Documents

Publication Publication Date Title
JP6409018B2 (en) Reduced capacity carrier, transporter, loading port and shock absorber system
JP6630296B2 (en) Reduced capacity carrier, transporter, loading port, shock absorber system
JP7405699B2 (en) Semiconductor workpiece processing system
US8267634B2 (en) Reduced capacity carrier, transport, load port, buffer system
US8328495B2 (en) Reduced capacity carrier, transport, load port, buffer system
JP5543205B2 (en) Semiconductor workpiece processing system
TWI557838B (en) Reduced capacity carrier, transport, load port, buffer system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170104

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170926

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20171206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180326

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180724

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181019

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190124

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190326

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190726

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20190805

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191008

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191101

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191206

R150 Certificate of patent or registration of utility model

Ref document number: 6630296

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250