JP6896027B2 - Semiconductor component processing system - Google Patents

Semiconductor component processing system Download PDF

Info

Publication number
JP6896027B2
JP6896027B2 JP2019138208A JP2019138208A JP6896027B2 JP 6896027 B2 JP6896027 B2 JP 6896027B2 JP 2019138208 A JP2019138208 A JP 2019138208A JP 2019138208 A JP2019138208 A JP 2019138208A JP 6896027 B2 JP6896027 B2 JP 6896027B2
Authority
JP
Japan
Prior art keywords
carrier
exemplary embodiment
section
transport
port
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019138208A
Other languages
Japanese (ja)
Other versions
JP2019192942A (en
Inventor
マイケル エル. ブファノ
マイケル エル. ブファノ
ユリシーズ ギルクリスト
ユリシーズ ギルクリスト
ウィリアム フォスナイト
ウィリアム フォスナイト
クリストファー ホフマイスター
クリストファー ホフマイスター
ダニエル エー. バッブス
ダニエル エー. バッブス
ロバート シー. メイ
ロバート シー. メイ
Original Assignee
ブルックス オートメーション インコーポレイテッド
ブルックス オートメーション インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルックス オートメーション インコーポレイテッド, ブルックス オートメーション インコーポレイテッド filed Critical ブルックス オートメーション インコーポレイテッド
Publication of JP2019192942A publication Critical patent/JP2019192942A/en
Application granted granted Critical
Publication of JP6896027B2 publication Critical patent/JP6896027B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67386Closed carriers characterised by the construction of the closed carrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Description

本明細書に記載される例示的な実施形態は、基板処理システム、特に基板搬送システム、搬送機キャリア、処理ツール接触面への搬送、および配置に関する。 An exemplary embodiment described herein relates to a substrate processing system, in particular a substrate transfer system, a transfer machine carrier, transfer to a processing tool contact surface, and placement.

従来技術Conventional technology

[関連出願の相互参照]
本願は、2006年5月11日に出願された米国仮出願シリアル番号第60/799,908号の利益を主張するものであり、2005年11月7日に出願された米国特許仮出願番号第60/733,813号の利益を主張する、2006年11月3日に出願された米国特許出願シリアル番号第11/556,584号の一部継続である、2006年11月7日に出願された米国特許出願シリアル番号第11/594,365号の一部継続である2007年4月18日に出願された米国特許出願シリアル番号第11/787,981号の一部継続であり、これらすべては、参照することによりその全体が本明細書に組み込まれる。
[Cross-reference of related applications]
This application claims the benefit of U.S. Provisional Application Serial No. 60 / 799,908 filed on May 11, 2006, and U.S. Patent Application No. 60, filed on November 7, 2005. Filed on November 7, 2006, a partial continuation of US Patent Application Serial No. 11 / 556,584, filed November 3, 2006, claiming the interests of No. 60 / 733,813. A partial continuation of US Patent Application Serial No. 11 / 594,365, which is a partial continuation of US Patent Application Serial No. 11 / 787,981 filed on April 18, 2007, all of which. Is incorporated herein by reference in its entirety.

電子デバイスの製造における主な推進力は、より低価格でより有能かつより小さな電子デバイスに対する消費者の要望である。主な推進力は、さらなる小型化および製造効率における改善に対する製造業者の機動力へと形を変える。その結果製造業者は、可能な限り利益を追求する。半導体デバイスの場合、従来の製作設備またはFABは、半導体基板に1つ以上のプロセスを実行するために、本質的に(または編成構造上)別個の処理ツール、例えば集合ツールを有する。したがって従来のFABは、処理ツールの周囲に編成され、半導体基板を所望の電子デバイスに変えるために、所望の構成に配置される場合がある。例えば、処理ツールは、処理ベイの従来のFAB内に配列される場合がある。理解され得るように、ツール内にある間、ツール間で処理中の基板が実質的に同様の清浄度状態を保つように、ツール間で、基板はSMF、FOUR等のキャリア内に保持される。ツール間の連絡は、基板キャリアをFAB内の所望の処理ツールに搬送することができるハンドリングシステム(自動材料ハンドリングシステム(AMHS)等)によって提供されてもよい。ハンドリングシステムと処理ツールとの間の接合部分は、例示目的のために、一般的に2つの部分、キャリアを処理ツールの積載(loading)ステーションに積み込み(load)/から取り出す(unload)ためのハンドリングシステムとツールとの間の接合部分と、キャリアとツールとの間の基板の積み込み及び取り出しを可能にするキャリアとツールと
の間の接合部分(すなわち、別個のまたはグループの)と、を有すると考えてもよい。処理ツールをキャリアおよび材料ハンドリングシステムに接合する数多くの従来の接合システムが既知である。従来の接合システムの多くは、基板を処理ツールに積み込みおよび取り出す際のコストを増加する、または効率の悪さの原因となるという望ましくない機構を有する、処理ツール接合部分、キャリアの接合部分、または材料ハンドリングシステム接合部分のうちの1つ以上をもたらす複雑性の問題がある。以下に、従来のシステムの問題を克服する例示的な実施形態をより詳細に記載する。
The main driving force in the manufacture of electronic devices is the consumer's desire for cheaper, more capable and smaller electronic devices. The main driving force transforms into the manufacturer's agility for further miniaturization and improvements in manufacturing efficiency. As a result, manufacturers pursue profits as much as possible. In the case of semiconductor devices, conventional manufacturing equipment or FABs have essentially (or knitting structure) separate processing tools, such as assembly tools, to perform one or more processes on the semiconductor substrate. Therefore, conventional FABs may be knitted around a processing tool and placed in a desired configuration to transform a semiconductor substrate into a desired electronic device. For example, the processing tools may be arranged within a conventional FAB in the processing bay. As can be understood, the substrate is held in a carrier such as SMF, FOUR, etc. between the tools so that the substrate being processed between the tools maintains substantially the same cleanliness while in the tool. .. Communication between tools may be provided by a handling system (such as an automated material handling system (AMHS)) that can transport the substrate carrier to a desired processing tool within the FAB. The junction between the handling system and the processing tool is generally two parts, the handling for loading / unloading the carrier into the processing tool's loading station, for illustrative purposes. Having a joint between the system and the tool and a joint between the carrier and the tool (ie, separate or group) that allows loading and unloading of the substrate between the carrier and the tool. You may think. Numerous conventional joining systems are known to join processing tools to carrier and material handling systems. Many conventional bonding systems have processing tool junctions, carrier junctions, or materials that have the undesired mechanism of increasing the cost of loading and unloading the substrate into the processing tool or causing inefficiencies. There is a complexity issue that results in one or more of the handling system joints. An exemplary embodiment that overcomes the problems of conventional systems is described in more detail below.

業界動向は、将来のICデバイスが約45nm以下の構造を有する可能性があることを示している。効率を向上し、作製コストを削減するために、この規模のICデバイスは、可能な限り大きな半導体基板またはウェハを使用して製造されることが望ましい。従来のFABは、一般的に200mmまたは300mmのウェハを取り扱うことができる。業界動向は、将来的に、FABが450mmのウェハ等、300mmより大きいウェハを取り扱うことができることが望ましいことを示している。理解され得るように、より大きなウェハを使用することは、ウェハ当たりの処理時間が長くなるという結果となり得る。したがって、300mm以上のウェハ等、より大きなウェハを採用する場合、FAB内の仕掛品(WIP)を削減するために、ウェハ処理に、より小さなロットサイズを用いることが望ましい場合がある。また、より小さなウェハロットサイズは、任意のサイズのウェハのロット処理、またはいかなる他の基板もしくは例えばフラットスクリーンディスプレイのフラットパネルを含むフラットパネルに特に望ましい場合がある。WIPの削減および効率を特徴とするロット処理は、それらを使用することによって実施可能となるが、FAB内に小さな処理ロットを採用することは、従来のFAB処理量に悪影響を与える可能性がある。例えば、より小さなロットサイズは、より大きなロットサイズと比較した場合、任意の容量の搬送システム(ウェハロットを搬送する)の搬送システム負荷を増大する傾向がある。これを、図51Aに示されるグラフに図示する。図51Aのグラフは、多くの異なるFAB率(月当たり等の所望の期間毎に開始されるウェハとして示される、例えばWSPM)に対するロットサイズと搬送速度との間の関係(1時間当たりの移動として示される)を図示している。また図51Aのグラフは、従来のFABハンドリングシステムの最大容量(例えば、1時間当たり約6000〜7000を移動する)を示す線を示す。したがって、ハンドリングシステム容量線とFAB率曲線との間の交点は、曲線が利用可能なロットサイズに対する表面を同定する。例えば、任意の従来の搬送システムで約24,000WSPMのFAB率を達成するためには、最小ロットサイズは約15ウェハである。より小さなウェハロットを使用すると、FAB率が減少する。したがって、FAB率に悪影響を与えることなく、1つと小さいウェハロットおよび所望の大きさの大きなウェハロットを使用できるように、ウェハキャリア、キャリアと処理ツールとの間の接合部分、およびキャリア搬送システム(FAB内のツール間、ストレージ位置間等でキャリアを搬送する)が中に配置されるシステムを提供することが望ましい。 Industry trends indicate that future IC devices may have structures below about 45 nm. In order to improve efficiency and reduce fabrication costs, it is desirable that IC devices of this scale be manufactured using the largest possible semiconductor substrates or wafers. Conventional FABs can generally handle 200 mm or 300 mm wafers. Industry trends have shown that in the future it is desirable to be able to handle wafers larger than 300 mm, such as wafers with a FAB of 450 mm. As can be understood, using larger wafers can result in longer processing times per wafer. Therefore, when a larger wafer such as a wafer of 300 mm or more is adopted, it may be desirable to use a smaller lot size for wafer processing in order to reduce work in process (WIP) in the FAB. Also, smaller wafer lot sizes may be particularly desirable for lot processing of wafers of any size, or for flat panels including any other substrate or, for example, flat panels for flat screen displays. Lot processing characterized by WIP reduction and efficiency can be performed by using them, but adopting a small processing lot in the FAB may adversely affect the conventional FAB processing amount. .. For example, smaller lot sizes tend to increase the transfer system load of any capacity transfer system (conveying wafer lots) when compared to larger lot sizes. This is illustrated in the graph shown in FIG. 51A. The graph of FIG. 51A shows the relationship between lot size and transport speed (as movement per hour) for many different FAB rates (shown as wafers starting at desired time periods such as per month, eg WSPM). (Shown) is illustrated. The graph of FIG. 51A also shows a line showing the maximum capacity of a conventional FAB handling system (eg, moving about 6000 to 7000 per hour). Therefore, the intersection between the handling system capacitance line and the FAB rate curve identifies the surface for the lot size in which the curve is available. For example, to achieve a FAB rate of about 24,000 WSPM in any conventional transfer system, the minimum lot size is about 15 wafers. Using smaller wafer lots reduces the FAB rate. Therefore, the wafer carrier, the junction between the carrier and the processing tool, and the carrier transfer system (in the FAB) so that one smaller wafer lot and a larger wafer lot of the desired size can be used without adversely affecting the FAB rate. It is desirable to provide a system in which carriers are transported between tools, storage positions, etc.

半導体部品処理システムの例示的な実施形態を提供する。システムは、部品を処理するための少なくとも1つの処理装置と、一次搬送システムと、二次搬送システムと、第1の搬送システムと第2の搬送システムとの間の1つ以上の接合部分と、を有する。一次および二次搬送システムはそれぞれ、等速セクションに通じる待ち行列セクション内に1つ以上の実質的に等速のセクションを有する。 An exemplary embodiment of a semiconductor component processing system is provided. The system comprises at least one processing device for processing parts, a primary transfer system, a secondary transfer system, and one or more joints between a first transfer system and a second transfer system. Has. Each of the primary and secondary transport systems has one or more substantially constant velocity sections within the queue section leading to the constant velocity section.

本発明の前述の態様およびその他の機構を、添付の図面と関連して以下の説明に記載する。 The aforementioned aspects of the invention and other mechanisms are described in the following description in connection with the accompanying drawings.

例示的な実施形態による機構を組み込む部品キャリア、およびキャリア上に置かれた部品または基板Sの概略正面図である。FIG. 5 is a schematic front view of a component carrier incorporating a mechanism according to an exemplary embodiment, and a component or substrate S placed on the carrier. 別の例示的な実施形態によるキャリアの部品支持体の概略部分平面図である。FIG. 3 is a schematic partial plan view of a carrier component support according to another exemplary embodiment. 別の例示的な実施形態によるキャリアの部品支持体の概略部正面図である。It is a schematic front view of the component support of a carrier according to another exemplary embodiment. 図1のキャリアおよび別の例示的な実施形態によるツールポート接合部分の概略断面正面図である。FIG. 5 is a schematic cross-sectional front view of the carrier of FIG. 1 and a toolport joint according to another exemplary embodiment. 別の例示的な実施形態によるツールポート接合部分およびキャリアの別の概略断面正面図である。Another schematic cross-sectional front view of a toolport joint and carrier according to another exemplary embodiment. 図3A−3Cはそれぞれ異なる位置から見た、別の例示的な実施形態によるツールポート接合部分およびキャリアを図示する概略断面正面図である。3A-3C are schematic cross-sectional front views illustrating toolport joints and carriers according to another exemplary embodiment, as viewed from different positions. 図3A−3Cはそれぞれ異なる位置から見た、別の例示的な実施形態によるツールポート接合部分およびキャリアを図示する概略断面正面図である。3A-3C are schematic cross-sectional front views illustrating toolport joints and carriers according to another exemplary embodiment, as viewed from different positions. 図3A−3Cはそれぞれ異なる位置から見た、別の例示的な実施形態によるツールポート接合部分およびキャリアを図示する概略断面正面図である。3A-3C are schematic cross-sectional front views illustrating toolport joints and carriers according to another exemplary embodiment, as viewed from different positions. さらに別の例示的な実施形態によるキャリアとツールの接合部分の概略正面図である。It is a schematic front view of the joint portion of a carrier and a tool according to still another exemplary embodiment. 図4A−4Cはそれぞれキャリアとツールとの間の接合部分の拡大断面図であって、異なる例示的な実施形態による接合部分構成を図示する。4A-4C are enlarged cross-sectional views of the joint portion between the carrier and the tool, respectively, and illustrate the joint portion configuration according to different exemplary embodiments. 図4A−4Cはそれぞれキャリアとツールとの間の接合部分の拡大断面図であって、異なる例示的な実施形態による接合部分構成を図示する。4A-4C are enlarged cross-sectional views of the joint portion between the carrier and the tool, respectively, and illustrate the joint portion configuration according to different exemplary embodiments. 図4A−4Cはそれぞれキャリアとツールとの間の接合部分の拡大断面図であって、異なる例示的な実施形態による接合部分構成を図示する。4A-4C are enlarged cross-sectional views of the joint portion between the carrier and the tool, respectively, and illustrate the joint portion configuration according to different exemplary embodiments. 図5A−5Cは、さらに別の例示的な実施形態によるキャリアとツールの接合部分の概略部分正面図であり、それぞれの位置のキャリアとツールの接合部分を示す。5A-5C is a schematic partial front view of the carrier-tool joint portion according to yet another exemplary embodiment, showing the carrier-tool joint portion at each position. 図5A−5Cは、さらに別の例示的な実施形態によるキャリアとツールの接合部分の概略部分正面図であり、それぞれの位置のキャリアとツールの接合部分を示す。5A-5C is a schematic partial front view of the carrier-tool joint portion according to yet another exemplary embodiment, showing the carrier-tool joint portion at each position. 図5A−5Cは、さらに別の例示的な実施形態によるキャリアとツールの接合部分の概略部分正面図であり、それぞれの位置のキャリアとツールの接合部分を示す。5A-5C is a schematic partial front view of the carrier-tool joint portion according to yet another exemplary embodiment, showing the carrier-tool joint portion at each position. その他の異なる例示的な実施形態による部品キャリアの概略正面図である。FIG. 6 is a schematic front view of a component carrier according to another different exemplary embodiment. その他の異なる例示的な実施形態による部品キャリアの概略正面図である。FIG. 6 is a schematic front view of a component carrier according to another different exemplary embodiment. 図7A−7Cはそれぞれ別の例示的な実施形態による部品キャリアの概略正面図であり、異なる位置にあるキャリアを示す。7A-7C are schematic front views of component carriers according to different exemplary embodiments, showing carriers at different positions. 図7A−7Cはそれぞれ別の例示的な実施形態による部品キャリアの概略正面図であり、異なる位置にあるキャリアを示す。7A-7C are schematic front views of component carriers according to different exemplary embodiments, showing carriers at different positions. 図7A−7Cはそれぞれ別の例示的な実施形態による部品キャリアの概略正面図であり、異なる位置にあるキャリアを示す。7A-7C are schematic front views of component carriers according to different exemplary embodiments, showing carriers at different positions. 別の例示的な実施形態によるツール接合部分およびキャリアの別の概略正面図である。Another schematic front view of the tool joint and carrier according to another exemplary embodiment. 別の例示的な実施形態によるツール接合部分およびキャリアの別の概略正面図である。Another schematic front view of the tool joint and carrier according to another exemplary embodiment. 別の例示的な実施形態によるツール接合部分およびキャリアの別の概略正面図である。Another schematic front view of the tool joint and carrier according to another exemplary embodiment. 別の例示的な実施形態によるプロセスツールおよびそこに接合されたキャリアの概略部分正面図である。FIG. 3 is a schematic partial front view of a process tool according to another exemplary embodiment and a carrier joined thereto. 別の例示的な実施形態によるプロセスツールセクションおよびそこに接合されたキャリアの概略正面図である。FIG. 3 is a schematic front view of a process tool section according to another exemplary embodiment and a carrier joined thereto. 図11のキャリアのキャリア(部品移送)開口部の概略底面図である。It is a schematic bottom view of the carrier (part transfer) opening of the carrier of FIG. 図11のキャリアのキャリアドアの概略底面図である。It is a schematic bottom view of the carrier door of the carrier of FIG. 図11の接合部分及びツールセクションのキャリアドア接合部分のツールの概略上面図である。It is a schematic top view of the tool of the joint portion of FIG. 11 and the carrier door joint portion of the tool section. 図11の接合部分及びツールセクションのキャリアドア接合部分のツールの概略上面図である。It is a schematic top view of the tool of the joint portion of FIG. 11 and the carrier door joint portion of the tool section. さらに別の例示的な実施形態によるプロセスツールおよびそこに接合されたキャリアの概略正面図である。FIG. 3 is a schematic front view of a process tool according to yet another exemplary embodiment and a carrier joined thereto. さらに別の例示的な実施形態によるツール接合部分およびキャリアの概略正面図である。FIG. 6 is a schematic front view of a tool joint and a carrier according to still another exemplary embodiment. 図16A及び16Bはそれぞれ異なる位置に示される、別の例示的な実施形態によるツール接合部分およびキャリアの概略正面図である。16A and 16B are schematic front views of tool joints and carriers according to another exemplary embodiment, shown at different positions, respectively. 図16A及び16Bはそれぞれ異なる位置に示される、別の例示的な実施形態によるツール接合部分およびキャリアの概略正面図である。16A and 16B are schematic front views of tool joints and carriers according to another exemplary embodiment, shown at different positions, respectively. キャリアの概略側面図である。It is a schematic side view of a carrier. 別の例示的な実施形態による、キャリアおよびツール接合部分のその他の概略正面図である。It is another schematic front view of the carrier and tool joint part by another exemplary embodiment. 別の例示的な実施形態による、キャリアおよびツール接合部分のその他の概略正面図である。It is another schematic front view of the carrier and tool joint part by another exemplary embodiment. 別の例示的な実施形態による、ツール接合部分の平面図である。It is a top view of the tool joint part by another exemplary embodiment. 別の例示的な実施形態によるツール接合部分およびキャリアの概略正面図である。FIG. 6 is a schematic front view of a tool joint and a carrier according to another exemplary embodiment. 別の例示的な実施形態によるツール接合部分およびキャリアの概略正面図である。FIG. 6 is a schematic front view of a tool joint and a carrier according to another exemplary embodiment. 別の例示的な実施形態による搬送システムの概略平面図である。FIG. 3 is a schematic plan view of a transport system according to another exemplary embodiment. 図10の搬送システムのトラック部分の概略部分平面図である。It is a schematic partial plan view of the truck part of the transport system of FIG. 図10の搬送システムのトラック部分の概略部分平面図である。It is a schematic partial plan view of the truck part of the transport system of FIG. 図20C及び20Dはそれぞれその他の例示的な実施形態による、ペイロードの異なる搬送システムの概略底面図である。20C and 20D are schematic bottom views of transport systems with different payloads, respectively, according to other exemplary embodiments. 図20C及び20Dはそれぞれその他の例示的な実施形態による、ペイロードの異なる搬送システムの概略底面図である。20C and 20D are schematic bottom views of transport systems with different payloads, respectively, according to other exemplary embodiments. 別の例示的な実施形態による搬送システムの別の部分の概略部分平面図である。FIG. 3 is a schematic partial plan view of another portion of the transport system according to another exemplary embodiment. その他の例示的な実施形態による搬送システムの一部分の他の概略部分平面図である。Another schematic partial plan view of a portion of the transport system according to other exemplary embodiments. その他の例示的な実施形態による搬送システムの一部分の他の概略部分平面図である。Another schematic partial plan view of a portion of the transport system according to other exemplary embodiments. その他の例示的な実施形態による搬送システムの一部分の他の概略部分平面図である。Another schematic partial plan view of a portion of the transport system according to other exemplary embodiments. その他の例示的な実施形態による搬送システムの一部分の他の概略部分平面図である。Another schematic partial plan view of a portion of the transport system according to other exemplary embodiments. 図25A及び25Bは、それぞれ別の例示的な実施形態による搬送システムおよび処理ツールの異なる正面図を示す。25A and 25B show different front views of the transport system and processing tool according to different exemplary embodiments. 図25A及び25Bは、それぞれ別の例示的な実施形態による搬送システムおよび処理ツールの異なる正面図を示す。25A and 25B show different front views of the transport system and processing tool according to different exemplary embodiments. 図26A及び26Bは、それぞれ別の例示的な実施形態による搬送システムとツールとの間でキャリアを移送するための移送接合システムの異なる概略正面図である。26A and 26B are different schematic front views of a transfer joining system for transferring carriers between a transfer system and a tool according to different exemplary embodiments. 図26A及び26Bは、それぞれ別の例示的な実施形態による搬送システムとツールとの間でキャリアを移送するための移送接合システムの異なる概略正面図である。26A and 26B are different schematic front views of a transfer joining system for transferring carriers between a transfer system and a tool according to different exemplary embodiments. 別の例示的な実施形態による搬送システムの概略部分正面図である。FIG. 6 is a schematic partial front view of a transport system according to another exemplary embodiment. 図27A及び27Bは、それぞれ異なる位置にある搬送システムの他の概略部分正面図である。27A and 27B are other schematic partial front views of the transport system at different positions. 図27A及び27Bは、それぞれ異なる位置にある搬送システムの他の概略部分正面図である。27A and 27B are other schematic partial front views of the transport system at different positions. 別の例示的な実施形態による搬送システムの別の概略正面図である。It is another schematic front view of the transport system according to another exemplary embodiment. 別の例示的な実施形態による搬送システムの概略平面図である。FIG. 3 is a schematic plan view of a transport system according to another exemplary embodiment. 別の例示的な実施形態による搬送システムの概略平面図である。FIG. 3 is a schematic plan view of a transport system according to another exemplary embodiment. 別の例示的な実施形態による搬送システムおよび処理ツールの概略平面図である。FIG. 3 is a schematic plan view of a transport system and processing tool according to another exemplary embodiment. 図29Cの搬送システムおよび処理ツールの概略部分正面図である。FIG. 29C is a schematic partial front view of the transport system and processing tool of FIG. 29C. 搬送システムの別の概略部分正面図である。It is another schematic partial front view of the transport system. 別の例示的な実施形態による搬送システムの別の概略部分正面図である。It is another schematic partial front view of the transport system according to another exemplary embodiment. その他の例示的な実施形態による別の搬送システムの概略平面図である。FIG. 3 is a schematic plan view of another transport system according to other exemplary embodiments. その他の例示的な実施形態による別の搬送システムの正面図である。It is a front view of another transport system by another exemplary embodiment. 別の例示的な実施形態による搬送システムのさらに別の概略平面図である。It is still another schematic plan view of the transport system according to another exemplary embodiment. 別の例示的な実施形態による、搬送デバイスの底面傾斜図である。FIG. 3 is a bottom tilt view of the transport device according to another exemplary embodiment. 別の例示的な実施形態による、搬送デバイスの平面図である。FIG. 5 is a plan view of the transport device according to another exemplary embodiment. 別の例示的な実施形態による、搬送デバイスの底平面図である。It is a bottom plan view of the transport device according to another exemplary embodiment. 別の例示的な実施形態による搬送デバイスの別の底平面図である。FIG. 3 is another bottom plan view of the transport device according to another exemplary embodiment. コンプライント運動学的連結具の一部分の概略断面図である。FIG. 3 is a schematic cross-sectional view of a portion of a Comply kinematic connector. 例示的な実施形態による、ツール積載ステーションの斜視図である。It is a perspective view of the tool loading station by an exemplary embodiment. 例示的な実施形態による、ツール積載ステーションの端面図である。FIG. 5 is an end view of a tool loading station according to an exemplary embodiment. 例示的な実施形態による、ツール積載ステーションの側面図である。It is a side view of the tool loading station by an exemplary embodiment. 例示的な実施形態による、ツール積載ステーションの平面図である。FIG. 5 is a plan view of a tool loading station according to an exemplary embodiment. 別の例示的な実施形態による別のツール積載ステーションの平面図である。FIG. 5 is a plan view of another tool loading station according to another exemplary embodiment. さらに別の例示的な実施形態による、さらに別のツール積載ステーションの平面図である。FIG. 5 is a plan view of yet another tool loading station according to yet another exemplary embodiment. さらに別の例示的な実施形態による、さらに別のツール積載ステーションの平面図である。FIG. 5 is a plan view of yet another tool loading station according to yet another exemplary embodiment. 異なる例示的な実施形態による、それぞれ図式的に異なるプロセスを図示するフローチャートである。It is a flowchart which illustrates the process which is diagrammatically different from each other by different exemplary embodiments. 異なる例示的な実施形態による、それぞれ図式的に異なるプロセスを図示するフローチャートである。It is a flowchart which illustrates the process which is diagrammatically different from each other by different exemplary embodiments. 異なる例示的な実施形態による、それぞれ図式的に異なるプロセスを図示するフローチャートである。It is a flowchart which illustrates the process which is diagrammatically different from each other by different exemplary embodiments. は、別の例示的な実施形態によるツール積載ステーションの断面図である。Is a cross-sectional view of a tool loading station according to another exemplary embodiment. 例示的な実施形態による基板支持体の概略断面図である。It is the schematic sectional drawing of the substrate support by an exemplary embodiment. 例示的な実施形態による基板支持体の概略断面図である。It is the schematic sectional drawing of the substrate support by an exemplary embodiment. 例示的な実施形態による基板支持体の概略断面図である。It is the schematic sectional drawing of the substrate support by an exemplary embodiment. 例示的な実施形態による基板支持体の概略断面図である。It is the schematic sectional drawing of the substrate support by an exemplary embodiment. さらに別の例示的な実施形態による処理システムの概略斜視図である。It is a schematic perspective view of the processing system according to still another exemplary embodiment. さらに別の例示的な実施形態による処理システムの端部正面図である。It is an end front view of the processing system according to still another exemplary embodiment. さらに別の例示的な実施形態による処理システムの平面図である。It is a top view of the processing system according to still another exemplary embodiment. 図41のシステムのセクションの概略分解組立斜視図である。FIG. 4 is a schematic disassembled assembly perspective view of a section of the system of FIG. 異なる例示的な実施形態によるシステムの異なる選択可能な配置を図示する概略図である。FIG. 6 is a schematic diagram illustrating different selectable arrangements of systems according to different exemplary embodiments. 異なる例示的な実施形態によるシステムの異なる選択可能な配置を図示する概略図である。FIG. 6 is a schematic diagram illustrating different selectable arrangements of systems according to different exemplary embodiments. 異なる例示的な実施形態によるシステムの異なる選択可能な配置を図示する概略図である。FIG. 6 is a schematic diagram illustrating different selectable arrangements of systems according to different exemplary embodiments. 異なる例示的な実施形態によるシステムの異なる選択可能な配置を図示する概略図である。FIG. 6 is a schematic diagram illustrating different selectable arrangements of systems according to different exemplary embodiments. 異なる例示的な実施形態によるシステムの異なる選択可能な配置を図示する概略図である。FIG. 6 is a schematic diagram illustrating different selectable arrangements of systems according to different exemplary embodiments. さらに別の例示的な実施形態によるシステムの概略正面図である。It is a schematic front view of the system by still another exemplary embodiment. さらに別の例示的な実施形態によるシステムの概略部分斜視図である。FIG. 3 is a schematic partial perspective view of the system according to still another exemplary embodiment. 別の例示的な実施形態による処理システムの別の概略平面図である。Another schematic plan view of the processing system according to another exemplary embodiment. 別の例示的な実施形態による搬送システムの概略平面図である。FIG. 3 is a schematic plan view of a transport system according to another exemplary embodiment. ロットサイズと搬送速度との間の関係を図示するグラフである。It is a graph which shows the relationship between a lot size and a transfer speed. その他の例示的な実施形態による搬送システムの一部分を示す概略部分平面図である。FIG. 5 is a schematic partial plan view showing a part of a transport system according to another exemplary embodiment. その他の例示的な実施形態による搬送システムの一部分を示す概略部分平面図である。FIG. 5 is a schematic partial plan view showing a part of a transport system according to another exemplary embodiment. 別の例示的な実施形態による搬送システムの別の部分平面図である。FIG. 3 is another partial plan view of the transport system according to another exemplary embodiment. 図51に示される搬送システムの運搬車の概略平面図である。It is the schematic plan view of the transport vehicle of the transport system shown in FIG. 51. さらに別の実施形態による搬送システムの概略端部正面図である。It is a schematic end front view of the transport system according to still another embodiment. さらに別の例示的な実施形態による搬送システムの概略端部正面図である。FIG. 3 is a schematic end front view of a transport system according to still another exemplary embodiment. 搬送システムの概略部分側面斜視図である。It is a schematic partial side perspective view of a transport system. 図55B及び図55Cは、それぞれ異なる位置にある搬送システムによって搬送されるキャリアを示す搬送システムの部分平面図である。55B and 55C are partial plan views of a transport system showing carriers transported by transport systems at different positions. 図55B及び図55Cは、それぞれ異なる位置にある搬送システムによって搬送されるキャリアを示す搬送システムの部分平面図である。55B and 55C are partial plan views of a transport system showing carriers transported by transport systems at different positions. 搬送システムの接合部分の正面図である。It is a front view of the joint part of a transport system. さらに別の例示的な実施形態による搬送システムの概略平面図である。FIG. 3 is a schematic plan view of a transport system according to still another exemplary embodiment. さらに別の例示的な実施形態による搬送システムの端面図である。FIG. 5 is an end view of a transport system according to still another exemplary embodiment.

さらに図1を参照すると、部品キャリア200は、チャンバの外部雰囲気から隔離できる環境内で部品Sを運ぶことができるチャンバ202を画定する。図1に示されるキャリア200の形状は、例示に過ぎず、別の実施形態では、キャリアはいかなる他の所望の形状を有してもよい。キャリア200は、示されるように、キャリア内に部品Sを支持するためのカセット210をチャンバ内に収容することができる。一般的にカセット210は、支持体の列または積み重ねを提供するために上に部品支持棚210Vが施された細長い支持体210S(実施形態では、例えば2つが示される)、または示されるように1つ以上の部品が別々に支持される棚を有する。カセットは、以下により詳細に記載されるように、キャリア構造体に搭載されるか、または取り付けられてもよい。別の実施形態では、キャリアはカセットを有さなくてもよく、部品支持体は、一体型であるか、またはキャリア構造体との単一構造として形成されてもよい。部品は、350mm、300mm、200mm、もしくはあらゆる所望の寸法および形状の半導体ウェハ等のフラット/基板要素、またはディスプレイもしくはいかなる他の適した物品のためのレチクル/マスクあるいはフラットパネルとして示される。キャリアは、従来の13または25ウェハキャリアと比較して、低減されたまたは小さなロットサイズのキャリアであってもよい。キャリアは、部品がわずか1つの小さなロットを運ぶように構成されてもよく、または部品が10未満の小さなロットを運ぶように構成されてもよい。キャリア200と類似する低減容量キャリアの好適な実施例は、2005年8月19日に出願された米国特許出願シリアル番号第11/207,231号、名称「Reduced Capacity Carrier and Method of Use」に記載され、示されており、該文献は、参照することによりその全体が本明細書に組み込まれる。キャリア200と類似するキャリアと処理ツール(例えば、半導体製作ツール、ストッカー、分類機等)との間の接合部分および搬送システムの好適な実施例は、2005年8月23日に出願された米国特許出願シリアル番号第11/210,918号、名称「Elevator Bases Tool Loading and Buffering System」、および2005年8月24日に
出願されたシリアル番号第11/211,236号、名称「Transportation System」、に記載され、示されており、該両方の文献は、参照することによりその全体が本明細書に組み込まれる。キャリア200と類似する機構を有するキャリアの他の好適な実施例は、2003年10月30日に出願された米国特許出願シリアル番号第10/697,528号、名称「Automated Material Handling System」に記載され、示されており、該文献は、参照することによりその全体が本明細書に組み込まれる。理解され得るように、より小さなロットを形成する部品は、より大きなロットで起こり得るようにその他の部品の処理が完了するのを待つことなく、後続の作業台に即座に(任意の作業台での処理の完了を受けて)搬送されるため、キャリア200と類似するサイズを縮小したキャリアは、FAB内の仕掛品を減少できる。例示的な実施形態の機構は、小容量キャリアを具体的に参照しながら記載され、示されるが、例示的な実施形態の機構は、13もしくは25、またはその他の任意の所望の数の部品を中に収容できるキャリア等、いかなる他の適したキャリアにも同様に適用される。
Further referring to FIG. 1, the component carrier 200 defines a chamber 202 capable of carrying the component S in an environment that can be isolated from the outside atmosphere of the chamber. The shape of the carrier 200 shown in FIG. 1 is merely an example, and in another embodiment, the carrier may have any other desired shape. As shown, the carrier 200 can accommodate a cassette 210 in the chamber for supporting the component S in the carrier. Generally, the cassette 210 is an elongated support 210S (in embodiments, for example two are shown), or 1 as shown, with component support shelves 210V on top to provide rows or stacks of supports. It has shelves in which one or more parts are supported separately. The cassette may be mounted or mounted on a carrier structure as described in more detail below. In another embodiment, the carrier may not have a cassette and the component support may be integral or formed as a single structure with the carrier structure. The component is shown as a flat / substrate element such as a semiconductor wafer of 350 mm, 300 mm, 200 mm, or any desired size and shape, or a reticle / mask or flat panel for a display or any other suitable article. The carriers may be reduced or smaller lot size carriers as compared to conventional 13 or 25 wafer carriers. The carrier may be configured such that the parts carry only one small lot, or the parts may carry less than ten small lots. Preferable examples of reduced capacity carriers similar to Carrier 200 are described in US Patent Application Serial No. 11 / 207,231, named "Reduced Capacity Carrier and Method of Use", filed August 19, 2005. And shown, the document is incorporated herein by reference in its entirety. Suitable examples of joints and transfer systems between carriers similar to Carrier 200 and processing tools (eg, semiconductor fabrication tools, stockers, classifiers, etc.) are US patents filed August 23, 2005. Application serial number 11 / 210,918, name "Elevator Bases Tool Loading and Buffering System", and serial number 11 / 211,236 filed on August 24, 2005, name "Transportation System". Both of these documents are described and shown and are incorporated herein by reference in their entirety. Other preferred examples of carriers having a mechanism similar to Carrier 200 are described in US Patent Application Serial No. 10 / 697,528, filed October 30, 2003, entitled "Automated Material Handling System". And shown, the document is incorporated herein by reference in its entirety. As can be understood, the parts that form a smaller lot immediately (on any workbench) to a subsequent workbench without waiting for the processing of other parts to complete as could occur in a larger lot. Since the carrier is transported (after the processing of the above is completed), the carrier having a reduced size similar to the carrier 200 can reduce the work-in-process in the FAB. The mechanism of the exemplary embodiment is described and shown with reference to the small volume carriers specifically, but the mechanism of the exemplary embodiment includes 13 or 25, or any other desired number of parts. The same applies to any other suitable carrier, such as a carrier that can be accommodated therein.

さらに図1を参照すると、例示的な実施形態では、キャリア200は、部品を垂直(すなわちZ軸)積み重ねで保持するように形状化されてもよい。キャリア200は、底面もしくは上面開口型または底面および上面開口型キャリアであってもよい。示される例示的な実施形態では、上面および底面は、垂直線またはZ軸に沿って配置されるが、別の実施形態では、上面および底面は、その他の軸のいずれかに沿って配向されてもよい。以下により詳細に記載される上面および底面開口部は、キャリアの開口部204(部品Sは、チャンバ202に出し入れされるが、キャリアによって画定される)は、キャリア内に保持される部品の平面とほぼ一直線に並ぶ(本実施形態では、Z軸と実質的に直交する)ことを意味する。以下に示されるように、一般的にキャリア200は、基部および閉締可能または取り外し可能なドアを有するケーシング212を有する。閉締される場合、ドアは、基部に固定され、封止されてもよい。ドアと基部との間の封止は、チャンバ202を外部雰囲気から隔離できるようにしてもよい。隔離されたチャンバ202は、清浄な空気、不活性ガス等のいずれかの所望の隔離された雰囲気を保持してもよく、または真空を保つことができてもよい。ドアは、キャリアから部品を積み込み/取り出しできるように開口されてもよい。例示的な実施形態では、ドアとは、キャリアが開口され、その中の部品支持棚にアクセスする際に、取り外し可能または取り外される部分を意味する。図1に示される例示的な実施形態では、一般的にケーシング200は、中に部品を受け取ることができる、概して陥凹したまたは中空の部分(以下、シェルと称される)214、および壁(キャップ/カバー等)216を有する。以下に記載されるように、壁216またはシェル214の全体は、キャリアドアとして動作してもよい。壁およびシェルは、キャリアを閉締するために結合され、キャリアを開口するために分離される。例示的な実施形態では、シェルおよび壁は、いずれかの適したプロセスで作製されたアルミニウム合金またはステンレススチール等の金属であってもよい。壁またはシェルもしくは両方は、一体部材(単一構造)であってもよい。別の実施形態では、キャリアケーシングは、適した非金属を含むいずれかの他の適した材料で作製されてもよい。カセット210は、壁216に搭載されてもよいが、別の実施形態では、カセットは、シェルに搭載されてもよい。シェルまたはドアのいずれかへのカセットの搭載は、ドアが開口される際に、キャリアから中のカセットまたは基板を取り出す容易性を助長するように選択されてもよい。示される実施形態では、壁216に、シェルの上面上に位置するが、別の実施形態では、キャリアケーシングは、上面上にシェルを有し、底面上に壁を有する構成を有していてもよい。さらに他の実施形態では、シェルは、上面および底面の両方の上に取り外し可能な壁を有してもよい(すなわち上面および底面開口部を有するキャリア)。その他の別の実施形態では、取り外し可能な壁は、キャリアの横方向に置かれてもよい。例示的な実施形態では、ドアは、受動的な構成要素(例えば、以下にさらに記載されるように、ドアとキャリアとの間およびドアとツール接合部分との間の閉締ならびに開口するパーツまたは構成要素の移動が実質的にない)であってもよい。 Further referring to FIG. 1, in an exemplary embodiment, the carrier 200 may be shaped to hold the parts in a vertical (ie Z-axis) stack. The carrier 200 may be a bottom surface or top surface opening type or a bottom surface and top surface opening type carrier. In the exemplary embodiment shown, the top and bottom surfaces are arranged along a vertical line or Z axis, whereas in another embodiment the top and bottom surfaces are oriented along any of the other axes. May be good. The top and bottom openings, which are described in more detail below, are such that the carrier opening 204 (part S is moved in and out of chamber 202, but is defined by the carrier) is the plane of the part held within the carrier. It means that they are aligned substantially in a straight line (in this embodiment, substantially orthogonal to the Z axis). As shown below, the carrier 200 typically has a casing 212 with a base and a retractable or removable door. When closed, the door may be secured and sealed at the base. The seal between the door and the base may allow the chamber 202 to be isolated from the outside atmosphere. The isolated chamber 202 may retain any desired isolated atmosphere, such as clean air, inert gas, etc., or may be able to maintain a vacuum. The door may be opened so that parts can be loaded / unloaded from the carrier. In an exemplary embodiment, the door means a portion of the carrier that is open and removable or removable when accessing the component support shelves therein. In the exemplary embodiment shown in FIG. 1, casing 200 generally has a generally recessed or hollow portion (hereinafter referred to as a shell) 214, and a wall (hereinafter referred to as a shell), in which a part can be received. It has a cap / cover, etc.) 216. As described below, the entire wall 216 or shell 214 may act as a carrier door. The walls and shell are joined to close the carrier and separated to open the carrier. In an exemplary embodiment, the shell and wall may be a metal such as an aluminum alloy or stainless steel made by any suitable process. The wall and / or shell may be an integral member (single structure). In another embodiment, the carrier casing may be made of any other suitable material, including suitable non-metals. The cassette 210 may be mounted on the wall 216, but in another embodiment the cassette may be mounted on the shell. Mounting the cassette in either the shell or the door may be chosen to facilitate the ease of removing the cassette or substrate inside from the carrier when the door is opened. In the embodiment shown, the wall 216 is located on the top surface of the shell, but in another embodiment the carrier casing may have a configuration having a shell on the top surface and a wall on the bottom surface. Good. In yet other embodiments, the shell may have removable walls on both the top and bottom surfaces (ie, carriers with top and bottom openings). In another other embodiment, the removable wall may be placed laterally to the carrier. In an exemplary embodiment, the door is a passive component (eg, a part that closes and opens between the door and the carrier and between the door and the tool joint, as further described below. There may be virtually no movement of components).

ここで、図2Aを参照すると、適した処理ツールのツールポート接合部分2010に置かれるキャリア200が示されている。処理ツールは、いかなる所望の種類、例えば分類機、ストッカー、または物質堆積、リソグラフィ、マスキング、エッチング、研磨、メトロロジ等のプロセスを1つ以上実行できるツール、もしくはロードロック等のプロセスモジュールまたはチャンバを1つ以上有するツールであってもよい。処理ツールは、少なくとも部分的に制御雰囲気を有し、ツール接合部分2010が、ツールまたはキャリア200内の制御雰囲気に影響を与えることなく、ツールとキャリア200との間で部品の積み込み/取り出しができるようにしてもよい。例示的な実施形態では、一般的にポート接合部分2010は、それを通して基板を処理ツールに積載することができるポートまたは開口部2012、およびポートを閉締するドア、カバー、または取り外し可能部分2014を有してもよい。別の実施形態では、取り外し可能部分は、部分的に開口部を閉締してもよい。図2Aでは、ポートドア2014は、例示目的のために、閉締した位置および開口された位置で示される。図2Aに示される実施形態では、キャリア200は、以下に示されるように、ツールポート2012を有する接合部分の下側に積載されてもよい(すなわちZ方向に移動される)。図2Aはキャリア200のドアとして動作する上面壁216を示す。例えば、壁216は、ポートドア2014に接続され、ポートドアの取り外しと同時に、ツールポート接合部分を開口するために、例えばツール内に移動されてもよい。壁216を取り外すことにより、カセット(そこに搭載される)およびその上の部品がキャリアから移動される(部品搬送機/ロボットによるアクセスのために)。再び図1を参照すると、向き合う支持体210Sを有するカセット210の構成は、カセットの2つ以上の側面(例示的な実施形態では2つの側面)上にアクセスエリア210A、210Bを提供し、部品ロボット(図2Aも参照)がカセット棚上に部品を積み込み/取り出ししてもよい。別の実施形態では、キャリアは、いかなる所望の数の部品アクセスエリアを有してもよい。アクセスエリアは、キャリアの周辺の周囲に対称に配列されてもよく、または非対称な構成で配置されてもよい。図2Aに示される例示的な実施形態では、ツールは、例えば2つ以上のアクセスエリア210A、210B内の部品Vにアクセスするために、部品ハンドリングロボット2016A、2016Bを2つ以上有してもよい。別の実施形態では、ツールは、より多いまたはより少ない部品搬送ロボットを有してもよい。カセットへの多方面ロボットアクセスにより、カセットでのロボット間の部品の手渡しを可能にしてもよい。また、部品への多方面ロボットアクセスは、キャリアがツールポートに搬送されるまたは接合される際の配向を定める。したがって、キャリア200は、ツール接合部分に対して1つ以上の配向でツール接合部分に結合されてもよい。キャリアは、ポートドアをその閉締位置に戻すことによって閉締され、これは、キャリアの壁216をシェル214に結合するように戻す。 Here, with reference to FIG. 2A, the carrier 200 placed at the toolport junction 2010 of a suitable processing tool is shown. The processing tool may be a classifier, stocker, or tool capable of performing one or more processes such as material deposition, lithography, masking, etching, polishing, metrology, or a process module or chamber such as load lock. It may be a tool having one or more. The processing tool has at least a partial control atmosphere, and the tool joint 2010 allows loading / unloading of parts between the tool and the carrier 200 without affecting the control atmosphere within the tool or carrier 200. You may do so. In an exemplary embodiment, the port junction 2010 typically includes a port or opening 2012 through which the substrate can be loaded into the processing tool, and a door, cover, or removable portion 2014 that closes the port. You may have. In another embodiment, the removable portion may partially close the opening. In FIG. 2A, the port door 2014 is shown in the closed and open positions for illustrative purposes. In the embodiment shown in FIG. 2A, the carrier 200 may be loaded (ie, moved in the Z direction) underneath the junction having the toolport 2012, as shown below. FIG. 2A shows the top wall 216 acting as the door of the carrier 200. For example, the wall 216 may be connected to the port door 2014 and moved into, for example, the tool to open the tool port joint at the same time as the port door is removed. By removing the wall 216, the cassette (mounted on it) and the parts on it are moved from the carrier (for access by the parts carrier / robot). Referring again to FIG. 1, the configuration of the cassette 210 with the facing supports 210S provides access areas 210A, 210B on two or more sides (two sides in the exemplary embodiment) of the cassette, a component robot. Parts may be loaded / unloaded on the cassette shelf (see also FIG. 2A). In another embodiment, the carrier may have any desired number of component access areas. The access areas may be arranged symmetrically around the periphery of the carrier or arranged in an asymmetrical configuration. In the exemplary embodiment shown in FIG. 2A, the tool may have two or more component handling robots 2016A, 2016B, for example, to access component V in two or more access areas 210A, 210B. .. In another embodiment, the tool may have more or less parts transfer robots. Multi-directional robot access to the cassette may enable the handing of parts between robots in the cassette. Also, multi-directional robotic access to parts determines the orientation of the carrier as it is transported or joined to the tool port. Therefore, the carrier 200 may be bonded to the tool joint in one or more orientations with respect to the tool joint. The carrier is closed by returning the port door to its closed position, which returns the carrier wall 216 to join the shell 214.

図2Bを参照すると、別の例示的な実施形態による、キャリア200とツールポート接合部分2010’との接合部分が示されている。本実施形態では、キャリアのシェル214は、ドアとして動作してもよい。示される実施形態では、ツールポートドア2014’は、シェルの外側の汚染物質にツールの内部が曝露されることを防ぐために、シェルの周囲を包囲し、封止するように、キャリアシェルに対してほぼ等角な形状を有していてもよい。例示的な実施形態では、キャリア200は、キャリアが搬送システムのオーバーヘッドから下げられている場合等、上側に積載されてもよい(すなわち(−)Z方向に沿って下方に移動される)。キャリア200を開口するには、キャリアからシェル214を取り外すと同時に、ポートドアを例えばツールの内部に下方((−)Z方向)に移動する。ここではキャリアドア(すなわちシェル214)は、底面上に位置し、下方移動によってキャリアを開口することから、これは、キャリアの底面開口型と称される場合がある。キャリアの開口は、壁216に留まるカセット内の部品を露出させる。本実施形態では、ロボット(図2Aのロボット2016A、2016Bと同様の)は、垂直方向に離間するカセット棚またはその中の部品にアクセスするために、Z軸における自由度を有して提供され
てもよい。ロボットは、その上にマッパー(図示せず)を有してもよい。別の実施形態では、シェル216は、通過ビームマッパーにより、シェルの取り外しを受けてカセットをマッピングできるようにするような統合マッパーを有してもよい。図2A〜2Bは、上面および底面開口型であってもよいキャリア200を図示する。その他の別の実施形態では、シェルと壁の配向が反転されてもよく(壁の上面上のシェル)、キャリアは、図2Bと類似するが鏡像である上面開口型(すなわちシェルを上げる)、および図2Aと同様な方法だが、反対である底面開口型(すなわち壁を下げる)であってもよい。
With reference to FIG. 2B, a joint portion between the carrier 200 and the toolport joint portion 2010'is shown according to another exemplary embodiment. In this embodiment, the carrier shell 214 may act as a door. In the embodiments shown, the toolport door 2014'is relative to the carrier shell so as to surround and seal around the shell to prevent exposure of the inside of the tool to contaminants outside the shell. It may have a substantially equiangular shape. In an exemplary embodiment, the carrier 200 may be loaded on top (ie, moved down along the (−) Z direction), such as when the carrier is lowered from the overhead of the transport system. To open the carrier 200, the shell 214 is removed from the carrier and at the same time the port door is moved downward ((−) Z direction), for example, inside the tool. Here, the carrier door (ie, shell 214) is located on the bottom surface and opens the carrier by downward movement, so this is sometimes referred to as the bottom opening type of carrier. The opening of the carrier exposes the parts in the cassette that remain on the wall 216. In this embodiment, the robot (similar to robots 2016A, 2016B in FIG. 2A) is provided with a degree of freedom in the Z axis to access vertically spaced cassette shelves or components therein. May be good. The robot may have a mapper (not shown) on it. In another embodiment, the shell 216 may have an integrated mapper that allows the passing beam mapper to allow the cassette to be mapped upon removal of the shell. 2A-2B illustrate the carrier 200, which may be of top and bottom open type. In other other embodiments, the orientation of the shell and the wall may be reversed (shell on top of the wall), and the carrier is similar to FIG. 2B but mirror image of top open (ie, raising the shell). And the same method as in FIG. 2A, but the opposite bottom opening type (ie, lowering the wall) may be used.

再び図1を参照すると、前述されたように、壁216およびシェル214は、作動することによってツールまたはコンテナ内の清浄な空間を汚染する可能性がある固定具等の移動可能な要素のない受動的な構造であってもよい。例えば、壁およびシェルは、磁気で互いに固定されてもよい。例えば磁気固定具は、永久または電磁石要素226、228またはこれらの組み合わせを有してもよく、所望により、壁およびシェルを固定するために、壁216およびシェル214に置かれてもよい。磁気固定具は、例えば、可逆の要素を電荷が通過することによって、切り替えられる(すなわち、開口するまたは閉締するために)可逆の磁気要素を有してもよい。例えば、壁216は、磁気要素228(例えば鉄鋼材)を含んでもよく、シェル214は、壁とシェルを固定するために作動される磁気スイッチ要素226を含んでもよい。図2A、2Bに示される例示的な実施形態では、壁内の磁気要素およびシェル内の動作可能な磁石は、キャリアドア(壁またはシェルのいずれか、図2A〜2Bを参照)をポートドアに固定することにより、キャリアドアがキャリアの残りの部分から解放されるように、ポートドア接合部分2010、2010’内の磁気固定具2028’、2026’と連動できるように構成されてもよい。別の実施形態では、壁とシェルとの間の磁気固定具は、いかなる他の所望の構成を有してもよい。図23に示される例示的な実施形態では、キャリアは、作動ピン、圧力連結具、またはポート接合部分上の結合連結機構2030と嵌合する形状記憶装置等の機械的連結要素230を含み、キャリアをポート接合部分に連結してもよい。例示的な実施形態では、デバイスは、壁部分に位置するように示されるが、別の実施形態では、デバイスは、シェルに固定されてもよい。図24から理解され得るように、作動可能なデバイスは、取り外し可能な壁部分とポートドアとの間の封止された接合部分内に封入され、デバイスが動作することによってその中に生じる場合がある潜在的微粒子を閉じ込めてもよい。受動的なキャリアおよびキャリアドアは、真空適合型である、清浄で洗浄可能なキャリアを提供する。 Seeing FIG. 1 again, as mentioned above, the walls 216 and shell 214 are passive without movable elements such as fixtures that, when activated, can contaminate the clean space within the tool or container. Structure may be used. For example, the walls and shell may be magnetically fixed to each other. For example, the magnetic fixture may have permanent or electromagnet elements 226, 228 or a combination thereof and may optionally be placed on the wall 216 and shell 214 to secure the wall and shell. The magnetic fixture may have, for example, a reversible magnetic element that is switched (ie, to open or close) by the passage of charge through the reversible element. For example, the wall 216 may include a magnetic element 228 (eg, steel) and the shell 214 may include a magnetic switch element 226 that is actuated to secure the wall to the shell. In the exemplary embodiments shown in FIGS. 2A and 2B, the magnetic elements in the wall and the actuable magnets in the shell make the carrier door (either the wall or the shell, see FIGS. 2A-2B) into the port door. By fixing, the carrier door may be configured to interlock with the magnetic fixtures 2028', 2026' in the port door joints 2010, 2010' so that the carrier door is released from the rest of the carrier. In another embodiment, the magnetic fixture between the wall and the shell may have any other desired configuration. In an exemplary embodiment shown in FIG. 23, the carrier comprises a mechanical connecting element 230 such as a shape storage device that mates with an actuating pin, a pressure connector, or a coupling coupling mechanism 2030 on a port junction. May be connected to the port junction. In an exemplary embodiment, the device is shown to be located on a wall portion, but in another embodiment the device may be secured to the shell. As can be seen from FIG. 24, the actuable device may be encapsulated in a sealed joint between the removable wall portion and the port door, which may occur as the device operates. Certain potential particles may be trapped. Passive carriers and carrier doors provide vacuum compatible, clean and washable carriers.

前述したように、キャリアドアおよび基部(すなわち壁216およびシェル224)は、キャリアチャンバ202を隔離するために封止されてもよい。また、キャリアがツールのポートと接合される場合(例えば積載ポートモジュール)、キャリアドアおよび基部のそれぞれは、キャリアドア(すなわち、図1の壁216またはシェル214)をポートドアに、およびキャリアの基部をポートにそれぞれ封止するために、封止接合部分を有してもよい。さらにポートドアは、ポートとの封止接合部分を有してもよい。 As mentioned above, the carrier door and base (ie, wall 216 and shell 224) may be sealed to isolate the carrier chamber 202. Also, if the carrier is joined to the port of the tool (eg, loading port module), the carrier door and base respectively have the carrier door (ie, wall 216 or shell 214 in FIG. 1) to the port door, and the carrier base, respectively. May have a sealing joint to seal each port. Further, the port door may have a sealing joint with the port.

図3A〜3Cは、封止接合部分(221’:キャリアドアとキャリア、222’:キャリアとポート、223’:ポートドアとポート、および224’:ポートドアとキャリアドア)のそれぞれが、便宜上、略X構造(図3Bに最もよく見られる)と称される場合がある一体封止222’を形成する例示的な実施形態による、キャリア200と類似し、ツールポート2220に接合されている、キャリア200’を示す。示される例示的な実施形態では、キャリアの封止接合部分は、例示目的のために上面開口部に示されるが、キャリアが複数の開口部(図1に示される開口部204と類似する)(例えば、上面および底面)を有する別の実施形態では、それぞれの開口部に封止接合部分が提供されてもよい。理解され得るように、略X構造は、封止接合部分表面の略描写のためだけのものであり、別の実施形態では、封止接合部分表面は、例えば封止接合部分表面が湾曲している、いかなる適した配置であってもよい。略X形状の封止構造は、接合部分間に閉じ込められる容量が実質的にゼロ(0)である、複数の封止接合部分(例えば、221’〜222’)を画定する。したがって、いずれの封止された接合部分の開口は、汚染物質が封止接合部分の開口により開かれる空間に解放されるという結果をもたらさない。さらに、その他の別の実施形態では、封止は、いずれの所望の配向(例えば略+パターンで水平または垂直に配向されている封止接合部分)を有してもよい。例示的な実施形態では、例示目的のためにキャリア200’は、上面開口型として図示され(壁216’は、図2Aに図示される実施形態と同様に上方に持ち上げることによって開口されるドアである)、ポート2220は、底面積載(ツールポートをドックするためにリフタがキャリア220’を上方に持ち上げる)するように構成される。本実施形態では、シェル214’は、封止接合部分214I’、および一様に勾配のついた封止面221C’、222C’を有してもよい。シェル上の封止面222C’、221C’は、実質的に平坦に示されるが、別の実施形態では、表面は一様に傾斜しているが、略X形状の封止構造を生じるために、封止を向上するための包括的または排他的角度もしくはその他の形状が封止面に形成されてもよい。本実施形態では、キャリアの壁216’は、一般的に封止面221CD’および224CD’を画定するように配向される(図3Aに示される例示的な実施形態では、勾配をつけて)封止接合部分216I’を有する。図3Aに見られるように、シェルおよび壁の封止面221C’、221CD’のそれぞれは、壁およびシェルが閉締される際に、封止接合部分221’をほぼ補完的に画定する。キャリアの接合部分214’上の面221C’は、シェル上に位置する場合、壁216’にガイドを提供する略V字型を形成する(例えば図3C参照)。また、例示的な実施形態では、キャリアの封止接合部分221’のキャリアドアは、壁216’の重量が接合部分上の封止圧力を向上するように作用するように置かれてもよい。理解され得るように、本実施形態における壁216’で支持されるカセットおよび部品は、キャリアドアとキャリアの封止を助長する。図3A〜3Bに見られるように、封止面222C’および224CD’は、ポート2220およびポートドア2214上のそれぞれの封止面222P’、224PD’を補完するために配置される。図3Bは、ポート2220にドックされ、封止221’、224’が閉締されたキャリア200’を示す。封止222’、224’の閉締は、ツールおよびキャリアの内部/チャンバが汚染される可能性から、すべての露出される表面(すなわちキャリアまたはツール内側の制御されたもしくは隔離されたチャンバの外側の表面)を封止し、隔離する。図3Bに最もよく見られるように、概してX形状の封止220’は、実質的に接合部分の容量損失ゼロと称される場合がある封止を形成することから、最適な清浄度を提供する。前述したように、これは、キャリアドアまたはポートドアのいずれかが開口される際に、封止220’の封止形状が露出される外側表面を有する実質的なポケットまたは空間を生成しない(すなわち内部表面となる)ことを意味する。これは図3Cに最もよく見られるが、ポートドア2214の取り外し、したがってキャリアのドア216’の取り外しは、未封止/外部表面のいずれもキャリア/プロセスツールの内部に露出しない。 In FIGS. 3A to 3C, each of the sealing joint portions (221': carrier door and carrier 222': carrier and port, 223': port door and port, and 224': port door and carrier door) is conveniently shown. A carrier, similar to the carrier 200, bonded to the toolport 2220, according to an exemplary embodiment forming an integral seal 222', sometimes referred to as a substantially X structure (most commonly seen in FIG. 3B). Shows 200'. In the exemplary embodiment shown, the sealing joint portion of the carrier is shown at the top opening for illustrative purposes, but the carrier has multiple openings (similar to the opening 204 shown in FIG. 1). For example, in another embodiment having a top surface and a bottom surface), a sealing joint may be provided at each opening. As can be understood, the substantially X structure is only for schematic depiction of the surface of the sealed joint, and in another embodiment the surface of the sealed joint is such that the surface of the sealed joint is curved, for example. It may be in any suitable arrangement. The substantially X-shaped sealing structure defines a plurality of sealing joints (eg, 221'-222') in which the capacitance confined between the joints is substantially zero (0). Therefore, the opening of any sealed joint does not result in the release of contaminants into the space opened by the opening of the sealed joint. Furthermore, in other other embodiments, the seal may have any desired orientation (eg, a sealing joint that is oriented horizontally or vertically in a substantially + pattern). In an exemplary embodiment, for exemplary purposes, the carrier 200'is illustrated as a top opening type (the wall 216'is a door that is opened by lifting upwards similar to the embodiment illustrated in FIG. 2A. The port 2220 is configured to be bottom loaded (the lifter lifts the carrier 220'up to dock the tool port). In this embodiment, the shell 214'may have a sealing joint portion 214I'and a uniformly sloping sealing surface 221C', 222C'. The sealing surfaces 222C', 221C'on the shell appear to be substantially flat, but in another embodiment the surface is uniformly inclined to give rise to a substantially X-shaped sealing structure. , Comprehensive or exclusive angles or other shapes may be formed on the sealing surface to improve sealing. In this embodiment, the carrier wall 216'is generally oriented to demarcate the sealing surfaces 221CD'and 224CD' (in the exemplary embodiment shown in FIG. 3A, with a gradient). It has a stop joint portion 216I'. As seen in FIG. 3A, the shell and the sealing surfaces of the walls 221C', 221CD', respectively, define the sealing joint portion 221' in a nearly complementary manner when the wall and shell are closed. The surface 221C'on the carrier junction 214' forms a substantially V-shape that provides a guide to the wall 216' when located on the shell (see, eg, FIG. 3C). Also, in an exemplary embodiment, the carrier door of the carrier sealing joint portion 221'may be placed such that the weight of the wall 216' acts to increase the sealing pressure on the joint portion. As can be understood, the cassettes and components supported by the wall 216'in this embodiment facilitate the sealing of the carrier door and carrier. As seen in FIGS. 3A-3B, the sealing surfaces 222C'and 224CD'are arranged to complement the respective sealing surfaces 222P' and 224PD' on the port 2220 and the port door 2214. FIG. 3B shows a carrier 200'docked into port 2220 and closed with seals 221' and 224'. Closing the seal 222'224' allows the inside of the tool and carrier / chamber to be contaminated, so all exposed surfaces (ie, outside the controlled or isolated chamber inside the carrier or tool). (Surface) is sealed and isolated. As most often seen in FIG. 3B, the generally X-shaped encapsulation 220'provides optimal cleanliness by forming an encapsulation that is sometimes referred to as virtually zero capacitance loss at the junction. To do. As mentioned above, this does not create a substantial pocket or space with an outer surface that exposes the sealing shape of the sealing 220'when either the carrier door or the port door is opened (ie). It means that it becomes the inner surface). This is most often seen in FIG. 3C, but the removal of the port door 2214, and thus the removal of the carrier door 216', does not expose either the unsealed / outer surface to the inside of the carrier / process tool.

図3Cに示されるように、本実施形態では、キャリアドアの上面開口により、結果としてキャリアのチャンバ202’は、壁216’で保持される、上げられたカセットの下に置かれる。キャリアのチャンバ202’は、強制空気循環システム(図示せず)を有する場合があるツールの内部と連通してもよく、これによってキャリアのチャンバ内に一般的なベンチュリ流が生じてもよい。本実施形態では、キャリアのチャンバ内の循環空気流は、上げられたカセット(壁216’からぶら下がる)上の部品の下に位置し、循環により乱された微粒子が堆積する可能性は最小である(上記部品から離れて落ち着く)。図3A〜3Cに示される例示的な実施形態では、キャリア200’は、適した持ち上げ装置LDでポート2220に接合し、ドックするために、上げられてもよい。キャリアおよび持ち上げ装置上に適した登録機構LDRが提供され、キャリアを該装置上に置き、したがってポートに関連してキャリアを置いてもよい。別の実施形態では、キャリアは、いずれかの適した方法でポートに保持されてもよい。キャリアドア216’は、磁気固定、機械的連結(例えばドア間の封止される接合部分に置かれる)またはドア間の封止される接合部分に生成される真空吸引によってポートドア2214に固定されてもよい。ポートドア2214は、所望のマッピングセンサ(図示せず)を通してカセット(図1のカセット210と類似する)をインデクシングすることができる、適したデバイスによって開口/閉締される。 As shown in FIG. 3C, in this embodiment, the top opening of the carrier door results in the carrier chamber 202'being placed under an raised cassette held by a wall 216'. The carrier chamber 202'may communicate with the interior of a tool that may have a forced air circulation system (not shown), which may result in a general Venturi flow within the carrier chamber. In this embodiment, the circulating airflow in the chamber of the carrier is located below the components on the raised cassette (hanging from the wall 216'), and the possibility of accumulation of particles disturbed by the circulation is minimal. (Settle away from the above parts). In an exemplary embodiment shown in FIGS. 3A-3C, the carrier 200'may be lifted to join and dock at port 2220 with a suitable lifting device LD. A suitable registration mechanism LDR is provided on the carrier and lifting device, and the carrier may be placed on the device and thus the carrier in relation to the port. In another embodiment, the carrier may be held in the port in any suitable way. The carrier door 216'is secured to the port door 2214 by magnetic fixation, mechanical coupling (eg, placed at the sealed joint between the doors) or vacuum suction generated at the sealed joint between the doors. You may. The port door 2214 is opened / closed by a suitable device capable of indexing a cassette (similar to cassette 210 in FIG. 1) through a desired mapping sensor (not shown).

ここで、図4を参照すると、別の例示的な実施形態によるキャリア300が示されており、キャリア300は、概してキャリア200と類似するが反対であり、壁316の上面上にシェル314を有する。キャリア200と同様に、キャリア300は、上面開口型(シェルがドアとして動作する)または底面開口型(壁がドアとして動作する)のいずれかであってよい。示される例示的な実施形態では、キャリア300は、一体型搬送機構成要素300Mを有してもよい。例えば、キャリアのシェル(または壁)314、316は、ローラーまたは空気ベアリング、および駆動部またはモータによって駆動され得る反応部材等の搬送機起動支持体を有してもよく、これによってFAB内のキャリアを自己搬送可能(すなわち独立した搬送運搬車を使用することなく)にしてもよい。図4は、例示目的のために積載ポート3010(概して前述されたポート2010に類似する)に置かれたキャリア300を図示する。示される例示的な実施形態では、キャリア300は、ポート接合部分上に上面積載されてもよい。キャリアドア316は、ポートドア3014に合わせてまたは隣接して(接合部分を形成するように)置かれてもよく、シェル314は、ポート3012と接合してもよい。また、キャリア300とポートの接合部分は、図3Bに示される略X封止220’と類似する、3、4、または5方向“交差”型(または容量損失なし)封止を有してもよい。図4Aは、一実施形態による、封止320の断面図を示す。例示的な実施形態では、封止320は、底面開口型構造の4方向封止であってもよいが、その他の点では、封止220’と概して類似する。 Here, with reference to FIG. 4, a carrier 300 according to another exemplary embodiment is shown, which is generally similar to but opposite to the carrier 200, having a shell 314 on the top surface of the wall 316. .. Like the carrier 200, the carrier 300 may be either a top open type (the shell acts as a door) or a bottom open type (the wall acts as a door). In the exemplary embodiment shown, the carrier 300 may have an integrated carrier component 300M. For example, the shell (or wall) 314, 316 of the carrier may have rollers or air bearings and a carrier starting support such as a reaction member that can be driven by a drive or motor, thereby the carrier in the FAB. May be self-transporting (ie, without using a separate transport vehicle). FIG. 4 illustrates a carrier 300 placed in loading port 3010 (generally similar to port 2010 described above) for illustrative purposes. In the exemplary embodiment shown, the carrier 300 may be top-mounted on the port junction. The carrier door 316 may be placed in line with or adjacent to the port door 3014 (so as to form a joint), and the shell 314 may be joined to the port 3012. Also, the junction between the carrier 300 and the port may have a 3, 4, or 5 direction "crossed" (or no capacity loss) seal similar to the approximately X seal 220'shown in FIG. 3B. Good. FIG. 4A shows a cross-sectional view of the sealing 320 according to one embodiment. In an exemplary embodiment, the seal 320 may be a four-way seal with a bottom open structure, but is otherwise generally similar to the seal 220'.

図4Bは、別の例示的な実施形態による、キャリアとポートとの間の接合部分の別の断面、およびその間の封止を示す。本実施形態では、封止320’は、実質的に封止320と類似する。図4Bは、シェルの接合部分314I’が支持フランジ/機構326’、328’を有し得ることをさらに示す。本実施形態では、フランジ326’は、壁316’を操作してもよく、例えば、フランジがキャリアドアの一部分に重なり合い(示される実施形態では、機構はドア接触面を画定するが、別の実施形態では、機構はドアと接触しなくてもよい)、キャリアドアが閉締される場合に壁316’をシェル314’に保持するための磁気固定具326M’を位置付けてもよい。さらに、機構326’は、ポートドア3014内の磁気固定具3040’に重なり合ってもよい。ポートドア内の磁気固定具3040’は、キャリアドアを取り外すために、壁316’をポートドア3014’に固定するように動作してもよい。キャリアのシェルの機構326’を置くことによって、ポートドア固定具3040’(壁316’をポートドアに固定する)を有効化され、例えばほぼ同時に壁316’のシェル314’への固定を、固定解除/無効化してもよい。反対に、ポートドア3014’の閉締を受けて、ポートドア固定具3040’の固定解除/無効化により、壁316’とシェル314’との間の磁気ラッチ326M’が固定されるようにしてもよい。例示的な実施形態では、シェル上の外部機構328’は、置かれる際に、キャリアを位置付けるためにポート3010’の位置付け/センタリング機構3012C’と嵌合してもよい。図4Bに図示される外部機構328’の形状は、例示に過ぎず、別の実施形態では、キャリアは、いかなる所望の位置付け機構を有してもよい。前述されたように、封止320’のX構造は、封止接合部分のパージ容量は実質的にゼロであるため、キャリアドアを開口する前に封止接合部分をパージしなくてもよい。別の実施形態(例えば図4Bを参照)では、ポートは、パージライン3010Aを含んでもよい。パージライン3010Aは、いかなる封止接合部分の上またはその間にあってもよい。図4Cは、別の例示的な実施形態による、キャリアとツールポートの接合部分の別の断面を示す。キャリアとポートの接合部分は、前述された封止320と概して類似する封止320’’を有する。本実施形態では、キャリアのシェル314’’は、ポートドア3014’’を積載することなくキャリア300’’をポート上に置く(すなわち、キャリアの重量をポートドア3014’’上に分散することなく、キャリア300’’をポート上に支持する)ために、キャリアドア(壁)316’’を有する支持体328’’を有してもよい。ポートドアでのキャリアドア封止321’’との封止接触は、キャリアドアを開口および閉締する際、依然としてほぼ一定である。 FIG. 4B shows another cross section of the junction between the carrier and the port, and the sealing between them, according to another exemplary embodiment. In this embodiment, the sealing 320'is substantially similar to the sealing 320. FIG. 4B further shows that the joint portion 314I'of the shell may have a support flange / mechanism 326' 328'. In this embodiment, the flange 326'may operate the wall 316', eg, the flange overlaps a portion of the carrier door (in the embodiments shown, the mechanism defines the door contact surface, but in another embodiment. In the embodiment, the mechanism does not have to come into contact with the door), and a magnetic fixture 326M'to hold the wall 316'to the shell 314' when the carrier door is closed may be positioned. Further, the mechanism 326'may overlap with the magnetic fixture 3040' in the port door 3014. The magnetic fixture 3040'inside the port door may act to secure the wall 316'to the port door 3014' in order to remove the carrier door. By placing the carrier shell mechanism 326', the port door fixture 3040' (fixing the wall 316' to the port door) is activated, eg at about the same time fixing the wall 316'to the shell 314'. It may be canceled / invalidated. Conversely, upon closing the port door 3014', the port door fixture 3040' is unlocked / disabled so that the magnetic latch 326M'between the wall 316'and the shell 314' is fixed. May be good. In an exemplary embodiment, the external mechanism 328'on the shell may be fitted with the positioning / centering mechanism 3012C'of port 3010' to position the carrier when placed. The shape of the external mechanism 328'shown in FIG. 4B is merely an example, and in another embodiment, the carrier may have any desired positioning mechanism. As described above, in the X structure of the sealing 320', the purging capacity of the sealing joint portion is substantially zero, so that it is not necessary to purge the sealing joint portion before opening the carrier door. In another embodiment (see, eg, FIG. 4B), the port may include a purge line 3010A. The purge line 3010A may be on or in between any sealing joints. FIG. 4C shows another cross section of the carrier-toolport junction according to another exemplary embodiment. The carrier-port junction has a sealing 320 ″ that is generally similar to the sealing 320 described above. In this embodiment, the carrier shell 314'' places the carrier 300'' on the port without loading the port door 3014'' (ie, without distributing the carrier weight on the port door 3014''. , Supporting the carrier 300'' on the port) may have a support 328'' with a carrier door (wall) 316''. The sealing contact with the carrier door seal 321 ″ at the port door remains substantially constant when opening and closing the carrier door.

図5A〜5Cは、別の例示的な実施形態による、ツールポートと結合された、キャリア300と類似するキャリア300Aを図示する。本実施形態ではキャリア300Aは、上面開口型であり、底面積載されてもよい(図5Aの矢印+zで示される方向)。キャリアのシェル316Aは、キャリアドアとして動作してもよい。図5Bに最もよく見られる封止接合部分320Aは、3方向封止(パージまたは損失容量がほぼゼロであり、前述された封止320、220と類似する)と称される場合がある略Y構造(壁とシェルの接合部分321A、壁とポートの接合部分322A、ポート3012Aとポートドア3014Aの接合部分323A)である。本実施形態では、ポートドア3014Aは、シェル316Aに対してほぼ等角であってもよい。例えば、シェル316Aは、ポートドア3014Aに取り付けられてもよい。例示的な実施形態では、シェル316Aおよびポートドア3014Aは、その間の接合部分の容量が最小化されるように嵌め込まれ、置かれる。封止(図示せず)は、間の接合部分を封止するために、シェル316Aとポートドアとの間に提供されてもよい。図5Bに見られるように、本実施形態では3014Aであるポートドアは、ポートドアとキャリアドアの接合部分容量をパージするために真空ポート3010Vを有してもよい。 5A-5C illustrate the carrier 300A, similar to the carrier 300, coupled to the toolport, according to another exemplary embodiment. In the present embodiment, the carrier 300A is of the top opening type and may be loaded on the bottom surface (direction indicated by the arrow + z in FIG. 5A). The carrier shell 316A may act as a carrier door. The most commonly seen sealing joint portion 320A in FIG. 5B may be referred to as a three-way sealing (purge or loss capacity is nearly zero and is similar to the sealings 320, 220 described above). The structure (the joint portion 321A of the wall and the shell, the joint portion 322A of the wall and the port, and the joint portion 323A of the port 3012A and the port door 3014A). In this embodiment, the port door 3014A may be approximately equiangular with respect to the shell 316A. For example, the shell 316A may be attached to the port door 3014A. In an exemplary embodiment, the shell 316A and the port door 3014A are fitted and placed so that the capacitance of the junction between them is minimized. A seal (not shown) may be provided between the shell 316A and the port door to seal the junction between them. As seen in FIG. 5B, the port door, which is 3014A in this embodiment, may have a vacuum port 3010V to purge the junction capacitance between the port door and the carrier door.

再び図2A〜2Bを参照すると、さらに他の例示的構成による、キャリアとポートの接合部分が示されている。接合部分220、220’は、図2A、2Bに示される例示的な実施形態とほぼ類似する(それぞれ、底面積載/上面開口型、上面積載/底面開口型)。封止接合部分220、220’は略「交差」またはX構造(壁216とシェル214の接合部分221、シェル214とポートの接合部分222、ポート2012とポートドア2014の接合部分223、およびポートドアと壁216の接合部分224)を有する4方向封止であってもよい。図2Aに見られるように、本実施形態では、封止接合部分222、224は、接合面の相対運動(キャリアを積載中、およびポートドアの閉締中)に対してほぼ平行な方向に(例えば垂直に)置かれてもよい。すなわち、キャリアまたはキャリアドアの閉締位置への移動は、封止閉締を生成しない。本実施形態では、封止接合部分222、224を形成する面の1つ以上は、封止接合部分で実質的な摩擦接触なく封止セクションを作動し、封止接合部を閉締するために、例えば、可膨張式封止、圧力作動封止、または形状記憶部材等の作動可能な封止とともに提供されてもよい。記載される封止構造は、例示に過ぎない。 With reference to FIGS. 2A-2B again, a carrier-port junction is shown with yet another exemplary configuration. The joint portions 220 and 220'are substantially similar to the exemplary embodiments shown in FIGS. 2A and 2B (bottom loading / top opening type, top loading / bottom opening type, respectively). Sealed joints 220, 220'are approximately "crossed" or X structures (wall 216 and shell 214 joints 221; shell 214 and port joints 222, port 2012 and port door 2014 joints 223, and port doors. It may be a four-way sealing having a joint portion 224) between the door and the wall 216. As can be seen in FIG. 2A, in the present embodiment, the sealing joint portions 222 and 224 are oriented substantially parallel to the relative motion of the joint surfaces (during carrier loading and while closing the port door). It may be placed (eg vertically). That is, the movement of the carrier or carrier door to the closing position does not produce a sealing closure. In this embodiment, one or more of the surfaces forming the sealing joints 222 and 224 operate the sealing section at the sealing joint without substantial frictional contact to close the sealing joint. , For example, may be provided with an inflatable seal, a pressure actuated seal, or an actuable seal such as a shape memory member. The sealing structure described is merely exemplary.

再び図1を参照すると、キャリアのシェル214は、キャリアをハンドリングするために外部支持体240を有してもよい。支持体240は、例えばハンドルとして示されるが、いかなる適した形状を有してもよい。例示的な実施形態では、支持体240は、キャリアのハンドリング安定性を最適化するために、所望するだけ離れたシェルの反対側に設置されてもよい。別の実施形態では、より多い、またはより少ない支持体が提供されてもよい。ここで、図6Aを参照すると、キャリアのシェル220Aは、シェルの底面に隣接して位置するせん孔したまたは陥凹した部材、薄膜もしくはフィルタ260Aを有して示されている。部材中のせん孔または陥凹部は、キャリアドアが開口される際にシェル内に引き起こされるベンチュリまたは渦流の強度を軽減するまたは低減するような寸法および形状である。別の実施形態では、ベンチュリまたは渦流の軽減要素は、キャリア内のいかなる他の適した場所に設置されてもよい。キャリア200Aは、例示目的のために、底面上にシェルを有して示されるが、別の実施形態では、キャリアは上面上にあってもよい。実質的に滑らか/層流を部品上に維持することを助長するために、さらなる流れ矯正空間および/またはベーン(図示せず)がツールの内部に提供されてもよい。図6Bは、別の例示的な実施形態によるキャリア200Bを示す。キャリア200Bは、チャンバ内の部品を異なる温度、次いで周囲温度に維持するために、熱調整器250を有してもよい。例えば、キャリアのシェルまたは壁214B、216Bは、部品を周囲より高い温度に加熱する/上昇するために、例えばカセット支持体を介して熱的に部品に接続される熱電モジュールを有してもよい。周囲より高い部品温度は、粒子を駆動し、熱泳動によって部品から水分子が離れ、部品がキャリアの外にある場合、またはキャリアドアが開口されている場合の汚染を防ぐ。別の実施形態では、マイクロ波エネルギー等のいずれかの他の所望の熱調整器が使用されてもよい。その他の別の実施形態では、水分子および微粒子による汚染を防ぐために、それぞれの部品の周りに静電界を生成してもよい。 Referring again to FIG. 1, carrier shell 214 may have an external support 240 to handle the carrier. The support 240, for example as a handle, may have any suitable shape. In an exemplary embodiment, the support 240 may be placed on the opposite side of the shell as far away as desired to optimize the handling stability of the carrier. In another embodiment, more or less supports may be provided. Here, with reference to FIG. 6A, the carrier shell 220A is shown with a perforated or recessed member, thin film or filter 260A located adjacent to the bottom surface of the shell. The perforations or recesses in the member are sized and shaped to reduce or reduce the strength of the venturi or vortex created in the shell when the carrier door is opened. In another embodiment, the Venturi or vortex mitigation element may be installed at any other suitable location within the carrier. The carrier 200A is shown with a shell on the bottom surface for illustrative purposes, but in another embodiment the carrier may be on the top surface. Additional flow correction spaces and / or vanes (not shown) may be provided inside the tool to help maintain substantially smooth / laminar flow on the part. FIG. 6B shows the carrier 200B according to another exemplary embodiment. The carrier 200B may have a heat regulator 250 to keep the components in the chamber at different temperatures and then at ambient temperatures. For example, the carrier shell or wall 214B or 216B may have a thermoelectric module that is thermally connected to the component, for example via a cassette support, in order to heat / raise the component to a higher temperature than the surroundings. .. Part temperatures higher than the surroundings drive the particles and prevent water molecules from separating from the part by thermophoresis, preventing contamination when the part is outside the carrier or when the carrier door is open. In another embodiment, any other desired thermal regulator, such as microwave energy, may be used. In other other embodiments, an electrostatic field may be generated around each component to prevent contamination by water molecules and particulates.

ここで、図1A〜1Bを参照すると、例示的な実施形態では、カセット210(図1も参照)には、棚に360°の陽性保持で部品を支持するために、棚210Vが取り付けられていてもよい。それぞれの棚210Vは、1つ以上の棚席または支持体210Cによって形成されてもよい。図1Aに見られるように、例示的な実施形態では、カセット棚支持体210Cは、支持体が部品をほぼまたぐように設置されてもよい。それぞれの棚210Vは、棚に置かれた部品に対する周囲制限を形成するために、膨らんだ表面を有してもよい。膨らんだ表面には、部品Sを置くための位置付けガイド210Lを形成するために、傾いていてもよい(垂直線に対して)。棚210Vの部品が置かれる表面に傾斜をつけ(部品の底面に対、部品の底面に対して例えば約1°の傾斜角を形成するように)、例えば周囲除外領域内で部品の底面と確実に接触するようにしてもよい。別の実施形態では、部品棚は、受動的な部品抑制を画定するいかなる適した構成を有してもよい。その他の別の実施形態では、棚は、受動的な部品抑制を有さなくてもよい。 Here, with reference to FIGS. 1A-1B, in an exemplary embodiment, the cassette 210 (see also FIG. 1) is fitted with a shelf 210V to support the part on the shelf with a positive holding of 360 °. You may. Each shelf 210V may be formed by one or more shelf seats or supports 210C. As seen in FIG. 1A, in an exemplary embodiment, the cassette shelf support 210C may be installed such that the support substantially straddles the component. Each shelf 210V may have a bulging surface to form a perimeter limit for the parts placed on the shelf. The bulging surface may be tilted (relative to a vertical line) to form a positioning guide 210L for placing the component S. Slope the surface on which the part of the shelf 210V is placed (so that it forms an inclination angle of, for example, about 1 ° with respect to the bottom surface of the part), for example, to ensure that it is the bottom surface of the part within the surrounding exclusion area. May be contacted with. In another embodiment, the component shelves may have any suitable configuration that defines passive component containment. In other other embodiments, the shelves do not have to have passive component containment.

ここで、図7A〜7Bを参照すると、別の例示的な実施形態による、図1に示されるキャリア200と類似する、閉締および開口位置にあるキャリア200Cがそれぞれ示されている。本実施形態では、カセット210Bは、高さを変えることができる。キャリア200Bが閉締している場合、カセット210Bは、最小の高さであってもよく、キャリアドア(例えば壁216B)が開口している場合、カセットは最大の高さまで伸張されてもよい。カセットが最小の高さから最大の高さに伸張する場合、カセットの部品/棚間の傾斜が増加し、したがってアクセスされる場合のキャリアの高さが最小となり、部品間の空間が最大となるようにすることができる。本実施形態では、カセットの支持体210SBは、略ベローズ構造を有してもよい。支持体は、例えばアルミニウムシート、またはいずれかの他の適した材料(例えば形状記憶材料)から作製されてもよく、連接接合部なく、十分な柔軟性を与える。示されるように、カセットの支持体は、キャリアの壁216Bの上面で支持されてもよい。キャリアの上面開口(図7Bに示されるように壁216Bを取り外す)または底面開口(図2Bに示されるものと同様にシェル214Bを取り外す)は、カセット(ベローズ)支持体210SBを重力下で拡大する。カセットのベローズは、キャリアドアを閉締することによって圧縮される。図7Cに見られるように、ベローズ210SBは、上に部品が乗る部品支持体210VBを有してもよい。例示的な実施形態では、部品支持体210VBは、ベローズが拡大する/潰れる際に、ベローズの隣接部分210PBに対してほぼ一定の半径位置に留まる(したがって部品と部品席との間の相対的半径が移動するのを避ける)ように、成型されてもよい。理解され得るように、ベローズカセットは、カセット内の部品がベローズの隣接するプリーツセクション210PB間に能動的にクランプされるように、潰されてもよい。理解され得るように、上側クランプ部分は、部品の周辺端部に沿って部品と接触してもよい。図7Bに見られるように、例示的な実施形態では、カセットが伸張される場合に部品Sの位置を判断するために、通過ビームマッパー2060B、もしくはツールまたはキャリア内の他の適したデバイスが提供されてもよい。また部品ロボット(図示せず)は、部品を掴むための適切な位置決めを保証するために、部品の近接を検出するためのセンサを有してもよい。 Here, with reference to FIGS. 7A-7B, carriers 200C in closed and open positions, similar to the carrier 200 shown in FIG. 1, according to another exemplary embodiment, are shown, respectively. In this embodiment, the height of the cassette 210B can be changed. If the carrier 200B is closed, the cassette 210B may have a minimum height, and if the carrier door (eg, wall 216B) is open, the cassette may be extended to a maximum height. When the cassette extends from the minimum height to the maximum height, the slope between the parts / shelves of the cassette increases, thus minimizing the height of the carrier when accessed and maximizing the space between the parts. Can be done. In this embodiment, the cassette support 210SB may have a substantially bellows structure. The support may be made from, for example, an aluminum sheet, or any other suitable material (eg, a shape memory material), providing sufficient flexibility without articulated joints. As shown, the support of the cassette may be supported on the upper surface of the carrier wall 216B. The top opening (removing the wall 216B as shown in FIG. 7B) or the bottom opening (removing the shell 214B as shown in FIG. 2B) of the carrier expands the cassette (bellows) support 210SB under gravity. .. The bellows of the cassette is compressed by closing the carrier door. As seen in FIG. 7C, the bellows 210SB may have a component support 210VB on which the component rests. In an exemplary embodiment, the component support 210VB remains at a substantially constant radial position with respect to the adjacent portion 210PB of the bellows as the bellows expands / collapses (thus the relative radius between the component and the component seat). May be molded to avoid moving). As will be appreciated, the bellows cassette may be crushed such that the components within the cassette are actively clamped between adjacent pleated sections 210PB of the bellows. As will be appreciated, the upper clamp portion may contact the component along the peripheral edge of the component. As seen in FIG. 7B, in an exemplary embodiment, a passing beam mapper 2060B, or other suitable device within the tool or carrier, is provided to determine the position of the component S when the cassette is extended. May be done. The component robot (not shown) may also have a sensor for detecting the proximity of the component to ensure proper positioning for gripping the component.

前述したように、受動的なキャリアドアおよび封止を有するキャリアは、ロードロック等の真空可能チャンバへの直接接合に適している。図8は、別の例示的な実施形態による、真空可能チャンバ(簡便のためにロードロックと称される)400のポートの接合部分4010に直接結合されるキャリア200’(上面開口型)を示す。図8に示されるキャリア200’は、前述されたキャリア200、300と概して類似する。例示的な実施形態では、ロードロックは、ポートドア4014を開口/閉締し、したがってキャリアドア(本実施形態では上面壁216’)を開口/閉締し、カセット210’を上げる/下げるように動作するインデクサ410を有する。例示的な実施形態では、インデクサ410は、Z方向高さが低いまたは最小のロードロックチャンバを提供するように構成されてもよい。例えば、インデクサ410は、ロードロックチャンバ400Cの外側に置かれ、チャンバおよびロードロックの全体の高さを減少するようにロードロックチャンバに沿って配置されてもよい。例示的な実施形態では、インデクサ410は、駆動セクション412および連結セクション414を有してもよい。示される実施形態では、駆動セクション412は、例えばシャトル416を上げる/下げるためのモータ駆動ベルトまたはネジ駆動を有する電気機械駆動システムを有してもよい。例示的な実施形態では、連結セクション414は、駆動セクション上のシャトル416をポートドア4014に連結する磁気連結具であってもよい。ポートドアは、例えば磁石(永久または電磁石)またはその上に位置する磁性体であってもよく、磁気連結具414の内側部分414Iを形成する。また、ドア4014の磁石部分414Iは、ポートドアをポートフレーム4012に固定してもよい。例えば、ポートフレーム4012は、ポートドア上の磁石部分/磁石414Iとともに動作し、ドアが閉締位置にある場合にドアとポートを固定するように配置される適した磁石(図2Bの磁石2028’と類似する)を有してもよい。例示的な実施形態では、ポートフレーム内の磁気固定要素は、ドア4014上の磁気連結部分414Iとともに動作してもよい。別の実施形態では、ドアと駆動部との間の磁気連結具およびドアとフレームとの間の磁気固定具は、任意の適した構成を有してもよい。図8に見られるように、チャンバの壁400Wは、駆動セクション412をチャンバ400Cの内側から隔離する。その他の例示的な実施形態(図18〜19も参照)では、駆動セクション412’は、ポートドア4014’の反応部分414I’で動作し、ポートドアを移動するリニアモータ(例えば線形誘導モータ、LIM)であってもよい。LIMは、チャンバの壁の外側に位置し、チャンバの内側から隔離されてもよい。図18〜19に示される例示的な実施形態では、駆動部は、チャンバへの電力が停止した場合に、ポートドア4014’を開口位置に保持するためのフェールセーフ固定具を形成する磁性体セクション4122’、または永久磁石を含んでもよい。別の実施形態では、ポートドアを閉締位置に下げるための所望の制御を可能にするために、適した緩衝装置が駆動部に接続されてもよい。図8および18〜19から理解され得るように、例示的な実施形態では、ポートドアとポートフレームとの間の封止は、ドアの重量が接合部分の封止を助長するように位置される。 As mentioned above, carriers with passive carrier doors and seals are suitable for direct bonding to vacuum chambers such as load locks. FIG. 8 shows a carrier 200'(top opening type) that is directly coupled to the junction 4010 of the port of a vacuumable chamber (referred to as load lock for convenience) 400 according to another exemplary embodiment. .. The carrier 200'shown in FIG. 8 is generally similar to the carriers 200, 300 described above. In an exemplary embodiment, the load lock opens / closes the port door 4014 and thus opens / closes the carrier door (top wall 216'in this embodiment) and raises / lowers the cassette 210'. It has an indexer 410 that works. In an exemplary embodiment, the indexer 410 may be configured to provide a load lock chamber with a low or minimal Z-direction height. For example, the indexer 410 may be placed outside the load lock chamber 400C and along the load lock chamber to reduce the overall height of the chamber and load lock. In an exemplary embodiment, the indexer 410 may have a driving section 412 and a connecting section 414. In the embodiments shown, the drive section 412 may have, for example, an electromechanical drive system with a motor drive belt or screw drive for raising / lowering the shuttle 416. In an exemplary embodiment, the connecting section 414 may be a magnetic coupling that connects the shuttle 416 on the drive section to the port door 4014. The port door may be, for example, a magnet (permanent or electromagnet) or a magnetic material located on it, forming the inner portion 414I of the magnetic connector 414. Further, the magnet portion 414I of the door 4014 may fix the port door to the port frame 4012. For example, the port frame 4012 works with the magnet portion / magnet 414I on the port door and is a suitable magnet arranged to secure the door to the port when the door is in the closed position (magnet 2028'in FIG. 2B). (Similar to). In an exemplary embodiment, the magnetic fixing element within the port frame may operate with the magnetic coupling portion 414I on the door 4014. In another embodiment, the magnetic connector between the door and the drive and the magnetic fixture between the door and the frame may have any suitable configuration. As seen in FIG. 8, the chamber wall 400W isolates the drive section 412 from the inside of the chamber 400C. In another exemplary embodiment (see also FIGS. 18-19), the drive section 412'operates on the reaction portion 414I' of the port door 4014' and moves the port door with a linear motor (eg, a linear induction motor, LIM). ) May be. The LIM may be located outside the walls of the chamber and isolated from the inside of the chamber. In an exemplary embodiment shown in FIGS. 18-19, the drive unit is a magnetic section that forms a fail-safe fixture to hold the port door 4014'in the open position when power to the chamber is stopped. It may include 4122', or a permanent magnet. In another embodiment, a suitable shock absorber may be connected to the drive to allow the desired control for lowering the port door to the closed position. As can be seen from FIGS. 8 and 18-19, in an exemplary embodiment, the seal between the port door and the port frame is positioned such that the weight of the door facilitates the seal of the joint. ..

また、図8に示される例示的な実施形態では、磁気連結具のそれぞれのセクション414Iは、ポートドア4014およびキャリアドア216’を互いに固定してもよい。例えば、キャリアドアは、ポートおよびキャリアドアを互いに固定するように作動される際に、連結セクション414I(例えば可変磁場を有する電磁石、または磁石を含んでもよい)と連動するように置かれる、適した磁石(例えば永久磁石)または磁性体228’を含んでもよい。例示的な実施形態では、ポートドアの運動は、同様にチャンバから隔離されるガイドによって誘導されてもよい。例えば、示される実施形態では、ベローズ400Bは、ポートドアとチャンバの壁を接続し、ポートドアの移動ガイド4006をチャンバから隔離する。本実施形態では、一般的にガイドは、テレスコーピングセクションを有する。テレスコーピングガイドは、例示目的のために中空円筒型テレスコーピングセクションから作製されるように示されるが、別の実施形態では、任意の適した構成を有してもよい。その他の別の実施形態では、インデクサは、その他のあらゆる所望の構成を有してもよい。例えば、ポートドアへの機械的誘導なく、ポートドアの制御移動を可能にする、参照することによりその全体が本明細書に組み込まれる2003年7月22日に出願された米国特許出願第10/624、987号に開示されるもの等、適したインデクシングモータがチャンバの壁内に位置してもよいが、チャンバの内側から隔離される。ベローズ400Bは、ポートドアの閉締を助長するために、加圧型であってもよい。また、ベローズは、ポートドアに接続される真空ライン、および電力/信号ライン等のアンビリカルシステムを収容してもよい。例示的な実施形態では、ポートドアは、以下にさらに記載されるように、チャンバのポンプダウンポートを形成する真空源に接続されるポートPD10を有してもよい。 Also, in the exemplary embodiment shown in FIG. 8, each section 414I of the magnetic connector may secure the port door 4014 and the carrier door 216'to each other. For example, the carrier door is suitable to be placed in conjunction with a connecting section 414I (eg, an electromagnet with a variable magnetic field, or may include a magnet) when actuating to secure the port and carrier door to each other. It may include a magnet (eg, a permanent magnet) or a magnetic material 228'. In an exemplary embodiment, the movement of the port door may be guided by a guide that is also isolated from the chamber. For example, in the embodiment shown, the bellows 400B connects the port door to the wall of the chamber and isolates the port door movement guide 4006 from the chamber. In this embodiment, the guide generally has a telescoping section. The telescoping guide is shown to be made from a hollow cylindrical telescoping section for illustrative purposes, but in other embodiments it may have any suitable configuration. In other other embodiments, the indexer may have any other desired configuration. For example, U.S. Patent Application No. 10 /, filed July 22, 2003, which allows for controlled movement of a port door without mechanical guidance to the port door, which is incorporated herein by reference in its entirety. Suitable indexing motors, such as those disclosed in 624, 987, may be located within the walls of the chamber, but are isolated from the inside of the chamber. The bellows 400B may be pressurized to facilitate the closing of the port door. The bellows may also accommodate an umbilical system such as a vacuum line connected to the port door and a power / signal line. In an exemplary embodiment, the port door may have a port PD10 connected to a vacuum source forming a pump down port for the chamber, as further described below.

ここで、図9を参照すると、別の例示的な実施形態による、真空チャンバ400’上のキャリア300’が示されている。示される例示的な実施形態では、キャリア300’は、底面開口型キャリア(例えば前述されたキャリア300と類似する、図3も参照)であってもよい。例示的な実施形態では、ポートドア4014’は、開口される際、チャンバ内に下げられてもよい。インデクサ(図示せず)は、図8、18〜19に示されるものと類似するが、ポートドアを下方に移動するように配置される。チャンバおよびポートドアは、閉締位置にあるドアをチャンバフレームに固定するために、磁気固定具4028’、4026’を有してもよい。例示的な実施形態では、ポートフレームは、1つ以上のコイル要素4028’(磁気固定具のフレームの側部と称される場合があるものを画定する)を有してもよい。コイル要素4028’は、所望の位置に置いてもよく、ドア固定具構成要素4026’上で作用する磁場を生成してもよい。ドア上の磁気固定具構成要素4026’は永久磁石であっても磁性体であってもよい。例示的な実施形態では、コイル要素4028’は、例示目的のためにチャンバ内に位置するように示される。別の実施形態では、コイル要素は、外側に位置してもよい。チャンバの壁は、チャンバの内側から隔離される。コイル要素は、フレームに対して固定または静止されてもよい。磁場強度は、磁気固定具内の磁力を低減し、ポートドアの移動を容易にするために、所望により低減されてもよい。別の実施形態では、コイル要素は、可動式であってもよく、例えば駆動システムのシャトルに搭載され、ポートドアとインデクサとの間の磁気連結具の一部を形成してもよい。別の実施形態では、磁気固定具は、前述されたキャリアドアとキャリアを固定するためのものと類似していてもよい。また、フレームに磁気固定するポートドア4014’上の永久磁石または磁性体4026’は、図8に示されるものと同様にインデクサとの連結具を提供してもよい。また、図9に示される実施形態のチャンバも、図8に示されるものと類似するベローズおよびポートドアガイドを有してもよい。ベローズは、特にキャリアドアおよびカセットがポートドア上に置かれる場合、ポートドアの持ち上げを助長し、閉締位置に維持するために、加圧型であってもよい。別の実施形態では、チャンバは、中にポートドアガイドのないベローズを有してもよい。真空器は、ポートドアとキャリアドアの接合部分を通してチャンバをポンプダウンするように、ポートドアに接続されてもよい。したがって、図8に示される例示的な実施形態のように、例示的な実施形態では、チャンバポンプダウンポートは、ポートドア内に置かれてもよい。 Here, with reference to FIG. 9, the carrier 300'on the vacuum chamber 400'is shown according to another exemplary embodiment. In the exemplary embodiment shown, the carrier 300'may be a bottom open carrier (eg, similar to the carrier 300 described above, see also FIG. 3). In an exemplary embodiment, the port door 4014'may be lowered into the chamber when opened. The indexer (not shown) is similar to that shown in FIGS. 8, 18-19, but is arranged to move the port door downwards. The chamber and port doors may have magnetic fixtures 4028', 4026' to secure the door in the closed position to the chamber frame. In an exemplary embodiment, the port frame may have one or more coil elements 4028'(defining what is sometimes referred to as the side of the frame of the magnetic fixture). The coil element 4028'may be placed in a desired position or may generate a magnetic field acting on the door fixture component 4026'. The magnetic fixture component 4026'on the door may be a permanent magnet or a magnetic material. In an exemplary embodiment, the coil element 4028'is shown to be located within the chamber for exemplary purposes. In another embodiment, the coil element may be located on the outside. The walls of the chamber are isolated from the inside of the chamber. The coil elements may be fixed or stationary with respect to the frame. The magnetic field strength may be reduced if desired in order to reduce the magnetic force within the magnetic fixture and facilitate the movement of the port door. In another embodiment, the coil element may be movable, eg, mounted on the shuttle of the drive system, to form part of a magnetic connector between the port door and the indexer. In another embodiment, the magnetic fixture may be similar to that for fixing the carrier door and carrier described above. Further, the permanent magnet or magnetic body 4026'on the port door 4014' that is magnetically fixed to the frame may provide a connector with an indexer in the same manner as that shown in FIG. The chamber of the embodiment shown in FIG. 9 may also have bellows and port door guides similar to those shown in FIG. The bellows may be pressurized to facilitate lifting of the port door and keep it in the closed position, especially when the carrier door and cassette are placed on the port door. In another embodiment, the chamber may have a bellows with no port door guide inside. The vacuum device may be connected to the port door so as to pump down the chamber through the junction of the port door and the carrier door. Therefore, in an exemplary embodiment, such as the exemplary embodiment shown in FIG. 8, the chamber pump down port may be located within the port door.

再び図8を参照すると、例示的な実施形態では、ロードロックチャンバのポンプダウンは、例えばチャンバポートに接合されるキャリアおよびインデクサ410によって閉締位置から移動されるポートドアとともに機能してもよい。図8から理解され得るように、例示的な実施形態では、ポートドア内の真空ポートPD10を介するロードロックチャンバのポンプダウンは、キャリアドア216’とポートドア4014の接合部分を通過してもよい。キャリアドアとポートドアの接合部分を通るチャンバ/キャリアガスの吸引流は、接合部分に負の圧力を生成し、汚染物質がチャンバ内に不慮に逃げ込むのを防ぐ。図10は、別の例示的な実施形態による、ポートドア5014を通るロードロックチャンバのポンプダウンを図示する。本実施形態では、ポートドアとキャリアドアの空間5430、およびキャリアのチャンバ202のパージは、ロードロックチャンバのポンプダウンの前に
行われてもよい。例えば、パージガスは、真空を適用し、ポートドアとポートの封止5223に亀裂を入れる(または適した弁調整)ことによって、空間5430に導入されてもよい。キャリア200は、キャリアドア216に亀裂を入れてロードロックチャンバ5400のガスがキャリアに入れるようにすることによって、またはこの場合もやはり適した弁調整によって、パージされてもよい。例えば、所望のガス種をキャリア200に導入するために、チャンバ(図10のファントム内に示される)からのガス供給がキャリアに提供されてもよい。ポートドアおよびキャリアドアが開口位置に移動されたロードロックチャンバ5400およびキャリア200を図示する図10Aに見られるように、ロードロックチャンバ5400は、ロードロックチャンバを通気するために、所望によりロードロックの壁に配置される通気口(またはガス種供給)5440を有してもよい。したがって、例示的な実施形態では、パージラインは、パージングに使用されてもよく、チャンバの通気は、キャリアドアとポートドアの接合部分から独立して実行されてもよい。
With reference to FIG. 8 again, in an exemplary embodiment, the pump down of the load lock chamber may function with, for example, a carrier joined to the chamber port and a port door moved from the closed position by the indexer 410. As can be seen from FIG. 8, in an exemplary embodiment, the pump down of the load lock chamber via the vacuum port PD10 in the port door may pass through the junction of the carrier door 216'and the port door 4014. .. The suction flow of chamber / carrier gas through the joint between the carrier door and the port door creates a negative pressure at the joint, preventing contaminants from accidentally escaping into the chamber. FIG. 10 illustrates pumping down of a load lock chamber through port door 5014 according to another exemplary embodiment. In this embodiment, the port door and carrier door space 5430 and the carrier chamber 202 may be purged prior to pumping down the load lock chamber. For example, the purge gas may be introduced into space 5430 by applying a vacuum and cracking (or suitable valve adjustment) the port door and port seal 5223. The carrier 200 may be purged by cracking the carrier door 216 to allow gas from the load lock chamber 5400 to enter the carrier, or again by suitable valve adjustment. For example, a gas supply from the chamber (shown in the phantom of FIG. 10) may be provided to the carrier in order to introduce the desired gas species into the carrier 200. As seen in FIG. 10A, which illustrates the load lock chamber 5400 and carrier 200 with the port door and carrier door moved to the open position, the load lock chamber 5400 is optionally of a load lock to ventilate the load lock chamber. It may have a vent (or gas type supply) 5440 arranged on the wall. Thus, in an exemplary embodiment, the purge line may be used for parsing and chamber ventilation may be performed independently of the carrier door-port door junction.

図11は、キャリアドア316Aおよびポートドア6414のそれぞれが、キャリアドアとキャリア3140、およびポートドアとポート6412またはチャンバ6400Dをそれぞれ固定する機械的「フェールセーフ」固定具を有する、例示的な実施形態を図示する。キャリア314D、キャリアドア316D、ポート6412、およびポートドア6414は、受動的(連接固定パーツがない)であってもよい。本実施形態では、インデクサは、ポートドアおよびキャリアドア上の固定タブを嵌合/解放するために、ポートドアのZ軸インデクシングおよびポートドアの回転(例えばZ軸の周囲で)の両方を行うことができてもよい。別の実施形態では、ポートドアのZ軸移動および回転は、異なる駆動軸を介して提供されてもよい。図12A〜12Bは、キャリアのシェル314Dおよびキャリアドア316Dの底面図をそれぞれ示す。図13A〜13Bは、(ロードロック)チャンバ6400およびポートドア6414内のポート6412の上平面図をそれぞれ示す。例示的な実施形態では、キャリアのシェルの下面は、キャリアドア316D上の嵌合面362Dによって嵌合される嵌合タブ/表面360Dを有する。理解され得るように、嵌合面360D、362D間の嵌合/解放は、キャリア314Dに対するキャリアドアの回転によって成立してもよい。キャリアドアの回転は、以下に記載されるように、ポートドア6414によって与えられる。別の実施形態では、ドアとキャリアとの間の嵌合面は、いかなる所望の構成を有してもよい。キャリアドア316Dは、キャリアドア6414T上のトルク連結部材を補う雄/雌トルク連結機構365Dを有してもよい。示される例示的な実施形態では、ポート6412およびポートドア6414は、概してキャリアおよびキャリアドアの嵌合機能と類似するインターロッキングまたは嵌合面を有してもよい。図13A、13Bに最もよく見られるように、ポートは、嵌合面6460(例えば内側に突き出る)を有してもよく、ポートドア6414は、ポート表面6460に重なり、それと嵌合するために、補完嵌合面6462を有してもよい。理解され得るように、例示的な実施形態では、キャリア上の嵌合面3600、3620、およびポート上の嵌合面6460、6462は、互いに関連して位置し、ポートドアが回転される際に、キャリアとキャリアドアおよびポートとポートドアとの間の嵌合/解放を同時に行えるようにする。 FIG. 11 is an exemplary embodiment, wherein each of the carrier door 316A and the port door 6414 has a mechanical "fail-safe" fixture that secures the carrier door and the carrier 3140, and the port door and the port 6412 or the chamber 6400D, respectively. Is illustrated. The carrier 314D, carrier door 316D, port 6412, and port door 6414 may be passive (without articulated fixing parts). In this embodiment, the indexer performs both Z-axis indexing of the port door and rotation of the port door (eg, around the Z-axis) to fit / release the fixed tabs on the port door and carrier door. May be possible. In another embodiment, Z-axis movement and rotation of the port door may be provided via different drive shafts. 12A-12B show bottom views of the carrier shell 314D and carrier door 316D, respectively. 13A-13B show top plans of the (load lock) chamber 6400 and port 6412 in the port door 6414, respectively. In an exemplary embodiment, the lower surface of the carrier shell has a fitting tab / surface 360D fitted by a fitting surface 362D on the carrier door 316D. As can be understood, the fit / release between the fitting surfaces 360D, 362D may be achieved by rotation of the carrier door with respect to the carrier 314D. The rotation of the carrier door is provided by the port door 6414 as described below. In another embodiment, the mating surface between the door and the carrier may have any desired configuration. The carrier door 316D may have a male / female torque coupling mechanism 365D that supplements the torque coupling member on the carrier door 6414T. In the exemplary embodiments shown, port 6412 and port door 6414 may have interlocking or fitting surfaces that are generally similar to the carrier and carrier door fitting function. As most commonly seen in FIGS. 13A, 13B, the port may have a mating surface 6460 (eg, protruding inward), the port door 6414 overlapping and mating with the port surface 6460. It may have a complementary fitting surface 6462. As will be appreciated, in an exemplary embodiment, the mating surfaces 3600, 3620 on the carrier and the mating surfaces 6460, 6462 on the port are located relative to each other as the port door is rotated. , Allows simultaneous mating / releasing between carrier and carrier door and port and port door.

図14は、ロードロックチャンバ400E、インデクサ6410E、およびキャリア300Eを図示する。例示的な実施形態では、インデクサは、ロードロックチャンバと直列に実質的に軸方向に位置されてもよい。ポッド200、300、3000と同様に、図4に示される例示的な実施形態では、ポッド300Eは、前述されたものと類似する機構を有する、真空適合型の上面または底面開口ポッドであってもよい。チャンバ6400Eは、前述されたチャンバと類似してもよい。図15は、低減ポンプダウン容量構成を有するロードロックチャンバおよびキャリア300Fを示す。示される例示的な実施形態では、キャリアドア316Fは、キャリアのシェル314Fを封止する上面350Fおよび底面321Fドアを有してもよい。底面封止3270F(例えば、封止221と類似する)は、キャリアドアが閉締される際、図15に示されるように、シェル314Fと嵌合する。
上面封止350Fは、キャリアドアが開口される際、キャリアのシェルを封止する(例えば、封止350Fは、キャリアシート表面351Fに置かれ、それを封止してもよい)。上面封止350Fは、キャリアのチャンバをロードロックチャンバから隔離し、したがってポンプでロードロックチャンバを真空にする際のポンプダウン容量を減少する。
FIG. 14 illustrates a load lock chamber 400E, an indexer 6410E, and a carrier 300E. In an exemplary embodiment, the indexer may be positioned substantially axially in series with the load lock chamber. Similar to the pods 200, 300, 3000, in the exemplary embodiment shown in FIG. 4, the pod 300E may be a vacuum compatible top or bottom opening pod having a mechanism similar to that described above. Good. The chamber 6400E may be similar to the chamber described above. FIG. 15 shows a load lock chamber and carrier 300F with a reduced pump down capacitance configuration. In the exemplary embodiment shown, the carrier door 316F may have a top 350F and bottom 321F doors that seal the carrier shell 314F. The bottom seal 3270F (similar to, for example, seal 221) fits with the shell 314F as shown in FIG. 15 when the carrier door is closed.
The top seal 350F seals the shell of the carrier when the carrier door is opened (eg, the seal 350F may be placed on the carrier sheet surface 351F and seal it). The top-sealed 350F isolates the carrier chamber from the load lock chamber and thus reduces the pump down capacity when the load lock chamber is evacuated by the pump.

図16A〜16Bは、別の例示的な実施形態による、ドック位置および非ドック位置のキャリア300Gおよびロードロックチャンバ6400Gをそれぞれ示す。キャリア300Gは、底面壁316G、環状セクション314G、および上面壁314PDを有する。本実施形態では、環状セクション314Gまたはその1つ以上の部分は、キャリアドアとして動作してもよい。上面および底面壁316G、314PDは、互いに固定されてもよく、ドアを画定する可動式セクション314Gは、上面および底面壁316G、314PDをそれぞれ封止するための封止350G、321Gを上面および底面の両方に有してもよい。ロードロックチャンバ6400Gは、開口ポート6402Gを有してもよく、図16Bに見られるように、キャリア300Gは、そこを通ってロードロックチャンバに置かれてもよい。ロードロックチャンバ6400Gは、キャリアへのアクセスを開くためにキャリアドア314Gを下げるための陥凹部6470Gを有してもよい。キャリアの上面壁314PDは、ロードロックチャンバポートを封止し、それによってロードロックチャンバを封止し、チャンバをポンプダウンできるようにしてもよい。キャリアドア314Gを上げる/下げるために、適したエレベータが提供されてもよい。図17〜17Cは、別の例示的な実施形態による、別の上面封止キャリア300Hおよびロードロックチャンバ6400Hを示す。キャリア300Hは、上面封止フランジ314Hおよび側面開口部304H(部品を積み込み/取り出しするキャリア端部に沿って)を有してもよい。例示的な実施形態では、キャリア上面封止フランジ314Hは、図17Bに最もよく示されるように、チャンバポートの縁6412Hに置かれ、それを封止する。キャリアドア314DRは、図17の矢印0で示される、放射線状に外側に向かう回転運動によって開口されてもよい。キャリア開口部は、ロードロックチャンバ内のスロット弁と並んで配置される。ロードロックチャンバを参照して例示的な実施形態が記載されてきたが、記載される機構は、図18に示されるように、ロードポートチャンバに対しても同等に適用される。ロードポートチャンバの内部は、制御雰囲気を有する場合があるが、分離不可能である場合がある。 16A-16B show the docked and non-docked carrier 300G and load lock chamber 6400G, respectively, according to another exemplary embodiment. The carrier 300G has a bottom wall 316G, an annular section 314G, and a top wall 314PD. In this embodiment, the annular section 314G or one or more portions thereof may operate as a carrier door. The top and bottom walls 316G and 314PD may be fixed to each other, and the movable section 314G defining the door has top and bottom sealing 350G and 321G for sealing the top and bottom walls 316G and 314PD, respectively. It may have both. The load lock chamber 6400G may have an opening port 6402G, through which the carrier 300G may be placed in the load lock chamber, as seen in FIG. 16B. The load lock chamber 6400G may have a recess 6470G for lowering the carrier door 314G to open access to the carrier. The top wall 314PD of the carrier may seal the load lock chamber port, thereby sealing the load lock chamber and allowing the chamber to be pumped down. A suitable elevator may be provided to raise / lower the carrier door 314G. 17-17C show another top sealing carrier 300H and load lock chamber 6400H according to another exemplary embodiment. The carrier 300H may have a top sealing flange 314H and a side opening 304H (along the carrier end for loading / unloading parts). In an exemplary embodiment, the carrier top sealing flange 314H is placed on the edge 6412H of the chamber port and seals it, as best shown in FIG. 17B. The carrier door 314DR may be opened by a radial outward rotational motion, as shown by arrow 0 in FIG. The carrier opening is arranged alongside the slot valve in the load lock chamber. Although exemplary embodiments have been described with reference to load lock chambers, the mechanisms described are equally applicable to load port chambers, as shown in FIG. The interior of the load port chamber may have a control atmosphere, but may be inseparable.

図29Aおよび29Bを参照すると、別の例示的な実施形態による、自動材料ハンドリングシステム10、10’の概略平面図が示されている。例えば図29Aおよび29Bに示される自動材料ハンドリングシステム10、10’は、一般的に、1つ以上のイントラベイ搬送システムのセクション15、1つ以上のインターベイ搬送システムのセクション20、ベイ待ち行列セクション35、搬送サイディングまたはシャントセクション25、および部品キャリアまたは搬送機を含む。イントラベイおよびインターベイという用語は、便宜上使用され、搬送システム10110’の配置を制限するものではない(本明細書で使用される場合、インターは、概して多数のグループにわたり延在するセクションを指し、イントラは、概して例えばグループ内に延在するセクションを指す)。搬送システムのセクション15、20、25、35は、互いにネスト化されてもよく(すなわち別の搬送ループ内の1つの搬送ループ)、一般的に、例えば、200mmのウェハ、300mmのウェハ、フラットディスプレイパネル、および類似アイテム等の半導体部品の高速移動、ならびに/または例えば処理設備内の処理ベイ45および関連処理ツール30へ、およびそこからのそれらのキャリアの高速移送を可能にするように配置される。別の実施形態では、適した材料が自動材料ハンドリングシステムで運搬されてもよい。搬送システム10は、部品をある搬送セクションからいずれかの別の搬送セクションに向かせることもできる。インターベイおよびイントラベイの分岐を有する、部品を搬送するための自動材料ハンドリングシステの一実施例は、参照することによりその全体が本明細書に前述のように組み込まれる、名称が「Automated Material Handling System」であり、シリアル番号第10/697,528号の米国特許出願に見られる。 With reference to FIGS. 29A and 29B, schematic plan views of the automated material handling systems 10, 10', according to another exemplary embodiment, are shown. For example, the automated material handling systems 10 and 10'shown in FIGS. 29A and 29B generally include sections 15 of one or more intrabay transfer systems, section 20 of one or more interbay transfer systems, and bay queue sections. 35, transfer siding or shunt section 25, and component carrier or transfer machine. The terms intrabay and interbay are used for convenience and do not limit the placement of transport system 10110'(as used herein, inter refers to sections that generally extend across a large number of groups. Intra generally refers to a section that extends within a group, for example). Sections 15, 20, 25, 35 of the transfer system may be nested together (ie, one transfer loop within another transfer loop) and generally, for example, a 200 mm wafer, a 300 mm wafer, a flat display. Arranged to allow high-speed movement of semiconductor components such as panels and similar items, and / or high-speed transfer of their carriers to and / or, for example, processing bays 45 and related processing tools 30 within processing equipment. .. In another embodiment, suitable materials may be transported in an automated material handling system. The transport system 10 can also direct parts from one transport section to any other transport section. An embodiment of an automated material handling system for transporting parts with interbay and intrabay branches, which is incorporated herein by reference in its entirety, is referred to as "Automated Material Handling." "System", found in the US patent application with serial number 10/697,528.

図29Aおよび29Bに示される自動材料ハンドリングシステム10、10’の構成は、代表的な構成であり、自動材料ハンドリングシステム10、10’は、処理設備内の処理ベイおよび/または処理ツールのいかなる所望のレイアウトにも対応するために、いかなる適した構成で配置されてもよい。図29Aに見られるように、例示的な実施形態では、インターベイ搬送セクション15は、1つ以上の側面に位置し、いかなる数の搬送セクション20によって互いに接続され、例えば1つ以上の処理ベイ45に対応してもよい。別の実施形態では、外側または側面搬送セクションは、イントラベイセクションであってもよく、その間を縦走するセクションは、イントラベイセクションをベイ内の処理ツールのグループまたはアレイにリンクしてもよい。例示的な実施形態では、また図29Aのインターベイ搬送セクション15は、クロスシャント50によって接続されてもよく、これは、処理または加工ベイ45を通過することなく、部品搬送機が直接インターベイ搬送セクション15間を移動できるようにする。さらに他の別の実施形態では、搬送セクション15は、追加のイントラベイ搬送セクション(図示せず)によって互いに接続されてもよい。その他の例示的な実施形態では、図29Bに示されるように、インターベイ搬送セクション15は、いかなる数の処理ベイ45間に位置してもよく、したがって、分岐セクション間に、ベイまたはツールのグループ45としての役割をする、例えば概して中央の小島または搬送中央経路を形成してもよい。その他の別の実施形態では、イントラベイ搬送セクションは、周囲に境界線を形成し、いかなる数の処理ベイ45を取り囲んでもよい。さらに他の別の実施形態では、図29Aおよび29Bに示されるようなシステム10または10’等のシステムをN個等、いかなる数のネスト化されたループセクションが存在しもよく、それぞれのインターベイ搬送セクション15を直接接続する搬送セクションによってほぼ平行に接続されてもよい。さらに他の別の実施形態では、搬送セクション15、20、および処理ツールは、いかなる適した構成を有してもよい。さらに、いかなる数のイントラベイ/インターベイシステムがいかなる適した構成でともに結合され、ネスト化された処理アレイを形成してもよい。 The configurations of the automated material handling systems 10 and 10'shown in FIGS. 29A and 29B are representative configurations, wherein the automated material handling systems 10, 10'are any desired of the processing bays and / or processing tools in the processing equipment. It may be arranged in any suitable configuration to accommodate the layout of. As seen in FIG. 29A, in an exemplary embodiment, the interbay transport sections 15 are located on one or more sides and are connected to each other by any number of transport sections 20, eg, one or more processing bays 45. May correspond to. In another embodiment, the outer or side transport section may be an intrabay section, and the section traversing between them may link the intrabay section to a group or array of processing tools in the bay. In an exemplary embodiment, the interbay transfer section 15 of FIG. 29A may also be connected by a cross shunt 50, which allows the parts transfer machine to directly interbay transfer without passing through a processing or processing bay 45. Allows you to move between sections 15. In yet another embodiment, the transport sections 15 may be connected to each other by an additional intrabay transport section (not shown). In another exemplary embodiment, as shown in FIG. 29B, the interbay transfer section 15 may be located between any number of processing bays 45, and thus a group of bays or tools between the branch sections. It may form, for example, a generally central islet or transport central route that acts as a 45. In another other embodiment, the intrabay transport section may form a border around it and surround any number of processing bays 45. In yet another embodiment, there may be any number of nested loop sections, such as N systems such as systems 10 or 10'as shown in FIGS. 29A and 29B, each interbaying. It may be connected substantially in parallel by a transport section that directly connects the transport sections 15. In yet another embodiment, the transport sections 15, 20, and the processing tool may have any suitable configuration. In addition, any number of intrabay / interbay systems may be combined together in any suitable configuration to form a nested processing array.

例えば、インターベイ搬送セクション15は、いかなる適した部品搬送機の移動を提供するモジュラートラックシステムであってもよい。トラックシステムのそれぞれのモジュールは、適した結合手段(例えばインターロッキングファセット、機械的ファスナー)とともに提供され、モジュールが、イントラベイ搬送セクション15の取り付け中に互いの端部と端部を結合できるようにしてもよい。レールモジュールは、取り付け中のハンドリングおよび構成の柔軟性のために、数フィート等のいかなる適した長さ、または直線または曲線等のいかなる適した形状で提供されてもよい。トラックシステムは、下から部品搬送機を支持してもよく、または別の実施形態では、トラックシステムは、吊るされたトラックシステムであってもよい。部品搬送機がローラー上で大幅な抵抗を受けることなくトラックに沿って移動できるようにするために、トラックシステムは、ローラーベアリングまたはいずれかの他の適したベアリング面を有してもよい。部品コンテナがトラックに沿って移動する際にさらなる方向安定性を提供するために、ローラーベアリングが先細になっていてもよく、またはタックが曲線の内側もしくはトラックの角に向かって角度が付いていてもよい。 For example, the interbay transport section 15 may be a modular truck system that provides the movement of any suitable component transporter. Each module of the truck system is provided with suitable coupling means (eg, interlocking facets, mechanical fasteners) to allow the modules to couple ends to each other during installation of the intrabay transfer section 15. You may. Rail modules may be provided in any suitable length, such as a few feet, or in any suitable shape, such as straight or curved, for handling and configuration flexibility during installation. The truck system may support the parts carrier from below, or in another embodiment, the truck system may be a suspended truck system. The track system may have roller bearings or any other suitable bearing surface to allow the parts carrier to move along the track without subject to significant resistance on the rollers. Roller bearings may be tapered to provide additional directional stability as the parts container moves along the track, or the tack may be angled inside the curve or towards the corners of the track. May be good.

イントラベイ搬送セクション15は、コンベヤ系搬送システム、ケーブルおよび滑車または鎖およびスプロケット系搬送システム、車輪駆動システム、もしくは磁気誘導系搬送システムであってもよい。搬送システムを駆動するために使用されるモータは、イントラベイ搬送セクション15に沿って部品コンテナを移動することができ、無限に進行する、いかなる適したリニアモータであってもよい。リニアモータは、移動パーツのない、ソリッドステートのモータであってもよい。例えば、リニアモータは、ブラシ付またはブラシレスACもしくはDCモータ、線形誘導モータ、あるいは線形ステッパーモータであってもよい。リニアモータは、イントラベイ搬送セクション15または部品搬送機、もしくはコンテナ自体に組み込まれてもよい。別の実施形態では、イントラベイ搬送システムを通る部品搬送機を駆動するために、いかなる適した駆動手段が組み込まれてもよい。さらに他の別の実施形態では、イントラベイ搬送システムは、トラックのない車輪付自立型搬送運搬車の通路であってもよい。 The intrabay transfer section 15 may be a conveyor system transfer system, a cable and pulley or chain and sprocket system transfer system, a wheel drive system, or a magnetic induction system transfer system. The motor used to drive the transfer system may be any suitable linear motor capable of moving the parts container along the intrabay transfer section 15 and traveling indefinitely. The linear motor may be a solid-state motor with no moving parts. For example, the linear motor may be a brushed or brushless AC or DC motor, a linear induction motor, or a linear stepper motor. The linear motor may be incorporated into the intrabay transfer section 15 or the parts transfer machine, or the container itself. In another embodiment, any suitable drive means may be incorporated to drive the component transfer machine through the intrabay transfer system. In yet another embodiment, the intrabay transport system may be the aisle of a self-contained transport vehicle with wheels without a truck.

以下に記載されるように、一般的にイントラベイ搬送セクション15は、待ち行列セクションおよびシャントを使用することによって、部品搬送機がイントラベイ搬送セクション15の通路に沿って途切れることなく高速に移動するまたは流れることを可能にする。これは、搬送コンテナが追加されるまたは搬送ラインから取り外される際に、材料の流れを停止しなければならない従来の搬送システムと比較し、非常に有利である。 As described below, the intrabay transport section 15 generally uses a queue section and a shunt to allow the parts carrier to move at high speed uninterrupted along the aisle of the intrabay transport section 15. Or allow it to flow. This is a great advantage compared to traditional transport systems where the flow of material must be stopped when a transport container is added or removed from the transport line.

前述されたように、例示的な実施形態では、イントラベイ搬送セクション20は、処理または加工ベイ45を画定してもよく、待ち行列セクション35を通ってインターベイ搬送セクション15に接続されてもよい。待ち行列セクション35は、例えばインターベイまたはイントラベイ搬送セクション15、20のいずれかの側面に位置し、インターベイ搬送セクション15に沿った材料の流れまたはイントラベイ搬送セクション20に沿った材料の流れのいずれも停止もしくは減速することなく、部品または部品コンテナがイントラベイ搬送セクション20に入る/から出ることができるようにしてもよい。例示的な実施形態では、待ち行列セクション35は、搬送セクション15、20からの不連続なセクションとして概略的に示される。別の実施形態では、待ち行列セクション、または搬送セクション15、20間の待ち行列通路は、搬送セクションと一体化して形成されてもよいが、搬送セクション間の搬送通路に不連続な待ち行列を画定する。別の実施形態では、待ち行列は、所望により、インターベイおよびイントラベイセクションに置かれてもよい。進行レーンおよびアクセスまたは待ち行列レーンを有し、進行レーンに障害を与えることなく、進行レーン内外に選択的にアクセスできるようにする搬送システムの一実施例は、名称「Transportation System」、シリアル番号第11/211,236号の米国特許出願に記載され、その全体は、参照することより本明細書に前述のように組み込まれている。イントラベイ搬送セクション20および待ち行列セクション35は、上記のインターベイ搬送セクション15で記載されたものとほぼ類似するトラックシステムを有してもよい。別の実施形態では、イントラおよびインター搬送セクションを結ぶイントラベイ搬送セクションならびに待ち行列セクションは、いかなる適した構成、形状または形態を有してもよく、いかなる適した方法で駆動されてもよい。図29Aに最もよく見られるように、例示的な実施形態では、待ち行列セクション35は、イントラベイおよびインターベイ搬送セクション20、15の移動方向R1、R2に対応する投入セクション35Aならびに取出セクション35Bを有してもよい。例示目的のために使用される本明細書の慣習上、セクション35Aをセクション20への投入口(セクション15から出る)として定義し、セクション35Bをセクション20(セクション15への投入口)からの出口/取出口として定義する。別の実施形態では、所望により、待ち行列セクションの進行方向が確立されてもよい。以下に、より詳細に記載されるように、部品コンテナは、投入セクション35Aを介してインターベイ搬送セクション15から出て、取出セクション35Bを介してインターベイ搬送セクション15へ入ってもよい。待ち行列セクション35は、搬送セクション15、20内外での部品搬送機の出入を可能にする、いかなる適した長さであってもよい。 As mentioned above, in an exemplary embodiment, the intrabay transfer section 20 may define a processing or processing bay 45 or may be connected to an interbay transfer section 15 through a queue section 35. .. The queue section 35 is located, for example, on either side of the interbay or intrabay transfer sections 15 and 20 and is a flow of material along the interbay transfer section 15 or a flow of material along the intrabay transfer section 20. Either part or component container may be allowed to enter / exit the intrabay transfer section 20 without stopping or decelerating. In an exemplary embodiment, the queue section 35 is schematically shown as a discontinuous section from the transport sections 15 and 20. In another embodiment, the queue section, or the queue aisle between the transport sections 15 and 20, may be formed integrally with the transport section, but defines a discontinuous queue in the transport passage between the transport sections. To do. In another embodiment, the queue may be placed in the interbay and intrabay sections, if desired. An embodiment of a transport system having a traveling lane and an access or queuing lane that allows selective access to the inside and outside of the traveling lane without impairing the traveling lane is given the name "Transportation System", serial number 1. It is described in the US patent application No. 11 / 211,236, which is incorporated herein by reference in its entirety. The intrabay transport section 20 and the queue section 35 may have a truck system similar to that described in the interbay transport section 15 above. In another embodiment, the intrabay transport section and the queue section connecting the intra and inter transport sections may have any suitable configuration, shape or form and may be driven in any suitable manner. As most often seen in FIG. 29A, in an exemplary embodiment, the queuing section 35 comprises the loading section 35A and the fetching section 35B corresponding to the traveling directions R1 and R2 of the intrabay and interbay transport sections 20 and 15. You may have. As is customary herein used for illustrative purposes, section 35A is defined as the inlet to section 20 (out of section 15) and section 35B is the exit from section 20 (inlet to section 15). / Defined as an outlet. In another embodiment, the direction of travel of the queue section may be established, if desired. As described in more detail below, the parts container may exit the interbay transport section 15 via the loading section 35A and enter the interbay transport section 15 via the unloading section 35B. The queue section 35 may have any suitable length that allows the parts carrier to enter and exit inside and outside the transport sections 15 and 20.

イントラベイ搬送セクション20は、いかなる数のプロセスツール30と搬送システム10、10’を接続する通路または経路内に延在してもよい。また、イントラベイ搬送セクション20は、図29Aに示され、上記に記載されるように、2つ以上のインターベイ搬送セクション15を互いに接続してもよい。イントラベイ搬送セクション20は、閉締されたループ形状を有するように図29Aおよび29Bに示されるが、しかしながら、別の実施形態では、それらはいかなる適した構成または形状を有してもよく、いかなる製作設備配置にも適用可能であり得る。例示的な実施形態では、イントラベイ搬送セクション20は、待ち行列セクション35と類似してもよい搬送サイディングまたはシャント25によってプロセスツール30に接続されてもよい。別の実施形態では、シャントは、同様な方法でインターベイ搬送セクションに提供されてもよい。シャント25は、部品搬送機を効率的に「オフライン」にし、例えば、図29Aに見られるようなインターベイ搬送セクション20の進行方向R2に対応する投入セクション25Aおよび取出セクション25Bを有する。シャント25は、イントラベイ搬送セクション20上の部品搬送機の実質的に等速な流れをほぼ遮断することなく、投入および取出セクション25A、25Bを通って部品搬送機がイントラベイ搬送セクション20を出る、およびそこに入ることを可能にする。シャント25内にある間、部品コンテナは、例えば、プロセスツールステーション30の位置に対応するツール接合ステーションで停止し、例えば、装置の前工程モジュール、分類機、またはいずれかの他の適した移送ロボット等のいかなる適した移送手段によって、またはそれを介して部品および/またはコンテナ自体が処理ツール積載ポートまたはいずれかの他の適した部品ステージングエリアに移送されてもよい。別の実施形態では、部品搬送機は、任意の搬送セクションの搬送機の並べ替え(例えば入れ替え)を行うために、所望のシャントに向けられてもよい。 The intrabay transfer section 20 may extend within the aisle or path connecting any number of process tools 30 and transfer systems 10, 10'. Intrabay transport sections 20 may also connect two or more interbay transport sections 15 to each other, as shown in FIG. 29A and described above. Intrabay transport sections 20 are shown in FIGS. 29A and 29B to have a closed loop shape, however, in another embodiment, they may have any suitable configuration or shape and may have any suitable configuration or shape. It may also be applicable to production equipment placement. In an exemplary embodiment, the intrabay transport section 20 may be connected to the process tool 30 by a transport siding or shunt 25 which may be similar to the queue section 35. In another embodiment, the shunt may be provided to the interbay transport section in a similar manner. The shunt 25 efficiently "offline" the parts carrier and has, for example, a loading section 25A and an fetching section 25B corresponding to the traveling direction R2 of the interbay transport section 20 as seen in FIG. 29A. The shunt 25 allows the parts carrier to exit the intrabay transport section 20 through the loading and unloading sections 25A, 25B without interrupting the substantially constant velocity flow of the parts carrier on the intrabay transport section 20. , And allows you to get in there. While in the shunt 25, the parts container stops, for example, at the tool joining station corresponding to the position of the process tool station 30, eg, the pre-process module of the equipment, the sorter, or any other suitable transfer robot. The parts and / or the container itself may be transferred to the processing tool loading port or any other suitable part staging area by, or through any suitable means of transfer, such as. In another embodiment, the parts carrier may be directed to a desired shunt to perform a sort (eg, swap) of the carrier in any transport section.

異なるセクション15、20、25、35から、およびその間での部品キャリアまたは搬送機の交換は、コントローラ(図示せず)に接続された誘導システム(図示せず)によって制御されてもよい。誘導システムは、セクション15、20、25、35に沿って移動する搬送機の位置を明確にする位置付けデバイスを含んでもよい。位置付けデバイスは、セクション15、20、25、35に沿って、またはそれにわたり延在する光学、磁気、バーコード、または基準ストリップ等の連続または分散デバイス等、いかなる適した種類であってもよい。分散デバイスは、コントローラが搬送機の運動状態の確認に加え、セクション15、20、25、35上の搬送機の位置を定めることができるようにするために、搬送機に設置される適当な読み取りデバイスによって読まれる、または調べられてもよい。あるいは、デバイスは、位置/運動を識別するために、搬送機、部品キャリア、または部品上のRFID(高周波認識デバイス:rapid frequency identification device)等の感知アイテムを感知および/または調べてもよい。また、位置付けデバイスは、移動する搬送機の位置を感知することができる分散デバイス、別個の位置付けデバイス(例えばレーザー測距デバイス、超音波測距デバイス、または内部GPSと同種の内部位置付けシステム、もしくは内部逆GPS)の単体、またはこれらの組み合わせを含んでもよい。コントローラは、搬送機からの位置フィードバック情報と誘導システムからの情報を組み合わせ、セクション15、20、25、35に沿う、またはその間の搬送機の搬送経路を確立し、維持してもよい。 Replacement of component carriers or conveyors from and between different sections 15, 20, 25, 35 may be controlled by a guidance system (not shown) connected to a controller (not shown). The guidance system may include a positioning device that defines the location of the carrier moving along sections 15, 20, 25, 35. The positioning device may be of any suitable type, such as a continuous or distributed device such as an optical, magnetic, barcode, or reference strip that extends along or across sections 15, 20, 25, 35. The distributed device is a suitable read installed on the carrier to allow the controller to position the carrier on sections 15, 20, 25, 35 in addition to checking the motion state of the carrier. It may be read or examined by the device. Alternatively, the device may sense and / or examine sensing items such as a carrier, component carrier, or RFID (radio frequency identification device) on the component to identify position / motion. Positioning devices can also be distributed devices that can sense the position of a moving carrier, separate positioning devices (eg, laser ranging devices, ultrasonic ranging devices, or internal positioning systems similar to internal GPS, or internal. Inverse GPS) alone or a combination thereof may be included. The controller may combine position feedback information from the carrier with information from the guidance system to establish and maintain a carrier path along or between sections 15, 20, 25, 35.

別の実施形態では、誘導システムは、溝、レール、トラック、または部品搬送機の機械的誘導機能と連動するための構造的または機械的誘導表面を形成するいずれかの他の適した構造体を含む、もしくは有してもよい。さらに他の別の実施形態では、またセクション15、20、25、35は、部品搬送機に電子誘導を提供する印刷ストリップまたは導線(例えば、搬送機の適した誘導システムによって検出される適当な電磁信号を送信する送電線)等の送電線を含んでもよい。 In another embodiment, the guidance system is a groove, rail, track, or any other suitable structure that forms a structural or mechanical guidance surface to interlock with the mechanical guidance function of the parts carrier. May include or have. In yet another embodiment, sections 15, 20, 25, 35 are also printed strips or wires that provide electronic guidance to the parts carrier (eg, suitable electromagnetics detected by a suitable guidance system for the carrier). It may include a transmission line such as a transmission line that transmits a signal).

さらに図29Aおよび29Bを参照すると、搬送システム10、10’の例示的な動作が記載されている。例えばシャント25内に置かれた部品コンテナは、搬送システム10、10’に入ってもよい。実質的に途切れのない、概して等速で進行するイントラベイ搬送セクション20の流れを維持するために、部品コンテナは、シャント25を介してインターベイ搬送セクション20にアクセスしてもよい。部品搬送機は、搬送機がイントラベイ搬送セクション20内の材料の流れと同一速度で進行するように、シャント25内で加速する。シャント25は、部品搬送機が加速できるようにし、したがって、流れを妨げる、またはインターベイ搬送セクション20内を進行するいずれかの他の搬送機と衝突することなく、搬送機がイントラベイ搬送セクション20の流れに合流することができる。イントラベイ搬送セクション20との合流において、部品搬送機は、いずれかの他の部品キャリアまたは搬送機と衝突することなく、またはイントラベイセクションを縦走する搬送機の速度を減速させることなく、イントラベイ搬送セクションの流れに自由に入れるように、適した運転間隔だけシャント25内で待機してもよい。部品搬送機は、実質的に等速でイントラベイ搬送セクション20(例えば)に沿って稼動し続け、優先権を持って取出待ち行列エリアまたはセクション35B、例えばインターベイセクション15にスウィッチする。一実施形態では、取出待ち行列セクション35B内にスペースが無い場合、搬送機は、取出待ち行列セクション35Bが使用可能になるまで、優先権を持ってイントラベイ搬送セクション20の周囲を進行し続けてもよい。別の実施形態では、例えば、搬送セクションのループ全体を進行することなく迂回されたステーションに戻るために、搬送セクションの対向する進行通路を接続するためにクロスシャントが提供され、搬送機が搬送通路間を行き来できるようにしてもよい。搬送機は、取出待ち行列セクション35Bのベイで適した運転間隔だけ待機し、次いで加速し、上記に記載されるイントラベイ搬送セクション20の合流と実質的に同様な方法でインターベイ搬送セクション15の概して連続的かつ等速な流れに合流してもよい。搬送機は、例えば概して連続的な速度でインターベイ搬送セクション15に沿って続き、所望のイントラベイセクション20に入るために、繋がった待ち行列投入セクション35Aに移ってもよい。一実施形態では、投入待ち行列セクション35A内にスペースがない場合、搬送機は、前述されたものと同様な方法で、投入待ち行列セクション35Aが使用可能になるまで、イントラベイ搬送セクション15の周囲を進行し続けてもよい。搬送機は、投入待ち行列セクション35Aで適した運転間隔だけ待機し、第2のイントラベイ搬送セクション20に合流するために加速してもよく、この場合もやはり第2のイントラベイ搬送セクション20は、連続的な等速の流れを有する。搬送機は、第2のイントラベイ搬送セクション20から搬送機がプロセスツール30と接合する搬送シャント25に移される。シャント25内の他の搬送機により、シャント25に搬送機のためのスペースがない場合、搬送機は、シャント25が使用可能になるまで、優先権を持ってイントラベイ搬送セクション20の周囲に沿って進行し続けてもよい。インターベイ搬送セクション15およびイントラベイ搬送セクション20内の材料の流れには実質的に途切れがなく、概して等速で進行するため、システムは、処理ベイと処理ツールとの間の部品搬送機の高処理量を維持することができる。 Further, with reference to FIGS. 29A and 29B, exemplary operations of the transport systems 10 and 10'are described. For example, the parts container placed in the shunt 25 may enter the transport system 10, 10'. The parts container may access the interbay transport section 20 via the shunt 25 in order to maintain a substantially uninterrupted, generally constant velocity flow of the intrabay transport section 20. The parts transfer machine accelerates in the shunt 25 so that the transfer machine travels at the same speed as the material flow in the intrabay transfer section 20. The shunt 25 allows the parts carrier to accelerate, thus allowing the carrier to accelerate the intrabay carrier section 20 without obstructing the flow or colliding with any other carrier traveling within the interbay carrier section 20. Can join the flow of. At the confluence with the intrabay section 20, the parts carrier does not collide with any other component carrier or carrier, or slows down the carrier traversing the intrabay section. You may wait in the shunt 25 for suitable run intervals to allow you to freely enter the flow of the transport section. The parts carrier continues to operate along the intrabay transport section 20 (eg) at substantially constant speed and switches to the withdrawal queue area or section 35B, eg, the interbay section 15, with priority. In one embodiment, if there is no space in the withdrawal queue section 35B, the carrier will continue to travel around the intrabay transport section 20 with priority until the withdrawal queue section 35B becomes available. May be good. In another embodiment, for example, a cross shunt is provided to connect the opposing aisles of the aisle to return to the bypassed station without traveling through the entire loop of the aisle, and the aisle is provided with the aisle. You may be able to go back and forth between them. The carrier waits in the bay of the take-out queue section 35B for a suitable operating interval, then accelerates, and in an interbay transport section 15 in a manner substantially similar to the confluence of the intra-bay transport section 20 described above. It may join a generally continuous and constant velocity flow. The carrier may move, for example, to the connected queue entry section 35A to continue along the interbay transport section 15 at a generally continuous speed and enter the desired intrabay section 20. In one embodiment, if there is no space in the fill queue section 35A, the carrier will be around the intrabay transport section 15 in a manner similar to that described above until the fill queue section 35A is available. May continue to progress. The carrier may wait at the input queue section 35A for a suitable operating interval and accelerate to join the second intrabay transport section 20, again the second intrabay transport section 20. , Has a continuous constant velocity flow. The transfer machine is transferred from the second intrabay transfer section 20 to the transfer shunt 25 where the transfer machine joins the process tool 30. If the shunt 25 does not have space for the carrier due to other carriers in the shunt 25, the carrier will have priority along the perimeter of the intrabay transport section 20 until the shunt 25 is available. May continue to progress. The system is at the height of the parts carrier between the processing bays and the processing tools because the flow of material in the interbay transfer section 15 and the intrabay transfer section 20 is substantially uninterrupted and travels at a generally constant velocity. The processing amount can be maintained.

図29Aに示される例示的な実施形態では、搬送機は、待ち行列セクション35、処理ツール、イントラベイ搬送セクション20、またはインターベイ搬送セクション15を互いに直接接続することができるエクステンション40を介して、処理ベイ間を直接進行してもよい。例えば、図29Aおよび29Bに示されるように、エクステンション40は、待ち行列セクション35をともに接続する。別の実施形態では、エクステンション40は、シャント25と同様にそれぞれのツールの搬送シャントを接続することによって、ある処理ツールから別の処理ツールへのアクセスを提供してもよい。さらに他の別の実施形態では、エクステンションは、自動材料ハンドリングシステムの要素のいかなる数またはいかなる組み合わせをもともに直接接続し、短いアクセスルートを提供してもよい。より大きなネスト化されたネットワークでは、エクステンション40によって搬送機の目的地間の通路がより短くなるため、搬送機の進行時間を削減し、さらにシステムの生産性を向上する可能性がある。 In an exemplary embodiment shown in FIG. 29A, the transfer machine is via an extension 40 capable of directly connecting the queue section 35, processing tools, intrabay transfer section 20, or interbay transfer section 15 to each other. It may proceed directly between processing bays. For example, as shown in FIGS. 29A and 29B, the extension 40 connects the queue sections 35 together. In another embodiment, the extension 40 may provide access from one processing tool to another by connecting transport shunts for each tool as well as the shunt 25. In yet another embodiment, the extension may directly connect with any number or combination of elements of the automated material handling system to provide a short access route. In larger nested networks, the extension 40 may result in shorter passages between transporter destinations, reducing transporter travel time and further improving system productivity.

さらに他の別の実施形態では、自動材料ハンドリングシステム10、10’の流れは、2方向であってもよい。搬送セクション15、20、25、35、40、50は、それぞれが対向する方向に移動する、対向する進行レーンの周囲をループし、接続する出口ラン
プおよび入口ランプを有する、並んだ平行レーンを有してもよい。搬送セクションのそれぞれの平行レーンは、任意の進行方向専用であってもよく、個々の平行レーンのそれぞれの進行が搬送積載条件に適合するために、それぞれの平行レーンが搬送アルゴリズムにしたがって反転されるように、別々にまたは同時に切り替えられてもよい。例えば、搬送セクション15、20、25、35、40、50の平行レーンに沿う材料の流れまたは搬送は、それらの別個の方向に流れてもよい。しかしながら、後に、いくつかの部品搬送機が設備内に位置し、現行の流れ方向に対向する方向のこれらの平行レーンに沿って動くためにより効率的な位置に向かい、次いで平行レーンの進行方向が反転される場合があることが予測される。
In yet another embodiment, the flow of the automated material handling systems 10, 10'may be bidirectional. Transport sections 15, 20, 25, 35, 40, 50 have side-by-side parallel lanes, each with an exit ramp and an inlet ramp that loop and connect around opposite traveling lanes, moving in opposite directions. You may. Each parallel lane in the transport section may be dedicated to any direction of travel, and each parallel lane is flipped according to the transport algorithm so that each travel of the individual parallel lanes meets the transport loading conditions. As such, they may be switched separately or simultaneously. For example, the flow or transfer of material along the parallel lanes of the transfer sections 15, 20, 25, 35, 40, 50 may flow in their separate directions. However, later, some parts carriers are located in the equipment and move along these parallel lanes in the direction opposite to the current flow direction, leading to more efficient positions, and then the direction of travel of the parallel lanes. It is expected that it may be reversed.

別の実施形態では、2方向進行レーンが積み重ねられて置かれてもよい(すなわち片方がもう一方の上にある)。プロセスツールと搬送シャント25との間の接合部分は、例えば、時計回りの材料の流れを有するシャントが反時計回りの材料の流れの上に位置するような場合にシャントからプロセスツールの積載ポートに搬送機を上げるまたは下げるために、エレベータ型構成を有してもよい。別の実施形態では、2方向シャントおよびその他の搬送セクションは、いかなる適した構成を有してもよい。 In another embodiment, bidirectional traveling lanes may be stacked (ie, one is on top of the other). The junction between the process tool and the transfer shunt 25 is from the shunt to the loading port of the process tool, for example, when the shunt with the clockwise material flow is located above the counterclockwise material flow. It may have an elevator-type configuration to raise or lower the carrier. In another embodiment, the two-way shunt and other transport sections may have any suitable configuration.

図20は、別の例示的な実施形態による、ツールステーション間でキャリアを搬送するための搬送システムの搬送システムトラック500の部分を示す。トラックは、参照することにより前述のように組み込まれる米国特許出願シリアル番号第10/697,528号に記載されるものと類似する、ソリッドステートのコンベヤシステムを有してもよい。トラックは、キャリアのシェル/ケーシングと一体の反応部分と連動する静止フォーサーセグメントを有してもよい。その結果キャリアは、コンベヤによって直接搬送されてもよい。示される搬送システム500は、非同期搬送システム内にあり、キャリアの搬送機は、その中で搬送システム上の他のキャリアの動きから実質的に分断される。トラックシステムは、その他のキャリアの動きが任意のキャリアの搬送速度に影響を与える決定的因子を削除するように構成される。コンベヤのトラック500は、主搬送通路上の搬送機を妨害することなくルーティング変更および/またはツールステーション(緩衝装置、ストッカー等)と接合するために、主搬送通路から離れてキャリアを送るオン/オフ分岐通路(図297〜298も参照)を有する主搬送通路を採用する。分岐オン/オフ通路を有する搬送システムの適した実施例は、参照することにより前述のように組み込まれる米国特許出願シリアル番号第11/211,236号に開示される。本実施形態では、セグメント500A、C、Dは、A1−Dリニアモータのための巻線セットを有し、主進行通路500M(これは、図20Aに示される)に沿って移動してもよい。セグメント500Bは、アクセス通路500Sと称される場合がある通路へのオフ/出口として、例えば図20に示される。このセグメント内のフォーサーの巻線は、主通路500Mに沿った運動、および望ましい場合は、通路500S(図20B参照)に沿ったキャリアの移動の両方を可能にするために、実際の二次元平面モータを提供するように配置される。モータコントローラは、参照することによりその全体が本明細書に組み込まれる、2005年7月11日に出願された米国特許出願シリアル番号第11/178,615号に記載される分散制御構造と類似する、領域型コントローラであってもよい。本実施形態では、駆動部/モータは、領域型であり、領域間で適切な引渡しを行う領域コントローラによって効率的に制御されてもよい。コンベヤ500は、移動可能にキャリアを支持するために、適したベアリングを有してもよい。例えば、セグメント500A、500C、および500Dでは、ベアリング(例えばローラー、ボール)は、通路500Mに沿ったキャリアの1度の移動自由度を許容してもよい。 FIG. 20 shows a portion of a transport system truck 500 of a transport system for transporting carriers between tool stations, according to another exemplary embodiment. The track may have a solid-state conveyor system similar to that described in US Patent Application Serial No. 10 / 697,528, which is incorporated by reference as described above. The track may have a stationary forcer segment that works with a reaction portion that is integral with the shell / casing of the carrier. As a result, the carriers may be conveyed directly by the conveyor. The transport system 500 shown is in an asynchronous transport system in which the carrier carrier is substantially isolated from the movement of other carriers on the transport system. The truck system is configured to eliminate other determinants of carrier movement that affect the transport speed of any carrier. The conveyor truck 500 feeds carriers away from the main aisle to change routing and / or join with tool stations (shufflers, stockers, etc.) without interfering with the aisle on the main aisle. A main transport passage having a branch passage (see also FIGS. 297-298) is adopted. Suitable examples of transport systems with bifurcated on / off aisles are disclosed in U.S. Patent Application Serial Numbers 11 / 211,236, which are incorporated by reference as described above. In this embodiment, segments 500A, C, D have a winding set for an A1-D linear motor and may move along a main passage 500M, which is shown in FIG. 20A. .. Segment 500B is shown, for example, in FIG. 20 as an off / exit to a passage that may be referred to as access passage 500S. The windings of the forcer within this segment are in the actual two-dimensional plane to allow both movement along the main passage 500M and, if desired, movement of the carrier along the passage 500S (see FIG. 20B). Arranged to provide a motor. The motor controller is similar to the distributed control structure described in U.S. Patent Application Serial No. 11 / 178,615, filed July 11, 2005, which is incorporated herein by reference in its entirety. , It may be a region type controller. In the present embodiment, the drive unit / motor is a region type and may be efficiently controlled by a region controller that appropriately delivers between regions. Conveyor 500 may have suitable bearings to support the carriers in a movable manner. For example, in segments 500A, 500C, and 500D, bearings (eg, rollers, balls) may allow one degree of freedom of movement of the carrier along the passage 500M.

セグメント500B内のベアリングは、キャリアの2度の移動自由度を許容してもよい。その他の実施形態では、ベアリングは、キャリア上に提供されてもよい。さらに他の実施形態では、空気ベアリングは、トラック上のキャリアを移動可能に支持するために使用されてもよい。通路500M間のキャリアの誘導および通路500Sへの方向は、図20Bに示されるようなキャリア上の可動または連接車輪、トラック上の連接ガイドレール、または磁気操縦等の適した誘導システムによってもたらされてもよい。 The bearings in the segment 500B may allow two degrees of freedom of movement of the carrier. In other embodiments, the bearings may be provided on the carrier. In yet other embodiments, air bearings may be used to movably support carriers on the track. Guidance of carriers between aisles 500M and directions to aisles 500S are provided by suitable guidance systems such as movable or articulated wheels on carriers, articulated guide rails on trucks, or magnetic maneuvers as shown in FIG. 20B. You may.

図20Aは、システム500の例示的な搬送要素500Aを図示する。示される例示的な実施形態は、シングル進行レーンまたは通路(例えば通路500M)を有するセグメントを図示する。図20Aに見られるように、例示的な実施形態では、セグメントは、搬送機上の起動支持体のためのリニアモータ部分またはフォーサー502Aおよび支持表面504(A)を有する。前述されたように、別の実施形態では、搬送セグメントは、いかなる他の所望の構成を有してもよい。例示的な実施形態では、ガイドレール506Aは、搬送機を誘導するために使用されてもよい。別の実施形態では、搬送セグメントは、搬送機を誘導するレールの代わりに、磁石または磁気ベアリングを有してもよい。キャリア上の電磁石は、キャリアをトラックから分断するのを助長するために使用されてもよい。図20Bは、別の例示的な実施形態による、搬送システム500の別の搬送セグメントを図示する。セグメント500A’は、複数の進行レーン(例えば図20に示されるセグメント500Bと類似する交差レーン)または間で切り替わるほぼ平行な主進行レーン(通路500Mと類似する)を有してもよい。図20Bに見られるように、例示的な実施形態では、進行レーン(通路500M、500Sと類似する)は、一般的に1−Dモータセクション502A1および対応するキャリア駆動支持表面/エリア504A’によって画定される。進行レーン間の交差または切り替えは、進行レーン500M’、500S’間を縦走するのに望ましい2−D力を搬送機に生成することができる2−Dモータ要素のアレイによって形成される。 FIG. 20A illustrates an exemplary transport element 500A for system 500. An exemplary embodiment shown illustrates a segment having a single traveling lane or aisle (eg, aisle 500M). As seen in FIG. 20A, in an exemplary embodiment, the segment has a linear motor portion or forcer 502A and a support surface 504 (A) for the start-up support on the conveyor. As mentioned above, in another embodiment, the transport segment may have any other desired configuration. In an exemplary embodiment, the guide rail 506A may be used to guide the carrier. In another embodiment, the transport segment may have magnets or magnetic bearings instead of the rails that guide the transporter. Electromagnets on the carrier may be used to help separate the carrier from the track. FIG. 20B illustrates another transport segment of transport system 500 according to another exemplary embodiment. Segment 500A'may have multiple travel lanes (eg, intersecting lanes similar to segment 500B shown in FIG. 20) or nearly parallel main travel lanes (similar to passage 500M) that switch between them. As seen in FIG. 20B, in an exemplary embodiment, the traveling lanes (similar to passages 500M, 500S) are generally defined by the 1-D motor section 502A1 and the corresponding carrier drive support surface / area 504A'. Will be done. The intersection or switching between the traveling lanes is formed by an array of 2-D motor elements capable of producing the desired 2-D force on the carrier to traverse between the traveling lanes 500M', 500S'.

図21は、別の例示的な実施形態による搬送システムの交差点またはコンベヤの回転セグメントを示す。示される例示的な実施形態では、搬送セグメント500A’’は、交差する複数の進行レーン500M’’、500S’’を画定する。進行レーンは、概してレーン500M(図20A参照)と類似する。例示的な実施形態では、搬送運搬車は、交差するレーンとほぼ一直線に並ぶまで、任意のレーン500S’’、500M’’を縦走してもよい。一直線に並ぶ際、所望のレーンの1−Dモータは、交差するレーンに沿って搬送機の移動を開始する。別の実施形態では、交差点は、90°の配向でなくてもよい。図20Cは、キャリア1200の底面およびその中の反応要素を示す。理解され得るように、反応要素は、交差点でそれぞれのフォーサーセクション(例えば図21参照)の配向と一致するように配置されてもよい。これは、実質的に停止することなくキャリアがトラックを変更できるようにする。図20Dは、別の例示的な実施形態による、キャリア1200Aの枢軸セクション上に置かれた反応要素1202FAを示し、該反応要素は、所望の位置に回転されてもよい。図22は、トラック脇のストレージ位置500S’’’を有する、概して図21の交差点と類似するトラックセグメント500H’’’を示す。図23〜23Aは、さらに以下に記載されるキャリアリフトまたはシャトルのリフトアーム(図示せず)のためのカットアウトまたは開口部1500Oを有するトラックセグメント500を示す。例示的な実施形態では、開口部1500Oは、コンベヤのトラックからキャリアを底面に掴むために、キャリアに横方からアクセスできるようにする。図24は、フォーサー(リニアモータ等の)2502Aが矢印2500Mによって示されるキャリア/トラックの中心線からずれた位置にあるトラックセグメント2500Aを示す。 FIG. 21 shows an intersection of a conveyor system or a rotating segment of a conveyor according to another exemplary embodiment. In the exemplary embodiment shown, the transport segment 500A ″ defines a plurality of intersecting travel lanes 500M ″, 500S ″. The traveling lane is generally similar to lane 500M (see FIG. 20A). In an exemplary embodiment, the transport vehicle may traverse any lane 500S ″, 500M ″ until it is approximately aligned with the intersecting lanes. When aligned, the 1-D motors in the desired lane begin moving the carrier along the intersecting lanes. In another embodiment, the intersection does not have to be oriented at 90 °. FIG. 20C shows the bottom surface of the carrier 1200 and the reaction elements therein. As can be understood, the reaction elements may be arranged at the intersection to match the orientation of each forcer section (see, eg, FIG. 21). This allows the carrier to change tracks virtually without stopping. FIG. 20D shows the reaction element 1202FA placed on the axis section of the carrier 1200A according to another exemplary embodiment, the reaction element may be rotated to a desired position. FIG. 22 shows a track segment 500H ″ ″ that has a storage position 500S ″ ″ beside the track and is generally similar to the intersection of FIG. 23-23A show a truck segment 500 further having a cutout or opening 1500O for a carrier lift or shuttle lift arm (not shown) as described below. In an exemplary embodiment, the opening 1500O allows lateral access to the carrier in order to grab the carrier from the track of the conveyor to the bottom. FIG. 24 shows a track segment 2500A where the forcer (such as a linear motor) 2502A is offset from the carrier / track centerline indicated by the arrow 2500M.

図25A〜25Bは、半導体FAB内で基板を搬送するためのリニアモータコンベヤ3500(キャリア3200内に設置されたフォーサーセグメントおよび組み込まれた反応要素を有する)を示す。示される例示的な実施形態では、コンベヤ3500は、直下からキャリアにアクセスできるように反転されてもよい(例えばキャリアは、コンベヤの下から吊り下げられ、コンベヤの下に位置する)。その他の点では、コンベヤ3500は、前述された搬送システムのセグメント500A、500A’’、500A’’’と類似してもよい。例示的な実施形態では、コンベヤ3500とキャリア3200との間の連結を維持するために、磁気保持フォーサー3502が採用されてもよい。この力は、特にこの目的のために提供されるリニアモータコイル(例えばリニア同期設計のもの)から、ならびに/または別個の電磁石および/もしくは永久磁石(図示せず)を介して発生してもよい。キャリアとコンベヤの連結および分断は、迅速であり、パーツ(例えば、電磁石スイッチ)を動かすことなく達成されてもよい。フェールセーフの動作は、キャリアとコンベヤとの間の磁路および/または受動的な機械的保持機構を介して保証されてもよい。 25A-25B show a linear motor conveyor 3500 (having a forcer segment installed in a carrier 3200 and a built-in reaction element) for transporting a substrate within a semiconductor FAB. In the exemplary embodiment shown, the conveyor 3500 may be flipped so that the carriers can be accessed from directly below (eg, the carriers are suspended from below the conveyor and located under the conveyor). In other respects, the conveyor 3500 may be similar to the segments 500A, 500A ″, 500A ″ of the transport system described above. In an exemplary embodiment, a magnetic retention forcer 3502 may be employed to maintain the connection between the conveyor 3500 and the carrier 3200. This force may be generated specifically from a linear motor coil provided for this purpose (eg, one with a linear synchronous design) and / or via a separate electromagnet and / or permanent magnet (not shown). .. The connection and division of the carrier and the conveyor is rapid and may be achieved without moving parts (eg, electromagnet switches). Fail-safe operation may be guaranteed via a magnetic path between the carrier and the conveyor and / or a passive mechanical holding mechanism.

例示的な実施形態では、交差点および分岐点(すなわち、例えば図20のセグメント500Bと類似する合流−分化位置)は、コイルの切り替えによって達成されてもよい。別の実施形態では、コンベヤ3200の進行通路間でキャリアを移送するために、回転台またはその他の回転デバイスが使用されてもよい。 In an exemplary embodiment, intersections and bifurcations (ie, confluence-differentiation positions similar to, for example, segment 500B in FIG. 20) may be achieved by coil switching. In another embodiment, a rotary table or other rotating device may be used to transfer carriers between the traveling passages of the conveyor 3200.

例示的な実施形態では、キャリア3200は、反応要素が上面上にあり、基板がキャリアの底面からアクセスされるように配置されてもよい。例示的な実施形態では、キャリア3200は、コンベヤ3500のフォーサーと連動するように置かれた磁気プラテンを有してもよい。キャリアのプラテン、またはプラテンセクションは、ローラー、ベアリング、または他の起動支持表面(例えばコンベヤ内の空気ベアリングに対する反応表面)を含んでもよい。また、プラテンは、部品コンテナ部分が処理ツール3030に積載される際に、コンベヤと接続されたままでもよいプラテン部分からキャリアのコンテナ部分を分断できるようにする電磁石連結具を含んでもよい。 In an exemplary embodiment, the carrier 3200 may be arranged such that the reaction element is on the top surface and the substrate is accessed from the bottom surface of the carrier. In an exemplary embodiment, the carrier 3200 may have a magnetic platen placed in conjunction with the forcer of the conveyor 3500. The platen, or platen section of the carrier, may include rollers, bearings, or other starting support surfaces (eg, reaction surfaces to air bearings in the conveyor). The platen may also include an electromagnet connector that allows the container portion of the carrier to be separated from the platen portion that may remain connected to the conveyor when the component container portion is loaded onto the processing tool 3030.

例示的な実施形態では、ツールを積載するために、コンベヤ3200は、キャリアをツール積載ポートに置き、キャリアをコンベヤの高度からツール3030の(制御環境)積載接合部分3032に下げるために、例えば垂直移送専用メカニズム3040が使用されてもよい(図26A〜26B参照)。また、垂直移送デバイスがインデクサとして使用され、それによってウェハハンドリングロボットでアクセスするためにウェハを置いてもよい。垂直移送デバイスの好適な実施例は、参照することにより前述のように本明細書に組み込まれる、2005年8月25日に出願された米国特許出願シリアル番号第11/210,918号に記載される。 In an exemplary embodiment, to load the tool, the conveyor 3200 places the carrier in the tool loading port and lowers the carrier from the altitude of the conveyor to the (control environment) loading junction 3032 of the tool 3030, eg vertical. A transfer-only mechanism 3040 may be used (see FIGS. 26A-26B). A vertical transfer device may also be used as an indexer to place wafers for access by wafer handling robots. Suitable examples of vertical transfer devices are described in US Patent Application Serial No. 11/210,918, filed August 25, 2005, which is incorporated herein by reference as described above. To.

別の実施形態では、コンベヤは、反転配置に置かれたコンベヤを積み上げるエンジン付き車輪であり、コンベヤの車輪上にキャリアを保持するために適した磁気引力を有してもよい。その他の別の実施形態では、コンベヤが積載ポートの下になり、キャリアが上面上に反応機構を有するように、全体配置が反転されてもよい。 In another embodiment, the conveyor is an engine-equipped wheel that stacks conveyors placed in an inverted arrangement and may have a magnetic attraction suitable for holding the carrier on the wheels of the conveyor. In another other embodiment, the overall arrangement may be reversed such that the conveyor is below the loading port and the carrier has a reaction mechanism on the top surface.

図26A〜26Bは、直接キャリアを搬送システムから積載ポート/ツール接合部分に下げる/上げる、その他の実施例を示す。図26A〜26Bに示される例示的な実施形態では、キャリアは、キャリアと一体化する反応プラテンを有してもよい。その他の実施形態では、前述されたように、プラテンは、キャリアから着脱可能であってもよく、例えば、キャリアが取り外される際にコンベヤ上に残留する/コンベヤに連結されたままであってもよい。このような場合では、搬送システム内のそれぞれのプラテンは、FAB内のキャリアと実質的に1:1の関係で対応する。 26A-26B show other embodiments in which the carrier is lowered / raised directly from the transport system to the loading port / tool junction. In the exemplary embodiments shown in FIGS. 26A-26B, the carrier may have a reaction platen that integrates with the carrier. In other embodiments, as described above, the platen may be removable from the carrier, for example, remaining on the conveyor when the carrier is removed / remaining connected to the conveyor. In such cases, each platen in the transport system corresponds to a carrier in the FAB in a substantially 1: 1 relationship.

図27は、別の例示的な実施形態による、コンベヤ運搬車複合型構成を有するキャリア4200を図示する。ペイロード(半導体基板を含むキャリア等)の輸送を自動化するために、キャリア運搬車4200が提供されてもよい。運搬車は、自己推進のための蓄積エネルギー、操舵システム、少なくとも1つのモータエンジン付き駆動車輪、走行距離計測および障害物検出のためのセンサ、ならびに関連する制御電子機器を備えてもよい。さらに、運搬車は、コンベヤシステム500(図20も参照)と類似する、コンベヤ4500の静止リニアモータのフォーサーセグメントと連動することができる反応要素(前述された磁気プラテンと類似する)を1つ以上装備してもよい。 FIG. 27 illustrates a carrier 4200 with a conveyor carrier composite configuration according to another exemplary embodiment. A carrier carrier 4200 may be provided to automate the transport of payloads (carriers including semiconductor substrates, etc.). The carrier may be equipped with stored energy for self-propelling, a steering system, at least one motor-engined drive wheel, sensors for mileage measurement and obstacle detection, and related control electronics. In addition, the carrier has one or more reaction elements (similar to the magnetic platen described above) that can be interlocked with the forcer segment of the stationary linear motor of the conveyor 4500, similar to the conveyor system 500 (see also FIG. 20). You may equip it.

例示的な実施形態では、1つ以上のフォーサーセグメントによって画定される通路(通路500M、500Jと類似する)に沿って運搬車4200が進行する場合、駆動モータが駆動車輪から切断され、運搬車は、コンベヤ4500内の反応要素を有する電磁石連結具によって通路に沿って受動的に促されてもよい。運搬車内の蓄積エネルギーデバイス(例えばバッテリ、超蓄電器、フライホイール等)の充電が必要な場合、リニアモータからのエネルギーを運搬車蓄電に変換するために、軌道に沿ったトラクションホイールの運動が使用されてもよい。電気エネルギー蓄電の場合、これは、適したモニタリングおよび調節電子機器を有するジェネレータとして使用される運搬車駆動モータを再接続することによって達成されてもよい。このような「オンザフライ」充電は、容易化および耐久性の利点を有し、該配置は、大幅な柔軟性および耐障害性をもたらす。例えば、運搬車4200は、自発的に機能していないコンベヤセグメント、障害物の周囲、またはコンベヤが使用できない作業エリア間を通り過ぎて進むことが可能であってもよい(図27A、28B参照)。コンベヤのフォーサーセグメントの数および長さは、インターベイ搬送機のためのコンベヤ等の動作計画に合わせて調整してもよく、例えば、ベイと運搬車の自立運動を使用してもよい。柔軟にルートを選択するために、自立操舵が使用されてもよい。湾曲したフォーサーセグメントを除去するために、自立コーナリングを使用することができる。コンベヤの走行に沿って高速進行が作動してもよく、所望により、安全障壁によって操作者から隔てられてもよい。コンベヤセクションは、隣接FABへのリンク等の長距離走行のために使用されてもよい。コンベヤは、グレード変更に使用され、専用の蓄積エネルギーを使用して、運搬車が直面する問題を軽減してもよい。 In an exemplary embodiment, when the carrier 4200 travels along a passage (similar to passages 500M, 500J) defined by one or more forcer segments, the drive motor is disconnected from the drive wheels and the carrier is , May be passively prompted along the aisle by an electromagnet connector having a reactive element in conveyor 4500. When the stored energy devices in the carrier (eg batteries, supercapacitors, flywheels, etc.) need to be charged, the movement of the traction wheel along the track is used to convert the energy from the linear motor into the carrier's storage. You may. In the case of electrical energy storage, this may be achieved by reconnecting a haul vehicle drive motor used as a generator with suitable monitoring and conditioning electronics. Such "on-the-fly" charging has the advantages of ease and durability, and the arrangement provides significant flexibility and fault tolerance. For example, the carrier 4200 may be able to travel past spontaneously non-functioning conveyor segments, around obstacles, or between work areas where the conveyor is unavailable (see FIGS. 27A, 28B). The number and length of the forcer segments of the conveyor may be adjusted according to the motion plan of the conveyor or the like for the interbay carrier, for example, the self-sustaining motion of the bay and the carrier may be used. Self-sustaining steering may be used for flexible route selection. Self-contained cornering can be used to remove curved forcer segments. High speed travel may be actuated along the running of the conveyor and, if desired, separated from the operator by a safety barrier. Conveyor sections may be used for long-distance travel such as links to adjacent FABs. Conveyors may be used for grade changes and dedicated stored energy may be used to mitigate the problems faced by the carrier.

図28は、一体化されたキャリアおよび搬送運搬車の別の実施例を示す。運搬車がFAB内で搬送部品キャリアに送り出される従来の運搬車系の半導体自動化と比較し、例示的な実施形態では、それぞれのキャリア5200が運搬車である。例示的な実施形態では、一体化キャリア/運搬車5200は、前述された運搬車4200と類似してもよい。別の実施形態では、キャリア運搬車は、所望の運搬車機構を有してもよい。例示的な実施形態では、運搬車5200は、一体キャリア5202と運搬車5204の一体化部分を含んでもよい。キャリア5202は、例示目的のために、前面/側面開口型として図28に示される。別の実施形態では、キャリアは、上面開口型であってもよく、またはいずれかの他の適した部品移送開口部を有してもよい。運搬車は、部品が移送される積載ポートに直接進んでもよく、または別のツール緩衝装置等の別の自動化構成要素と嵌合してもよい。キャリア5202および運搬車5204をほぼ永久的に固定することによって、ロット移送が望ましい場合に、遊離運搬車が送り出されるのを待つ時間ならびに関連する配送時間差異が削除される。さらに、キャリア運搬車5200は、「空の車」の移動をなくし、したがって搬送ネットワーク上の総交通量を低減し、システム容量を向上することができる。別の実施形態では、キャリアおよび運搬車は、運搬車からキャリアを分離するための連結を有してもよい。システム内の運搬車は、運搬車を待つキャリア搬送における遅延を削除するために、1:1の関係でキャリアに割り当てられてもよいが、限定事象(例えば運搬車または部品キャリアセクションのいずれかの修理/メンテナンス)において分離できるようにするために、適したコントローラのシステム知識を使用してもよい。それ以外では、キャリアおよび運搬車は、搬送中またはFABのツール積載ステーションもしくは他の自動化構成要素と嵌合する際、一体型ユニットのままである。 FIG. 28 shows another embodiment of the integrated carrier and transport vehicle. In an exemplary embodiment, each carrier 5200 is a carrier, as compared to conventional carrier-based semiconductor automation in which the carrier is delivered to a carrier component carrier in the FAB. In an exemplary embodiment, the integrated carrier / carrier 5200 may be similar to the carrier 4200 described above. In another embodiment, the carrier carrier may have the desired carrier mechanism. In an exemplary embodiment, the carrier 5200 may include an integral portion of an integral carrier 5202 and a carrier 5204. Carrier 5202 is shown in FIG. 28 as a front / side opening type for illustrative purposes. In another embodiment, the carrier may be of the top opening type or may have any other suitable component transfer opening. The carrier may go directly to the loading port to which the parts are transferred, or may be fitted with another automated component, such as another tool shock absorber. By fixing the carrier 5202 and the carrier 5204 almost permanently, the time waiting for the free carrier to be delivered and the associated delivery time variance are eliminated when lot transfer is desired. In addition, the carrier carrier 5200 can eliminate the movement of "empty vehicles" and thus reduce the total traffic on the carrier network and increase the system capacity. In another embodiment, the carrier and carrier may have a connection to separate the carrier from the carrier. Carriers in the system may be assigned to carriers in a 1: 1 relationship to eliminate delays in carrier transport waiting for the carrier, but either in a limited event (eg, carrier or parts carrier section). System knowledge of suitable controllers may be used to allow separation in repair / maintenance). Otherwise, the carrier and carrier remain an integral unit during transport or when mating with the FAB's tool loading station or other automated components.

図29Cは、別の例示的な実施形態による、コンベヤシステム500(またはいずれかの他の所望のキャリア搬送システム)とツールステーション1000との間を接合してもよい、水平に配列された緩衝システム6000の平面図を示す。緩衝システムは、ツールステーションの下方またはその一部、もしくはツールステーションの上方に位置してもよ
い。緩衝システムは、操作者の進入路から離れて(すなわち下方または上方)置かれてもよい。図30は、緩衝システムの正面図である。図29C〜30は、例示目的のためにコンベヤ500の片側に位置する緩衝システムを示す。緩衝システムは、FABフロアを所望する大きさの部分だけ披覆するために、延在してもよい。示される例示的な実施形態では、操作者の通路は、緩衝システムの上方に持ち上げられてもよい。同様に、緩衝システムは、FABのオーバーヘッド内のどこに延在してもよい。図29C〜30に見られるように、例示的な実施形態では、緩衝システム6000は、少なくとも3次元移動が可能なシャトルシステム6100(適したキャリアリフトまたはインデクサを有してもよい)および緩衝ステーションSTのアレイを含んでもよい。一般的に、シャトルシステムは、誘導システム上で少なくとも2次元移動が可能な1つ以上のシャトル6104に対する誘導システム6102(例えばレール)を含んでもよい。図29C〜30に図示されるシャトルシステムの配置は、例示に過ぎず、別の実施形態では、シャトルシステムは、いかなる他の所望の配置を有してもよい。例示的な実施形態では、シャトルシステムは、コンベヤ500と、緩衝ステーションSTと、ツール積載ステーションLPとの間を往復または接合する(図29C参照)。シャトル6102は、水平に配置されたコンベヤ500(例えばコンベヤのセグメント600間のアクセスレーン602を介して)とツールステーション上の緩衝ストレージSTまたは積載位置LPとの間を縦走してキャリア200を往復させることができる。図30に最もよく見られるように、例示的な実施形態では、シャトル6104は、キャリアを掴む/コンベヤ600上に置くためのインデクサ6106、または緩衝ステーションSTもしくはツール積載ポートLPを含んでもよい。緩衝システムは、モジュラー形態で構成され、システムが容易に拡張または縮小できるようにしてもよい。例えば、それぞれのモジュールは、対応するストレージ位置STおよびシャトルレール、ならびに緩衝システムのその他の取り付けられたモジュールを接合するための連結接合部を有してもよい。別の実施形態では、システムは、緩衝ステーションモジュール(一体緩衝ステーションを1つ以上有する)およびシャトルレールにモジュラーを取り付けられるようにするシャトルレールモジュールを有してもよい。図29Cに見られるように、コンベヤ500のアクセスレーン60Lは、シャトルインデクサがコンベヤレーンを通ってキャリアにアクセスできるようにするシャトル進入路を有してもよい。図31は、コンベヤ500の合流/分化レーンに通じる緩衝システム6000の断面を示す。例示的な実施形態では、緩衝システムのシャトル6104は、コンベヤのアクセスレーンに向けられたキャリアにアクセスしてもよい。停止(または図29Cに示されるレーン602と類似する進入路の不足)は、シャトルがコンベヤの進行レーンにアクセスする、または干渉するのを制限してもよい。図32は、緩衝ステーションの複数の列を示す、さらに別の断面である。緩衝システムは、いかなる所望の列数の所望の数の緩衝ステーションを有してもよい。シャトルの縦走(図32に矢印Yで示される方向への)は、所望により、縦走ガイド61087のモジュラー交換によって調節されてもよい。その他の別の実施形態では、緩衝ステーションは、複数の水平な平面または階層(すなわち、垂直方向に分離された2つ以上の階層(キャリアの高さが階層間を通過することができる))で配列されてもよい。低減容量キャリアとともに多層緩衝が使用されてもよい。図33は、誘導された運搬車キャリアVとの接合部分を有する緩衝システムの別の平面図を示す。図34は、他の点では前述されたツール下緩衝システム6000と類似する、オーバーヘッド緩衝システム7000の正面図を示す。オーバーヘッド緩衝システム7000は、ツール下緩衝システム(システム6000と類似する)とともに使用されてもよい。オーバーヘッドコンベヤ500と接合するオーバーヘッド緩衝システムが示される。別の実施形態では、オーバーヘッドシステムは、フロアコンベヤシステムまたはフロアを基部とする運搬車と接合してもよい。ペイロードの少ないシャトルが水平に縦走するのを防ぐために、適した制御連結(例えば硬い)が提供され、通路の垂直隙間に作用してもよい。吊るされた積載物が通路空間を横切ることを防ぐために、通路上の上部シールドが使用されてもよい。
FIG. 29C shows a horizontally arranged buffer system in which the conveyor system 500 (or any other desired carrier transfer system) and the tool station 1000 may be joined according to another exemplary embodiment. A plan view of 6000 is shown. The buffer system may be located below or part of the tool station, or above the tool station. The buffer system may be placed away from the operator's access path (ie, below or above). FIG. 30 is a front view of the buffer system. Figures 29C-30 show a buffer system located on one side of the conveyor 500 for illustrative purposes. The buffer system may be extended to cover only the desired size of the FAB floor. In the exemplary embodiment shown, the operator's aisle may be lifted above the buffer system. Similarly, the buffer system may extend anywhere within the FAB overhead. As seen in FIGS. 29C-30, in an exemplary embodiment, the buffer system 6000 is a shuttle system 6100 (which may have a suitable carrier lift or indexer) capable of at least three-dimensional movement and a buffer station ST. May include an array of. In general, the shuttle system may include a guidance system 6102 (eg, rail) for one or more shuttles 6104 capable of at least two-dimensional movement on the guidance system. The arrangement of the shuttle system illustrated in FIGS. 29C-30 is merely exemplary and in another embodiment the shuttle system may have any other desired arrangement. In an exemplary embodiment, the shuttle system reciprocates or joins the conveyor 500, the buffer station ST, and the tool loading station LP (see FIG. 29C). The shuttle 6102 traverses between the horizontally arranged conveyor 500 (eg, via access lane 602 between segments 600 of the conveyor) and the buffer storage ST or loading position LP on the tool station to reciprocate the carrier 200. be able to. As most often seen in FIG. 30, in an exemplary embodiment, the shuttle 6104 may include an indexer 6106 for grabbing a carrier / placing it on a conveyor 600, or a buffer station ST or tool loading port LP. The buffer system may be configured in a modular form so that the system can be easily expanded or contracted. For example, each module may have a corresponding storage position ST and shuttle rail, as well as a coupling joint for joining other attached modules of the buffer system. In another embodiment, the system may have a buffer station module (having one or more integrated buffer stations) and a shuttle rail module that allows modular attachment to the shuttle rail. As seen in FIG. 29C, the access lane 60L of the conveyor 500 may have a shuttle approach that allows the shuttle indexer to access the carrier through the conveyor lane. FIG. 31 shows a cross section of the buffer system 6000 leading to the confluence / differentiation lane of conveyor 500. In an exemplary embodiment, the buffer system shuttle 6104 may access a carrier directed to the access lane of the conveyor. Stops (or lack of access paths similar to lane 602 shown in FIG. 29C) may limit the shuttle from accessing or interfering with the traveling lanes of the conveyor. FIG. 32 is yet another cross section showing a plurality of rows of buffer stations. The buffer system may have any desired number of rows and desired number of buffer stations. The longitudinal travel of the shuttle (in the direction indicated by the arrow Y in FIG. 32) may be adjusted by modular replacement of the longitudinal guide 11087, if desired. In another other embodiment, the buffer station is in multiple horizontal planes or tiers (ie, two or more tiers vertically separated (carrier heights can pass between tiers)). It may be arranged. Multilayer buffers may be used with reduced capacitance carriers. FIG. 33 shows another plan view of the shock absorber system having a junction with the guided carrier V. FIG. 34 shows a front view of the overhead shock absorber system 7000, which is otherwise similar to the tool bottom shock absorber system 6000 described above. The overhead buffer system 7000 may be used in conjunction with a subtool buffer system (similar to system 6000). An overhead buffer system that joins with the overhead conveyor 500 is shown. In another embodiment, the overhead system may be joined to a floor conveyor system or a floor-based carrier. Suitable control connections (eg, stiff) are provided to prevent the low-payload shuttle from traversing horizontally and may act on the vertical clearance of the aisle. An upper shield over the aisle may be used to prevent the suspended load from crossing the aisle space.

図35は、環状緩衝システム8000を示す。システムの緩衝ステーションSTは、可動式であってもよく、キャリアがツール接合部分の緩衝ステーションSTおよび積載ステーションLPに積載され得る(例えばオーバーヘッド積載で)積載位置R間の緩衝ステーションSTを動かすトラック8100(例示的な実施形態では、閉じた環状として示される)上に搭載されてもよい。ツール接合部分は、キャリアをツールステーションに積載するためのインデクサを有してもよい。 FIG. 35 shows the annular buffer system 8000. The buffer station ST of the system may be movable and the truck 8100 moves the buffer station ST between loading positions R where the carrier can be loaded onto the buffer station ST and loading station LP at the tool junction (eg, with overhead loading). It may be mounted on (in an exemplary embodiment, it is shown as a closed ring). The tool junction may have an indexer for loading the carrier onto the tool station.

ここで、図36A〜36Cを参照すると、さらに別の例示的な実施形態による、基板キャリア2000の斜視図、側面図、および底面図がそれぞれ示されている。キャリア2000は、代表的なキャリアであり、例示的構成を有するように示される。示される実施形態におけるキャリア2000は、例示目的のために、底面開口型キャリアとして図示されるが、別の実施形態では、キャリアは、上面開口型、または側面開口型等のいかなる他の所望の構成を有してもよい。図36A〜36Cに示される例示的な実施形態におけるキャリア2000は、図1〜3に示されるキャリア200、200’、300と概して類似してもよく、類似する機構には、類似番号が付けられる。したがってキャリア2000は、開口部2004(例示目的のために、1つの開口部のみが図36A〜36Cに示される)を1つ以上有するシェルまたはケーシング2012を有し、該開口部を通してウェハがキャリアに/キャリアから搬送されてもよい。キャリアのシェルは、個々の開口部2004を閉締する開口部の閉締ドアを形成してもよい移動可能な壁またはセクション2016を有してもよい。前述されたように、示される例示的な実施形態では、シェル2012は、開口部2004を開口および閉締するために移動可能である底面壁2016を有してもよい。別の実施形態では、キャリアのシェルのいずれかの他のセクションまたは壁は、キャリアの内および外へのウェハの搬送を可能にするために、移動可能であってもよい。移動可能なセクション2016は、示され、前述されたものと同様な方法で、残りのケーシング2014に封止されてもよく、ケーシングは、例えば、不活性ガス、周囲雰囲気と異なる圧力または真空の高清浄度の空気等の隔離された雰囲気を保持可能であってもよい。シェル2014および移動可能な壁2016は、前述された壁216およびシェル214と類似する受動的な構造体であってもよく、例えば磁気またはいかなる他の所望の受動的な固定具で互いに固定されてもよい。例示的な実施形態では、壁2016は、磁気要素2016C(例えば鉄鋼材)を含んでもよく、シェル2014は、壁およびシェルを固定ならびに解放するために作動される磁気スイッチ2014Sを有してもよい。壁内の磁気要素およびシェル内の動作可能な磁石2014Sは、キャリアドア(壁またはシェルのいずれか、図36A、36C参照)をポートドアに固定し、キャリアドアをキャリアの他の部分から固定解除するように、ポートドア接合部分(以下にさらに記載されるような)内の磁気固定具と連動できるように構成されてもよい。別の実施形態では、壁とシェルとの間の磁気固定具は、いかなる他の所望の構成を有してもよい。受動的な金属キャリア2000およびキャリアドア2016、2014は、真空に適合する清潔かつ洗浄可能なキャリアを提供する。 Here, with reference to FIGS. 36A to 36C, a perspective view, a side view, and a bottom view of the substrate carrier 2000 according to still another exemplary embodiment are shown. Carrier 2000 is a representative carrier and is shown to have an exemplary configuration. The carrier 2000 in the embodiments shown is illustrated as a bottom-opening carrier for illustrative purposes, but in another embodiment the carrier is any other desired configuration, such as a top-opening or side-opening carrier. May have. Carriers 2000 in the exemplary embodiments shown in FIGS. 36A-36C may be generally similar to carriers 200, 200', 300 shown in FIGS. 1-3, and similar mechanisms are numbered similarly. .. Thus, the carrier 2000 has a shell or casing 2012 having one or more openings 2004 (for illustrative purposes, only one opening is shown in FIGS. 36A-36C), through which the wafer becomes a carrier. / May be transported from the carrier. The shell of the carrier may have a movable wall or section 2016 that may form an opening closing door that closes the individual openings 2004. As mentioned above, in the exemplary embodiments shown, the shell 2012 may have a bottom wall 2016 that is movable to open and close the opening 2004. In another embodiment, any other section or wall of the carrier shell may be movable to allow the wafer to be transported in and out of the carrier. The movable section 2016 may be sealed in the remaining casing 2014 in a manner similar to that shown and described above, where the casing is, for example, an inert gas, a pressure or vacuum height different from the ambient atmosphere. It may be possible to maintain an isolated atmosphere such as clean air. The shell 2014 and the movable wall 2016 may be passive structures similar to the wall 216 and shell 214 described above and may be secured to each other, for example by magnetism or any other desired passive fixture. May be good. In an exemplary embodiment, the wall 2016 may include a magnetic element 2016C (eg steel) and the shell 2014 may have a magnetic switch 2014S that is actuated to secure and release the wall and shell. .. Magnetic elements in the wall and actuable magnets 2014S in the shell secure the carrier door (either the wall or shell, see FIGS. 36A, 36C) to the port door and unlock the carrier door from the rest of the carrier. As such, it may be configured to interlock with a magnetic fixture within the port door joint (as described further below). In another embodiment, the magnetic fixture between the wall and the shell may have any other desired configuration. The passive metal carriers 2000 and carrier doors 2016, 2014 provide vacuum-compatible, clean and washable carriers.

図36A〜36Cに示される例示的な実施形態では、キャリア2000は、複数のウェハを運搬するための構成で図示される。別の実施形態では、キャリアは、一体ウェハ緩衝装置を有するまたは有さないシングルウェハ、もしくはいかなる所望の数のウェハを運搬するための所望の寸法であってもよい。前述された例示的な実施形態のキャリア200、200’、300と同様に、キャリア2000は、従来の13〜25ウェハキャリアと比較し、低減または小さなロットサイズのキャリアであってもよい。図36A〜36Bに最もよく見られるように、キャリアのシェルは、搬送システム接合部分セクション2060を有してもよい。キャリア2000の搬送システム接合部分セクション2060は、図20〜30に示されるものと類似するコンベヤシステム等のいかなる所望の搬送システムと接合するように配置されてもよい。例えば、キャリアは、キャリアケーシングに配置または接続され、キャリアをコンベヤに沿って推進するために、コンベヤシステムの搬送機のリニアまたは平面モータのフォーサーセクションと連動することができる、鉄鋼磁性体パッドまたは部材等の反応要素を含んでもよい。キャリアケーシングに接続されるリニアまたは平面モータの反応要素の適した構成の一例は、参照することにより前述のように本明細書に組み込まれる、2003年10月30日に出願された米国特許出願シリアル番号第10/697,528号に記載される。また、図36A〜36Cに示される例示的な実施形態では、キャリアの接合部分セクション2060は、キャリアが搬送システム上を移動するおよび/またはそこに静止している場合に、キャリアを搬送システムから支持するために、搬送システムと接合してもよい、キャリア支持体部材または表面2062を有してもよい。支持表面は、非接触型または接触型支持表面であってもよく、キャリアを搬送システムから安定して支持するために、側面(例えば表面2062S)または底面(例えば表面2062B)上に、もしくはこれらと対向して配置されてもよく、あるいはいずれかの他の所望の位置または対向位置に配置されてもよい。非接触型支持表面は、例えば、実質的に平坦なエリア、表面、またはパッドであってもよく、ケーシングに接続またはその上に配置され、いかなる適した手段で形成され、安定してキャリアを保持できるように(空気ベアリング単独または搬送システムモータによって付与される起動力(例えば磁力)との組み合わせのいずれかに基づいて)、搬送システムの空気ベアリング(図示せず)と相互作用することができる。別の実施形態では、キャリアケーシングは、浮いている(例えば非接触)が、安定してキャリアを搬送システム構造体から支持するために、空気(またはいずれかの他の所望のガス)を(受動的な)搬送システム構造体に向ける(能動的な)空気ベアリングを1つ以上有してもよい。本実施形態では、キャリアの空気ベアリングに送り込むための空気/ガスの適した供給源(例えばファンまたはガスポンプ)がキャリアに接続されてもよい。その他の別の実施形態では、キャリアケーシングおよび搬送システムは、能動的な空気ベアリング面および受動的な空気ベアリング面の両方(例えば搬送システム内の持ち上げ空気ベアリングおよびキャリア内の水平誘導空気ベアリング)を有してもよい。キャリア2000は、その他のハンドリング部材、例えば図36Bに示されるようなハンドリングフランジ2068等のフランジまたは表面を有してもよい。 In the exemplary embodiment shown in FIGS. 36A-36C, the carrier 2000 is illustrated with a configuration for transporting a plurality of wafers. In another embodiment, the carrier may be a single wafer with or without an integrated wafer shock absorber, or the desired dimensions for carrying any desired number of wafers. Similar to the carriers 200, 200', 300 of the exemplary embodiments described above, the carrier 2000 may be a carrier with a reduced or smaller lot size as compared to conventional 13-25 wafer carriers. As most commonly seen in FIGS. 36A-36B, the shell of the carrier may have a transport system junction section 2060. The transport system junction section 2060 of the carrier 2000 may be arranged to join any desired transport system, such as a conveyor system similar to that shown in FIGS. 20-30. For example, the carrier is a steel magnetic pad or member that is placed or connected to the carrier casing and can work with the forcer section of the linear or planar motor of the conveyor of the conveyor system to propel the carrier along the conveyor. Etc. may be included. An example of a suitable configuration of a linear or planar motor reaction element connected to a carrier casing is incorporated herein by reference in a US patent application serial filed on October 30, 2003. No. 10 / 697,528. Also, in an exemplary embodiment shown in FIGS. 36A-36C, the joining portion section 2060 of the carrier supports the carrier from the transport system when it moves on and / or rests on the transport system. It may have a carrier support member or surface 2062 that may be joined to the transport system. The support surface may be a non-contact or contact support surface, on or with a side surface (eg, surface 2062S) or a bottom surface (eg, surface 2062B) to stably support the carrier from the transport system. They may be placed facing each other, or they may be placed at any other desired position or facing position. The non-contact support surface may be, for example, a substantially flat area, surface, or pad, connected to or placed on the casing, formed by any suitable means, and stably retains the carrier. As possible (based on either the air bearing alone or in combination with the starting force (eg, magnetic force) applied by the transfer system motor), it can interact with the air bearing (not shown) of the transfer system. In another embodiment, the carrier casing is floating (eg, non-contact) but is (passive) air (or any other desired gas) in order to stably support the carrier from the transport system structure. There may be one or more (active) air bearings directed at the (specific) transfer system structure. In this embodiment, a suitable source of air / gas for feeding into the carrier's air bearings (eg, a fan or gas pump) may be connected to the carrier. In another other embodiment, the carrier casing and transfer system have both active and passive air bearing surfaces (eg, lift air bearings in the transfer system and horizontal induction air bearings in the carrier). You may. The carrier 2000 may have other handling members, such as a flange or surface such as a handling flange 2068 as shown in FIG. 36B.

例示的な実施形態では、キャリア2000は、キャリアを処理ツールの積載セクション(例えば積載ポート)と接合可能にする連結インタフェースとしてのツール接合部分セクション(ツールインタフェースセクション)2070を有してもよい。処理ツールは、いかなる種類のものであってもよい。例示的な実施形態では、接合部分(インタフェース)2070は、キャリアの底面上に位置してもよい。別の実施形態では、キャリアは、キャリアのいずれかの他の所望の側面上にツール接合部分(ツールインタフェース)を有してもよい。さらに他の別の実施形態では、キャリアは、キャリアを異なる構成でツールと接合できるようにする、複数のツール接合部分(例えば底面および側面)を有してもよい。例示的な実施形態におけるキャリア2000のツール接合部分セクション2070は、図36Cに最もよく見られる。図36Cに示されるツール接合部分セクション2070の構成は、例示に過ぎず、別の実施形態では、キャリアは、いかなる他の所望の構成を有するツール接合セクションを有してもよい。例示的な実施形態では、接合部分セクション2070は、機構を有し、キャリアの適切なSEMI標準(SEMI E.47.1およびE57、ならびにいずれかの他の適切なSEMIまたは他の標準)と概して適合してもよく、これらすべての標準は、参照することによりその全体が本明細書に組み込まれる。したがって、例示的な実施形態では、キャリアの接合部分セクション2070は、従来の積載ポート接合部分に位置する一次および/または二次KCピン(図示せず)を受け入れるために、SEMI標準E.47.1およびE57に準拠して配置される運動学的連結(KC)受け器を含んでもよい。また、キャリアの接合部分2070は、キャリアのSEMI標準に準拠するインフォメーションパッドを1つ以上有するセクションを有してもよい。別の実施形態では、キャリア接合セクションには、1つ以上のSEMI指定の機構が提供されなくてもよい(例えば、接合セクションには、運動学的連結機構が提供されなくてもよい)が、それにもかかわらず、該機構に対応する予備エリアをケーシングの側面接合部分上に有してもよい。したがって、例示的な実施形態では、キャリアの接合部分セクション2070は、従来の処理ツールの従来の積載接合部分にキャリア2000を接合可能であってもよい。理解され得るように、および前述された実施形態に関して記載されるように、キャリアをプロセス環境に連結する積載ポートにキャリアを結合するため(または例えば処理装置内の真空を維持するため)に、キャリア内部が処理環境に対して実質的に封止され、キャリア上の汚れた表面と称される場合がある表面が、処理環境から実質的に隔離され、切り離されるように、キャリアを結合することが望ましい。理解され得るように、キャリア/積載ポートは、前述されたように、キャリアを封止するために接合部分と接触し、キャリアと積載ポートとの間の運動学的連結具は、キャリアと積載ポートとの間に過剰な拘束条件を生じてもよい。過剰な拘束を緩和するために、キャリアと積載ポートとの間の運動学的連結具がコンプライアンスを有し、積載ポート接合部分にキャリアを繰り返し位置付け可能であってもよい。連結コンプライアンスは、積載ポート接合部分からの先行荷重によって起動されてもよい。ここで、図36Eを参照すると、例示的な実施形態による、コンプライアント運動学的連結具2072の代表的な接合部分(インタフェース部分)2272の概略断面図が示されている。一般的に連結接合(連結インタフェース)部分2072には、ピン2274および溝またはデテント2276が配置されてもよく、キャリア上の過剰な拘束をいかなる所望の自由度(例えばキャリアの傾斜、転がり、揺れ)に緩和するために、1つ以上の所望の方向(矢印X、Zで示されるような)におけるコンプライアンスまたは柔軟性が提供されてもよい。一例として、連結ピン2274は、コンプライアンスを有してもよい(バネ荷重、例えば屈曲して搭載された概して球体のピン、弾性的な可撓性材料等から作製されたピンによって等)。また、連結溝2276も整合性を有してもよい(屈曲して搭載する、弾性的な可撓性材料内に溝を形成することによって、先行荷重下で圧縮される場合に溝表面が屈曲するようにする等)。 In an exemplary embodiment, the carrier 2000 may have a tool joining portion section (tool interface section) 2070 as a coupling interface that allows the carrier to join the loading section (eg, loading port) of the processing tool. The processing tool may be of any kind. In an exemplary embodiment, the junction (interface) 2070 may be located on the bottom surface of the carrier. In another embodiment, the carrier may have a tool junction (tool interface) on any other desired aspect of the carrier. In yet another embodiment, the carrier may have multiple tool joining portions (eg, bottom and sides) that allow the carriers to join the tools in different configurations. The tool junction section 2070 of the carrier 2000 in the exemplary embodiment is most commonly found in FIG. 36C. The configuration of the tool junction section section 2070 shown in FIG. 36C is merely exemplary, and in another embodiment the carrier may have a tool junction section with any other desired configuration. In an exemplary embodiment, the junction section section 2070 has a mechanism and generally with the appropriate SEMI standards for carriers (SEMI E.47.1 and E57, as well as any other suitable SEMI or other standard). All of these standards may be adapted and are incorporated herein by reference in their entirety. Thus, in an exemplary embodiment, the carrier junction section 2070 receives primary and / or secondary KC pins (not shown) located at the conventional loading port junction. It may include a kinematic connection (KC) receiver arranged in accordance with 47.1 and E57. Also, the carrier junction 2070 may have a section with one or more information pads that comply with the carrier's SEMI standards. In another embodiment, the carrier junction section may not be provided with one or more SEMI-designated mechanisms (eg, the junction section may not be provided with a kinematic connection mechanism). Nevertheless, a spare area corresponding to the mechanism may be provided on the side joint portion of the casing. Thus, in an exemplary embodiment, the carrier junction section 2070 may be capable of joining the carrier 2000 to the conventional loading junction of conventional processing tools. To attach the carrier to a loading port that connects the carrier to the process environment (or to maintain a vacuum in the processing equipment, for example), as can be understood and as described with respect to the embodiments described above. Carriers can be bonded so that the interior is substantially sealed to the treatment environment and the surface, sometimes referred to as the dirty surface on the carrier, is substantially isolated and separated from the treatment environment. desirable. As can be understood, the carrier / loading port contacts the junction to seal the carrier, and the kinematic connection between the carrier and the loading port is the carrier and loading port, as described above. Excessive constraints may occur between and. In order to alleviate excessive restraint, the kinematic connection between the carrier and the loading port may be compliant and the carrier may be repeatedly positioned at the loading port junction. Concatenated compliance may be triggered by a preload from the loading port junction. Here, with reference to FIG. 36E, a schematic cross-sectional view of a typical joint portion (interface portion) 2272 of the compliant kinematic connector 2072 according to an exemplary embodiment is shown. Generally, the connecting joint (connecting interface) portion 2072 may be arranged with pins 2274 and grooves or detents 2276 to allow excessive restraint on the carrier to any desired degree of freedom (eg, tilting, rolling, rocking of the carrier). Compliance or flexibility in one or more desired directions (as indicated by arrows X, Z) may be provided to alleviate. As an example, the connecting pin 2274 may be compliant (eg by a spring load, eg, by a generally spherical pin mounted in a bent manner, a pin made of an elastic flexible material, etc.). The connecting groove 2276 may also be consistent (by forming a groove in an elastic flexible material that bends and mounts, the groove surface bends when compressed under a prior load. Etc.).

さらに、例示的な実施形態では、キャリアの接合部分セクション2070は、キャリアと処理ツールの積載接合部分との非接触型連結接合を可能にするために、以下でより詳細に記載されるように、さらに構成されてもよい。 Further, in an exemplary embodiment, the carrier joint section 2070 is described in more detail below to allow non-contact connection joints between the carrier and the loading joint of the processing tool. It may be further configured.

理解され得るように、キャリア2000等のウェハキャリアは、通常、処理するためのプロセスツールに関連して置かれてもよい。ウェハをツールに自動で搬送するために、ウェハキャリアとツールの積載ポートとを近接して配置することが望ましい。従来の位置付け方法は、通常、キャリアの底面と接触する、従来の機械的連結具を使用することができる。例えば、これらの従来の機械的連結は、全位置ズレを補正し、ウェハキャリアを位置合わせした位置に誘導することを助長するリードインまたはカムを提供する。あいにく、この機構は、積載ポートの結合ピンと滑り接触するために、キャリアのリードイン表面に依存し、その結果、摩耗する、および汚染物質を生成する可能性が生じる。従来の機械的連結具の使用における第2の問題は、正常に機能するために、従来の結合の捕捉範囲内においてキャリアをまばらに置くことが望まれるということである。キャリア搬送システムは、搬送システムの複雑性および/または適切な配置にかかる時間(例えば再試行)のいずれかを負荷する原因となる。したがって、キャリア搬送システムは、従来の機械的連結具の捕捉範囲内、または従来の用途では、摩耗を防ぐための名目上位置合わせした位置にキャリアを配置するために、十分繰り返し可能に設計されるべきである。必然的に、キャリア搬送システムは、多数のサイクルにわたり繰り返し性を達成できず、結果的に粒子を生成する滑り接触が生じる。キャリア2000の接合部分は、ウェハキャリアのプロセスツールへの位置付けにおいて同一の繰り返し性を提供し得るが、非接触(例えば磁気)連結を使用する。この機能は、配置許容を緩和し、結果としてキャリアの積み込み/取り出しステップの速度を向上するリードイン機構を搬送システムが完全に認識できるようにする。第2に、配置誤差を補正するためのすべての運動は、清浄度のために関連するいかなる滑り運動をも除去し、キャリアと積載ポートとの間の物理的接触なく実行されてもよい。 As can be understood, wafer carriers such as Carrier 2000 may typically be placed in connection with process tools for processing. In order to automatically transfer the wafer to the tool, it is desirable to arrange the wafer carrier and the loading port of the tool in close proximity. Conventional positioning methods can typically use conventional mechanical connectors that come into contact with the bottom surface of the carrier. For example, these conventional mechanical connections provide lead-ins or cams that compensate for all misalignments and help guide the wafer carriers to aligned positions. Unfortunately, this mechanism relies on the lead-in surface of the carrier for sliding contact with the coupling pins of the loading port, which can result in wear and the formation of contaminants. A second problem with the use of conventional mechanical connectors is that it is desirable to place carriers sparsely within the trapping range of conventional bonds in order to function properly. The carrier transfer system is responsible for either the complexity of the transfer system and / or the time required for proper placement (eg, retries). Therefore, the carrier transfer system is designed to be sufficiently repeatable to position the carrier within the coverage of conventional mechanical connectors or, in conventional applications, in a nominally aligned position to prevent wear. Should be. Inevitably, the carrier transfer system cannot achieve repeatability over a large number of cycles, resulting in slip-contacts that produce particles. The joints of the carriers 2000 may provide the same repeatability in positioning the wafer carriers to process tools, but use non-contact (eg, magnetic) connections. This feature allows the transport system to fully recognize the lead-in mechanism, which relaxes placement allowances and, as a result, speeds up carrier loading / unloading steps. Second, all movements to correct placement errors may be performed without any physical contact between the carrier and the loading port, eliminating any sliding movements associated with cleanliness.

図36Cに見られるように、例示的な実施形態では、キャリアの接合部分セクション2070は、非接触型接合でキャリアと積載ポートを連結するための非接触型連結具2071を有してもよい。非接触型連結具2071は、通常、非接触型支持体またはリフトエリア2072、および非接触型連結セクション2074を含んでもよい。例示的な実施形態では、リフトエリア2072は、積載ポートの空気ベアリング(以下に記載される)と連動し、積載ポート内の空気ベアリングによって制御され、安定してキャリアを上げられるように配置される、実質的に平坦かつ滑らかな表面であってもよい。例示的な実施形態では、キャリアリフトエリアは、受動的であるが、別の実施形態では、キャリアは、キャリアを持ち上げるために、1つ以上の能動的空気/ガスベアリングを有してもよい。再び図36Cを参照すると、例示的な実施形態では、リフトエリア2072は、互いに類似し、積載ポートの空気ベアリングからキャリアを持ち上げる動作が実質的にリフトエリアセクションに作用する空気ベアリングからの圧力によって行われ、結果として生じる持ち上げがキャリアの重心(CG)と実質的に一致するように、キャリアケーシングの接合(例えば底面)側面に分散する3つのセクションを有してもよい。図36Cに示されるリフトエリアセクション2072の形状および数は、例示に過ぎず、別の実施形態では、リフトエリアは、いかなる所望の形状および数を有してもよい。例えば、リフトエリアは、単一の連続するもの(またはキャリアの接合部分の周囲の周りに延在する実質的に途切れのないセクション)であってもよい。例示的な実施形態では、リフトエリアは、SEMI準拠接合機構(例えば運動学的連結受け器、インフォパッド等)を妨げないように、キャリアの接合部分2070上に置かれる。リフトエリア2072は、接合の拘束内で可能な限りCGから離れて置かれてもよく、所望の圧力分布を生成し、キャリアと積載ポートとの間の所望の大きさの並進運動上の(すなわちx−y平面)位置ズレに適合するために所望の寸法であってもよい。例示的な実施形態では、リフトエリア2072は、単一軸(図36Cで軸Xで示される、例えば2軸間基準軸)に対して対象に配置されるが、キャリア接合部分のいずれの他の軸に対しては対象ではない。したがって、キャリアの接合部分2070は、ツール積載接合部分との非接触型接合部分が1つの適切な配向でのみ達成されるように、分裂される。不正な配向でキャリアを配置すると、結果としてキャリアリフトの不安定が生じ、これは、キャリアを配置する搬送システムの適したセンサ、またはキャリア自身もしくは積載ポートによって検出され、不正な配置を呈するために信号が送信されてもよい。また、積載ポートへのキャリアの適切な位置合わせを助長するために、リフトエリア2072は、所望の傾斜またはバイアスを有してもよい。別の実施形態では、キャリアと積載ポートとの間に位置合わせするために空気ベアリングが作用する際、可変の強度および可変の方向の所望の水平合力をキャリアに生成するために、リフトエリアは、機械、電気、圧電、熱、またはいずれかの他の適した手段等によって移動可能または傾斜変更可能であってもよい。 As seen in FIG. 36C, in an exemplary embodiment, the carrier joint portion section 2070 may have a non-contact connector 2071 for connecting the carrier and the loading port in a contactless junction. The non-contact connector 2071 may typically include a non-contact support or lift area 2072, and a non-contact connecting section 2074. In an exemplary embodiment, the lift area 2072 is interlocked with the air bearings of the loading port (described below), controlled by the air bearings in the loading port, and arranged to stably raise the carrier. , It may be a substantially flat and smooth surface. In an exemplary embodiment, the carrier lift area is passive, but in another embodiment the carrier may have one or more active air / gas bearings to lift the carrier. Referring again to FIG. 36C, in an exemplary embodiment, the lift areas 2072 are similar to each other and the action of lifting the carrier from the air bearings of the loading port is carried out by the pressure from the air bearings which substantially acts on the lift area section. We may have three sections distributed across the joint (eg bottom) sides of the carrier casing so that the resulting lift is substantially aligned with the carrier's center of gravity (CG). The shape and number of lift area sections 2072 shown in FIG. 36C is merely exemplary, and in another embodiment the lift area may have any desired shape and number. For example, the lift area may be a single contiguous one (or a substantially uninterrupted section that extends around the periphery of the carrier junction). In an exemplary embodiment, the lift area is placed on the carrier junction 2070 so as not to interfere with the SEMI-compliant junction mechanism (eg, kinematic junction receiver, infopad, etc.). The lift area 2072 may be placed as far away from the CG as possible within the constraints of the junction, producing the desired pressure distribution and on the desired magnitude of translational motion between the carrier and the loading port (ie). The dimensions may be desired to accommodate the xy plane) misalignment. In an exemplary embodiment, the lift area 2072 is symmetrically located with respect to a single axis (shown by axis X in FIG. 36C, eg, a biaxial reference axis), but any other axis of the carrier junction. Is not the target. Therefore, the carrier joint 2070 is split so that the non-contact joint with the tool loading joint is achieved in only one proper orientation. Placing carriers in the wrong orientation results in carrier lift instability, which is detected by the suitable sensors in the carrier placing carrier system, or by the carriers themselves or the loading port, to present the wrong placement. A signal may be transmitted. Also, the lift area 2072 may have the desired tilt or bias to facilitate proper alignment of the carrier to the loading port. In another embodiment, the lift area is designed to generate variable strength and the desired horizontal resultant force in the variable direction when the air bearing acts to align between the carrier and the loading port. It may be movable or tiltable by mechanical, electrical, piezoelectric, thermal, or any other suitable means.

さらに図36Cを参照すると、例示的な実施形態では、非接触型連結セクション074は、1つ以上の永久磁石2074A〜2074C(例示目的のために、3つの磁石2074A〜2074Cが示されるが、別の実施形態では、より多くまたはより少ない磁石が提供されてもよい)を有してもよい。連結磁石2074A〜2074Cは、搬送システムのリニア/平面モータの反応セクションの一部であってもよく、モータ反応セクションから独立してもよい。連結磁石2074A〜2074Cは、キャリアと積載ポートとの間の所望の位置ズレのため、積載ポートの連結磁石(以下に記載される)を披覆するのに十分な寸法であってもよい。示される例示的な実施形態では、連結磁石2074A〜2074Cは、単一軸(図36Cの軸X等)に対して対象に配置されてもよいが、キャリアの接合部分のすべての他の軸に対しては非対称である。したがって、キャリアの非接触型連結セクションは、積載ポートに対してキャリアが所望の配向では無い場合に、キャリアが積載ポートに連結するのを防ぐために、分離される。つまり、キャリアの非接触型連結具は、正しい配向のために、それでもなお積載ポートに「鍵かけ」されてもよく、すべての他の配向は、連結具によって嵌合されず、したがって積載を試みない。積載ポート上にキャリアが不正に置かれ、適切に連結できない場合に、それを検出し、搬送システムに移動させるのに適した信号を送信し、可能な場合、キャリアを適切な配向に置き直すために、積載ポートまたはキャリアに適したセンサが提供されてもよい。別の実施形態では、非接触型連結セクション、および/またはリフトエリアは、キャリアの接合部分の複数の軸に対して対称に配置されてもよい。 Further referring to FIG. 36C, in an exemplary embodiment, the non-contact connecting section 074 has one or more permanent magnets 2074A-2074C (for illustrative purposes, three magnets 2074A-2074C are shown, but separately. In the embodiment of, more or less magnets may be provided). The connecting magnets 2074A-2074C may be part of the reaction section of the linear / planar motor of the transfer system or may be independent of the motor reaction section. The connecting magnets 2074A-2074C may be of sufficient size to overturn the connecting magnets (described below) of the loading port due to the desired misalignment between the carrier and the loading port. In the exemplary embodiments shown, the connecting magnets 2074A-2074C may be symmetrically placed with respect to a single axis (such as axis X in FIG. 36C), but with respect to all other axes of the carrier junction. Is asymmetric. Therefore, the non-contact connecting sections of the carriers are separated to prevent the carriers from connecting to the loading port if the carriers are not in the desired orientation with respect to the loading port. That is, the carrier's non-contact connector may still be "locked" to the loading port for the correct orientation, and all other orientations are not fitted by the connector and therefore attempt to load. Absent. To detect if a carrier is improperly placed on the loading port and cannot be properly coupled, send a suitable signal to move it to the transport system and, if possible, reorient the carrier in the proper orientation. May be provided with sensors suitable for the loading port or carrier. In another embodiment, the non-contact connecting section and / or lift area may be arranged symmetrically with respect to the plurality of axes of the carrier junction.

ここで、図36Dを参照すると、別の例示的な実施形態による、キャリア2000’の底面図が示されており、キャリア2000’は、前述されたキャリア2000とほぼ類似し、類似機構には、類似番号が付けられている。キャリア2000’は、図36A〜36Cを参照して前述された非接触型連結具2071と概して類似する非接触型連結具2071’を有するキャリアの接合部分セクション2070’を有してもよい。図36Dに示される例示的な実施形態では、非接触型連結セクション2074’は、永久磁石の代わりに、鉄鋼磁性体セクション2074A’、2074B’、2074C’(キャリア内の搬送システムのモータ反応構成要素の一部であっても、それから独立していてもよい)を有してもよい。鉄鋼材セクション2074A’、2074B’、2074C’は、長方形、丸い円筒形、または球体等のいかなる所望の形状であってもよい。2074A’〜2074C’のそれぞれは、互いに類似してもよいが、別の実施形態では、所望の磁気連結具を画定する異なる共有セクションおよび方向特性がそれぞれのセクションで使用されてもよい。セクションは、積載ポート連結点の磁場内に収まり、キャリアが最初に積載ポート上に置かれる際のキャリアと積載ポートとの間の所望の初期位置ズレに適合するために、十分な寸法であってもよい。連結セクション2074A’、2074B’、2074C’は、キャリア上の磁力がキャリアを積載ポートに対して位置合わせした位置に偏らせるような大きさで、キャリアの接合部分上に配置されてもよい。図36Dに見られるように、例示的な実施形態では、連結セクション2074A’、2074B’、2074C’は、対称な単一軸(軸X)を画定するためにキャリアの接合部分上に分布し、したがってキャリアの非接触型連結2071’を鍵かけし、1つの配向においてのみ積載ポートへの連結を可能にしてもよい。別の実施形態では、連結セクションは、いずれかの他の所望の配置を有してもよい。 Here, with reference to FIG. 36D, a bottom view of the carrier 2000'according to another exemplary embodiment is shown, the carrier 2000' is substantially similar to the carrier 2000 described above, and similar mechanisms include: It has a similar number. The carrier 2000'may have a joint portion section 2070' of a carrier having a non-contact connector 2071' that is generally similar to the non-contact connector 2071 described above with reference to FIGS. 36A-36C. In an exemplary embodiment shown in FIG. 36D, the non-contact connecting section 2074', instead of a permanent magnet, is a steel magnetic material section 2074A', 2074B', 2074C' (motor reaction component of the transfer system in the carrier). It may be part of or independent of it). The steel sections 2074A', 2074B', 2074C' may have any desired shape, such as a rectangle, a round cylinder, or a sphere. Each of 2074A'to 2074C' may be similar to each other, but in another embodiment different shared sections and directional properties defining the desired magnetic coupling may be used in the respective sections. The section is large enough to fit within the magnetic field of the loading port connection point and to accommodate the desired initial misalignment between the carrier and the loading port when the carrier is first placed on the loading port. May be good. The connecting sections 2074A', 2074B', 2074C' may be arranged on the joint portion of the carrier so that the magnetic force on the carrier biases the carrier to a position aligned with respect to the loading port. As seen in FIG. 36D, in an exemplary embodiment, the connecting sections 2074A', 2074B', 2074C' are distributed on the junction of carriers to define a symmetric single axis (axis X) and thus. The non-contact connection 2071'of the carrier may be locked to allow connection to the loading port in only one orientation. In another embodiment, the connecting section may have any other desired arrangement.

ここで、図37A〜Dを参照すると、別の例示的な実施形態による、ツール積載ステーションまたは積載ポート2300の斜視図、端部断面図、側面断面図、および上平面図がそれぞれ示されている。示される例示的な実施形態では、積載ポートは、ウェハと接合し、前述されたキャリア2000、200、200’、300と類似する底面開口型キャリアからそれを積載する、およびそこへ積載するための構成を有してもよい。別の実施形態では、積載ポートは、いかなる他の所望の構成を有してもよい。積載ポート2300は、SEMI標準を例とし、適した搭載接合部分を有してもよい。BOLTS接合部分を備え、積載ポートがいかなる所望の処理ツールまたは作業ステーションと結合できるようにする。例えば、積載ポートは、処理ツールのEFEM等(より詳細に記載される)の制御雰囲気セクションに搭載/結合されてもよく、または処理ツール(図14に示される方法と類似した方法で)の雰囲気から隔離されたチャンバ(例えば真空移送チャンバ)または処理ツールの雰囲気に解放されたチャンバに結合されてもよい。本例示的な実施形態における積載ポートは、前述された積載ポートと類似する。積載ポート2300は、通常、キャリア積載接合部分2302、および積載空洞またはチャンバ2304(ウェハが個別にまたはカセットでキャリアから受け取られる、またはキャリアに戻される)を有してもよい。チャンバ2304は、隔離された雰囲気または制御(高清浄度)空気雰囲気を保持可能であってもよい(したがって積載ポートが処理ツールのロードロックとして機能できるようにする)。キャリア積載接合部分2302は、従来の積載ポートとは異なり、積載ポートに接合する際、キャリア配置領域内に実質的に突起がない、キャリアを支持する積載面2302Lを有してもよい。図37Aに見られるように、積載面は、キャリアと積載ポートとの間の相互位置ズレの場合にキャリア移動を抑制するために、キャリア配置領域の外側にバンパーまたはスナバを有してもよい。積載ポートの積載接合部分2302は、積載開口部(またはポート2308)(積載チャンバ2304に通じる)、および前述された積載ポートと類似するポートを閉締するポートドアを有してもよい。例示的な実施形態では、ポートドア2310は、実質的に平坦であり、積載接合部分の積載面と水平であってもよい。ポートドア2310は、図4A〜4Bに示されるものと類似する封止配置においてポート縁で封止されてもよい。理解され得るように、積載ポートの積載ポート接合部分2302に接合および連結される場合、キャリアケーシングおよびキャリアドアは、積載ポート縁2308Rおよびポートドア2310のそれぞれで、「ゼロ容量パージ」封止と称される場合があり、図4A〜4Bに示されるものと類似する配置を有する封止で封止される。別の実施形態では、ポート縁と、ポートドアと、キャリアケーシングと、キャリアドアとの間の封止は、いかなる他の所望の構成を有してもよい。例示的な実施形態では、ポートドア2310は、受動的な磁気連結具またはラッチを用いて、前述されたものとも同様な方法で、ポートに連結されてもよい。例示的な実施形態では、ポートドアとポートとの間の磁気連結/ラッチ要素は、ポートドアとポートとの間のラッチの作動と同時に、キャリアドアとケーシングとの間の受動的な磁気ラッチを作動するように置かれ、構成されてもよい。したがって、例えば、ポートからポートドアを固定解除すると、キャリアからキャリアドアが固定解除され、キャリアドアとキャリアを固定するポートドアが固定される。例示的な実施形態では、積載ポートは、図8〜14に示されるものと類似する、インデクサ2306およびパージ/通気システム2314を含んでもよい。 Here, with reference to FIGS. 37A-D, a perspective view, an end sectional view, a side sectional view, and an upper plan view of the tool loading station or the loading port 2300 according to another exemplary embodiment are shown, respectively. .. In the exemplary embodiment shown, the loading port is joined to a wafer and loaded from and loaded onto a bottom open carrier similar to the carriers 2000, 200, 200', 300 described above. It may have a configuration. In another embodiment, the loading port may have any other desired configuration. The loading port 2300 may have a suitable mounting junction, taking the SEMI standard as an example. A BOLTS junction is provided to allow the loading port to be coupled with any desired processing tool or work station. For example, the loading port may be mounted / coupled to the control atmosphere section of the processing tool, such as EFEM (described in more detail), or the atmosphere of the processing tool (in a manner similar to that shown in FIG. 14). It may be coupled to a chamber isolated from (eg, a vacuum transfer chamber) or a chamber open to the atmosphere of the processing tool. The loading port in this exemplary embodiment is similar to the loading port described above. The loading port 2300 may typically have a carrier loading junction 2302 and a loading cavity or chamber 2304 (wafers are received from the carrier individually or in cassettes or returned to the carrier). Chamber 2304 may be capable of retaining an isolated atmosphere or a controlled (high cleanliness) air atmosphere (thus allowing the loading port to act as a load lock for processing tools). Unlike the conventional loading port, the carrier loading joining portion 2302 may have a loading surface 2302L that supports the carrier so that there is substantially no protrusion in the carrier arrangement region when joining to the loading port. As seen in FIG. 37A, the loading surface may have bumpers or snubbers outside the carrier placement area to prevent carrier movement in the event of mutual misalignment between the carrier and the loading port. The loading joint portion 2302 of the loading port may have a loading opening (or port 2308) (leading to the loading chamber 2304) and a port door that closes a port similar to the loading port described above. In an exemplary embodiment, the port door 2310 may be substantially flat and horizontal to the loading surface of the loading joint. The port door 2310 may be sealed at the port edge in a sealing arrangement similar to that shown in FIGS. 4A-4B. As will be appreciated, when joined and coupled to the loading port junction portion 2302 of the loading port, the carrier casing and carrier door are referred to as "zero capacitance purge" sealing at each of the loading port edge 2308R and port door 2310. It is sealed with a seal having a similar arrangement to that shown in FIGS. 4A-4B. In another embodiment, the seal between the port rim, the port door, the carrier casing, and the carrier door may have any other desired configuration. In an exemplary embodiment, the port door 2310 may be coupled to the port using a passive magnetic connector or latch in a manner similar to that described above. In an exemplary embodiment, the magnetic coupling / latch element between the port door and the port provides a passive magnetic latch between the carrier door and the casing at the same time that the latch between the port door and the port is activated. It may be placed and configured to operate. Therefore, for example, when the port door is unfixed from the port, the carrier door is unfixed from the carrier, and the carrier door and the port door that fixes the carrier are fixed. In an exemplary embodiment, the loading port may include an indexer 2306 and a purge / ventilation system 2314 similar to those shown in FIGS. 8-14.

また、図37Dを参照すると、例示的な実施形態の積載ポートのキャリア積載接合部分は、例えばキャリア2000を積載ポート2300に接合および連結するために、キャリア2000の非接触型接合部分セクション2071と連動してもよい、実質的に非接触型接合部分セクション2371を有してもよい。図3710に示されるように、例示的な実施形態では、接合部分セクション2371は、1つ以上の空気ベアリング2372および非接触型連結セクション2374を有してもよい。積載ポートの空気ベアリング2372は、いかなる適した種類および構成であってもよく、例えば「鍵かけ」配置内に置かれ、通常、キャリアの接合部分上のリフティングエリア2072の配置に対応してもよい。したがって、空気ベアリング2372は、積載ポートに連結される際のキャリア2000の配置を定める参照基準Xに対して対象に配置されてもよい。適した空気/ガスの供給源(図示せず)が空気ベアリングに供給を行う。空気ベアリングへの所望のガスの流れを維持するために、適した調整器(図示せず)を使用してもよい。所望により、空気ベアリングへのガス供給元および調整器が置かれてもよい。例えば、積載ポートの積載チャンバ2304の外部または内部だが、チャンバの内部雰囲気から隔離されてもよい、例えば空気ベアリング2372(図37C参照)へのガス供給源2372Sは、ベローズまたは他の可撓性の封止されたスリーブ内からガス供給源を積載チャンバから隔離する空気ベアリングに延在してもよい。さらなる実施例として、空気ベアリングへのガス供給源は、図14に示されるパージおよび通気ラインと同様な方法でインデクシングデバイスを隔離するベローズ封止内に延在してもよい。例示的な実施形態では、キャリアの空気/リフトエリアは、キャリアドア上であってもよく、したがって例示的な実施形態では、積載ポート(実質的にリフトエリアの下方に位置する)の空気ベアリング2372は、ポートドア2310の境界内に置かれてもよい。別の実施形態では、空気ベアリングは、ポートフレームまたはポート縁上に置かれてもよく、空気ベアリングへのガス供給は、積載ポートの積載チャンバの完全に外部に置かれてもよい。例示的な実施形態では、空気ベアリング2372は、オリフィスベアリング(実質的に局限された排気を有する)であってもよく、または分散したほぼ均一な排気を有する多孔質媒体空気ベアリングであってもよい。それぞれの空気ベアリング2372からの排気流量は、圧力、質量流量、および方向(一例として、図37CのABによって実質的に垂直に示される)の点から、固定されてもよい(実質的に一定であり続けてもよい)。別の実施形態では、空気ベアリングは、可変の排気流量を有し、積載ポートに対するキャリアの移動をオフセットするため、およびキャリアを積載ポートに位置合わせすることを促進するために、例えば排気流量特性(例えば圧力、質量、または方向)を変更できるようにしてもよい。理解され得るように、キャリア上の空気ベアリング2372およびリフトパッド2072は、所望の位置ズレ許容帯またはキャリアを積載ポート上に初回配置する際の配置領域を提供するような大きさであってもよい。 Also referring to FIG. 37D, the carrier loading joint portion of the loading port of the exemplary embodiment is interlocked with the non-contact joint portion section 2071 of the carrier 2000, for example to join and connect the carrier 2000 to the loading port 2300. It may have a substantially non-contact joint portion section 2371. As shown in FIG. 3710, in an exemplary embodiment, the joint section 2371 may have one or more air bearings 2372 and a non-contact connecting section 2374. The air bearing 2372 of the loading port may be of any suitable type and configuration and may be placed, for example, in a "locking" arrangement and typically accommodate an arrangement of lifting areas 2072 over carrier joints. .. Therefore, the air bearing 2372 may be positioned relative to reference reference X, which determines the placement of the carrier 2000 when connected to the loading port. A suitable air / gas source (not shown) supplies the air bearings. A suitable regulator (not shown) may be used to maintain the desired gas flow to the air bearings. If desired, a gas source and regulator for the air bearings may be placed. For example, outside or inside the loading chamber 2304 of the loading port, but may be isolated from the internal atmosphere of the chamber, eg, the gas source 2372S to the air bearing 2372 (see FIG. 37C) is a bellows or other flexible The gas source may extend from within the sealed sleeve to an air bearing that isolates it from the loading chamber. As a further embodiment, the gas source to the air bearings may extend within a bellows seal that isolates the indexing device in a manner similar to the purge and vent lines shown in FIG. In an exemplary embodiment, the carrier air / lift area may be on the carrier door, and thus in the exemplary embodiment, the air bearing 2372 of the loading port (substantially located below the lift area). May be placed within the boundaries of the port door 2310. In another embodiment, the air bearings may be placed on the port frame or port rim, and the gas supply to the air bearings may be placed completely outside the loading chamber of the loading port. In an exemplary embodiment, the air bearing 2372 may be an orifice bearing (having substantially localized exhaust) or a porous medium air bearing with dispersed, nearly uniform exhaust. .. The exhaust flow rate from each air bearing 2372 may be fixed (substantially constant) in terms of pressure, mass flow rate, and direction (shown substantially vertically by AB in FIG. 37C, for example). May continue to exist). In another embodiment, the air bearing has a variable exhaust flow rate, for example to offset the movement of the carrier with respect to the loading port, and to facilitate alignment of the carrier with the loading port, eg, exhaust flow characteristics ( For example, the pressure, mass, or direction) may be changed. As will be appreciated, the air bearings 2372 and lift pads 2072 on the carrier may be sized to provide a desired misalignment tolerance band or placement area for initial placement of the carrier on the loading port. ..

ここで、図37Eを参照すると、別の例示的な実施形態による積載ポート2300’の平面図が示されており、積載ポート2300’は、積載ポート2300と類似し、類似機構には、類似番号が付けられている。本例示的な実施形態では、1つ以上の空気ベアリング2372’は、ノズルのアレイを有してもよい。ノズルのアレイからの排気AB1〜AB4は、方向付け可能な合成排気を提供するために、組み合わせられてもよい。一例として、アレイのそれぞれのノズルは、その他のノズルの排気に対して排気角度を有してもよい。1つ以上のノズルからの排気流量は、固定されてもよく、または可変であってもよい。アレイの空気ノズルが最大流量で動作している場合、合成排気は、第1の所望の方向(例えば実質的に垂直)を有する。アレイの1つ以上のノズルを通る流量の停止または減少は、合成排気方向に変化が生じ、結果として積載面における方向成分となる。別の実施形態では、空気ベアリングのノズルは、排気の方向を制御するために可動式(例えば、傾斜変更可能な基盤上に搭載された空気ベアリングのノズル)であってもよく、または形状変更可能(例えば圧電材料または形状記憶材料を使用することによって)であってもよい。理解され得るように、積載面内の空気ベアリング排気の方向成分は、積載面内の空気ベアリングに乗っているキャリアに、排気の方向成分と対向する方向の起動力を付与し、積載面内のキャリアの横運動を生じる。 Here, with reference to FIG. 37E, a plan view of the loading port 2300'according to another exemplary embodiment is shown, the loading port 2300'is similar to the loading port 2300, and similar mechanisms have similar numbers. Is attached. In this exemplary embodiment, one or more air bearings 2372'may have an array of nozzles. The exhausts AB1 to AB4 from the array of nozzles may be combined to provide a directional synthetic exhaust. As an example, each nozzle of the array may have an exhaust angle with respect to the exhaust of the other nozzles. The exhaust flow rate from one or more nozzles may be fixed or variable. When the air nozzles of the array are operating at maximum flow rate, the combined exhaust has a first desired direction (eg, substantially vertical). Stopping or reducing the flow rate through one or more nozzles of the array results in a change in the combined exhaust direction, resulting in a directional component on the loading surface. In another embodiment, the air bearing nozzles may be movable (eg, air bearing nozzles mounted on a tiltable board) to control the direction of exhaust, or they can be reshaped. It may be (eg, by using a piezoelectric material or a shape memory material). As can be understood, the directional component of the air bearing exhaust in the loading surface gives the carrier on the air bearing in the loading surface a starting force in the direction opposite to the directional component of the exhaust and is in the loading surface. Causes lateral movement of the carrier.

再び図37A〜37Dを参照すると、積載ポートの非接触型連結セクション2374は、キャリアと積載ポートとの間(キャリアドア2016とポートドア2310との間、および所望により、キャリアケーシングと積載ポートフレームとの間等)の磁気固定可能/固定不可能な連結を画定するために、磁石2074A〜2074C(図36C参照)またはキャリアの磁性体セクション2074A’〜2074C’と連動するように置かれた磁石セクション2374A〜2374Cを備えてもよい。また、例示的な実施形態では、キャリアの磁石2074A〜2074C、または磁性体セクション2074A’〜2074C’1と連動する積載ポートの磁石セクション2374A〜2374Cは、以下に記載される所望の位置合わせを達成するために、積載部分のキャリアの位置を調整することができる、キャリア位置補正デバイスを形成してもよい。図に示される磁石セクション2374A〜2374Cの配置は、例示に過ぎず、別の実施形態では、積載ポートの非接触型キャリア連結セクションの磁石セクションは、いかなる所望の方法で配置/構成されてもよい。磁石セクション2374A〜2374Cは、作動された場合に、キャリア内の磁石または磁気セクションに所望の方向にバイアスをかける所望の磁場(キャリアと積載ポートの固定/連結を生じるため、および/またはキャリア上に補正力を付与するため等)を生成する磁気スイッチとなる動作可能な磁石であってもよい。図37Aおよび37Dに見られるように、例示的な実施形態では、積載ポート接合部分は、キャリア搬送システムに積載ポートの位置/場所を教え、キャリアの積載ポート接合部分上への初回配置を可能にする、非接触型位置合わせシステム2380を有してもよい。前述されたように、積載ポートの配置領域には、実質的に突起がなく、例示的な実施形態では、キャリアを配置領域に初期配置する際、キャリアと積載ポートとの間に実質的な接触がない(すなわち摩擦接触がない)。示される例示的な実施形態では、位置合わせシステム2380は、適したセンサが像を取得することができるレジストレーションマスクのアレイまたはパターンを有してもよい。図37Dに示されるマスクのパターンは、例示に過ぎず、別の実施形態では、適したセンサが像を取得できる、いかなる適したマスキングパターンが使用されてもよく、すべての所望の自由度を定める。例えば搬送システム(例えば図26B参照)のキャリア保持部分上に置かれてもよいセンサ(図示せず)は、パターンおよびその空間特性の画像を取得することができる、例えばCCDまたはCMOS画像センサであってもよい。パターンを具象化する画像データは、キャリア搬送機に対する積載ポート配置領域の位置を判断し、キャリア搬送機に該位置を教えるために、キャリア搬送機とパターンの位置データを同様に登録し、関連付ける、適したプロセッサに伝達されてもよい。 With reference to FIGS. 37A-37D again, the non-contact connecting section 2374 of the loading port is between the carrier and the loading port (between the carrier door 2016 and the port door 2310, and optionally with the carrier casing and the loading port frame. Magnet sections placed in conjunction with magnets 2074A-2074C (see FIG. 36C) or carrier magnetic sections 2074A'-2074C' to define magnetically fixable / non-fixable connections (such as between). 2374A to 2374C may be provided. Also, in an exemplary embodiment, the carrier magnets 2074A-2074C, or the loading port magnet sections 2374A-2374C interlocking with the magnetic sections 2074A'to 2074C'1, achieve the desired alignment described below. To do so, a carrier position correction device may be formed that can adjust the position of the carrier in the loading portion. The arrangement of the magnet sections 2374A-2374C shown in the figure is only an example, and in another embodiment, the magnet section of the non-contact carrier connecting section of the loading port may be arranged / configured in any desired manner. .. The magnet sections 2374A-2374C, when activated, provide a desired magnetic field that biases the magnets or magnetic sections in the carrier in the desired direction (to cause fixation / connection of the carrier and loading port and / or on the carrier). It may be an operable magnet that serves as a magnetic switch that generates (for example, to apply a correction force). As seen in FIGS. 37A and 37D, in an exemplary embodiment, the loading port junction teaches the carrier transport system the location / location of the loading port, allowing the carrier to be initially placed on the loading port junction. May have a non-contact alignment system 2380. As mentioned above, the loading port placement area is substantially free of protrusions, and in an exemplary embodiment, there is substantial contact between the carrier and the loading port when the carrier is initially placed in the placement area. No (ie no frictional contact). In the exemplary embodiment shown, the alignment system 2380 may have an array or pattern of registration masks from which suitable sensors can acquire images. The mask pattern shown in FIG. 37D is merely exemplary, and in another embodiment any suitable masking pattern may be used that allows a suitable sensor to obtain an image, defining all desired degrees of freedom. .. For example, a sensor (not shown) that may be placed on a carrier holding portion of a transport system (eg, see FIG. 26B) is, for example, a CCD or CMOS image sensor capable of acquiring images of patterns and their spatial characteristics. You may. The image data that embodies the pattern determines the position of the loading port arrangement area with respect to the carrier carrier, and similarly registers and associates the position data of the carrier carrier and the pattern in order to teach the position to the carrier carrier. It may be transmitted to a suitable processor.

例示的な実施形態では、キャリア2000は、搬送システムによって配置領域2302P内に突起のない積載面に置かれてもよい。例示的な実施形態では、配置領域は、積載ポートの位置合わせ軸に対して、キャリア+/−例えば約20mmの大きさに形成されるエリアであってもよい。実際の配置誤差は、いかなる値であってもよく、記載される値によって決まるわけではなく、キャリアを配置後に位置付けるために使用される補正メカニズムに対する比率で指定されてもよい。したがって、本連結の位置合わせ繰り返し性は、実質的に従来の連結方法と同一であると同時に、許容できるキャリア搬送機の配置誤差を増大する。積載ポートによって一度キャリアが検知されると、空気のフィルム(空気ベアリング)が起動され、キャリアを持ち上げ、キャリアと積載ポートとの間の接合部分の摩擦をなくす。この時点では、キャリア上の力は、その質量、水平基準平面に対する重心の相対位置、およびそれ自体の持ち上げ力である。キャリアリフトエリアは、キャリアを持ち上げ、キャリアの積載ポートへの繰り返し可能な位置決め(角度および横軸の両方)を確立するために、積載ポート上の空気パッドと接合する。ここで、空気のフィルム上に浮遊するキャリアは、積載ポートと一直線に置かれてもよい。前述されたように、磁気連結具は、キャリアを並進し、回転するために、キャリアに力を付与するために使用することができる。十分なストロークであり、対象位置を予測できる限り、磁気以外のいかなる方法を使用してキャリアに力を付与してもよい。キャリアと積載ポートの連結の完了とは、2つの対象をともに保持位置にクランプすることである。 In an exemplary embodiment, the carrier 2000 may be placed on a loading surface without protrusions within the placement area 2302P by the transport system. In an exemplary embodiment, the placement area may be an area formed to a size of carrier +/- for example about 20 mm with respect to the alignment axis of the loading port. The actual placement error may be any value, not determined by the values described, but may be specified as a ratio to the correction mechanism used to position the carriers after placement. Therefore, the alignment repeatability of this connection is substantially the same as that of the conventional connection method, and at the same time, the allowable placement error of the carrier carrier is increased. Once the carrier is detected by the loading port, an air film (air bearing) is activated to lift the carrier and eliminate friction at the junction between the carrier and the loading port. At this point, the forces on the carrier are their mass, the position of the center of gravity relative to the horizontal reference plane, and the lifting force itself. The carrier lift area is joined with an air pad on the loading port to lift the carrier and establish repeatable positioning (both angular and horizontal axis) of the carrier to the loading port. Here, the carrier floating on the film of air may be placed in line with the loading port. As mentioned above, the magnetic connector can be used to apply force to the carrier in order to translate and rotate the carrier. As long as the stroke is sufficient and the target position can be predicted, any method other than magnetism may be used to apply force to the carrier. Completion of connection between the carrier and the loading port is to clamp both objects to the holding position.

一例として、および特に図36A〜36Cで図示される例示的な実施形態を参照し、キャリア2000が配置領域にある場合、永久磁石2074A〜2074Cは、積載ポート接合部分上の磁石2374A〜2374Cと重なり合う。空気ベアリングは、励起されてもよく、積載ポートの磁気は、対向する磁極をキャリアの磁石に提示するために、電気的または機械的手段によって作動される。接合部分に摩擦がないことによって、磁極が自然に位置合わせするまでX、Y、およびθZ軸上をキャリアが自由に移動できるようにするが、物理的接触を生成しない。本ステップ全体を通して、空気ベアリングには、キャリアおよび積載ポート内の磁力によってあらかじめ荷重が加えられる。先行荷重は、キャリアの制御の維持、および空気ベアリングの硬度の向上において有用である。例えば所定の時間経過後、またはセンサフィードバック手段によって空気ベアリングの動作が停止し、キャリアを積載ポートのポートドア上まで下げられるようにする。ここで、磁石は完全に接触し、キャリアをポートドアに保持するためのクランプ力を提供する。 As an example, and particularly with reference to the exemplary embodiments illustrated in FIGS. 36A-36C, the permanent magnets 2074A-2074C overlap with magnets 2374A-2374C on the loading port junction when the carrier 2000 is in the placement region. .. The air bearings may be excited and the magnetism of the loading port is actuated by electrical or mechanical means to present the opposing magnetic poles to the magnets of the carrier. The absence of friction at the junction allows the carriers to move freely on the X, Y, and θZ axes until the magnetic poles are naturally aligned, but does not create physical contact. Throughout this step, the air bearings are preloaded by magnetic forces in the carriers and loading ports. Preloads are useful in maintaining carrier control and improving the hardness of air bearings. After a predetermined period of time, for example, or by sensor feedback means, the air bearings are stopped to allow the carrier to be lowered onto the port door of the loading port. Here, the magnets are in perfect contact and provide a clamping force to hold the carrier to the port door.

図36Dに示される例示的な実施形態では、キャリア2000は、(キャリア搬送システムによって)配置された後の積載ポート連結点の磁場内に収まる大きさの鉄鋼材パッド2074Aおよび2074C(図36D参照)を有する。空気ベアリングが作動され、積載ポート上の磁石が電気的または機械的手段のいずれかによって作動され、キャリアの鉄鋼パッドに磁場を導入してもよい。接合部分に摩擦がないことにより、磁石と鉄剛パッドとの間の引力がキャリアを位置合わせした位置に並進または回転できるようになる。空気ベアリングは、磁力によってあらかじめ荷重が加えられる。先行荷重は、キャリアの制御の維持、および空気ベアリングの硬度の向上において有用である。例えば所定の時間経過後、またはセンサフィードバック手段によって空気ベアリングの動作が停止し、例えばキャリアを積載ポートのポートドア上まで下げられるようにする。鉄鋼パッド上の磁力は、キャリアをポートドアに保持するためのクランプ力を提供する。 In the exemplary embodiment shown in FIG. 36D, the carrier 2000 is sized to fit within the magnetic field of the loading port connection point after being placed (by the carrier transfer system) 2074A and 2074C (see FIG. 36D). Has. Air bearings may be actuated and magnets on the loading port may be actuated by either electrical or mechanical means to introduce a magnetic field into the steel pads of the carrier. The absence of friction at the joint allows the attractive force between the magnet and the rigid pad to translate or rotate to the position where the carrier is aligned. The air bearing is pre-loaded by magnetic force. Preloads are useful in maintaining carrier control and improving the hardness of air bearings. For example, after a predetermined period of time, or by sensor feedback means, the operation of the air bearing is stopped so that the carrier can be lowered, for example, onto the port door of the loading port. The magnetic force on the steel pad provides a clamping force to hold the carrier to the port door.

さらに別の実施例によると、キャリアは、図37Eに示される例示的な実施形態のもの等、空気ベアリング面に一体化された、方向付けられた空気ノズル2372’(図37E参照)によって駆動されてもよい。該実施形態では、空気ノズル2372は、キャリアに運動を付与する、横方向に印加される圧力を底面に提供してもよい。運動は、キャリア上の磁石が積載ポートと一直線になるまで、キャリアをXまたはY軸に向けるために、ノズルの適切なセットにエネルギーを供給するコントローラによって制御することができる。ノズルのアレイがプラテンに搭載され、回転/傾斜する別の実施形態では、プラテンは、ノズルに所望の方向を提供するためのエネルギーが供給されてもよい。ノズルは、キャリアの意図される運動方向と対向する方向に排気を向ける。この動きは、磁石のアライメントまでキャリアを並進するための横力を付与する。キャリアの実際の位置を検出し、位置合わせした位置と比較するために、例えば磁気連結具からのフィードバックを含む、センサフィードバックのいくつかの形式が使用されてもよい。この情報は、どの方向にキャリアが並進されるべきか、および空気ノズルによってどのような力がキャリアに印加されるべきかを決定してもよい。別の実施形態では、キャリアを所望の位置に位置合わせするために、ノズルおよび磁気連結具が併用して使用されてもよい。 According to yet another embodiment, the carrier is driven by a directed air nozzle 2372'(see FIG. 37E) integrated with the air bearing surface, such as that of the exemplary embodiment shown in FIG. 37E. You may. In this embodiment, the air nozzle 2372 may provide laterally applied pressure to the bottom surface that imparts motion to the carrier. The motion can be controlled by a controller that energizes the appropriate set of nozzles to orient the carrier in the X or Y axis until the magnets on the carrier are aligned with the loading port. In another embodiment in which an array of nozzles is mounted on the platen and rotated / tilted, the platen may be supplied with energy to provide the nozzles in the desired direction. The nozzle directs the exhaust in a direction opposite to the intended motion direction of the carrier. This movement provides a lateral force to translate the carrier to magnet alignment. Several forms of sensor feedback may be used to detect the actual position of the carrier and compare it to the aligned position, including feedback from, for example, a magnetic connector. This information may determine in which direction the carrier should be translated and what force should be applied to the carrier by the air nozzle. In another embodiment, nozzles and magnetic connectors may be used in combination to align the carrier in the desired position.

図37Fは、別の例示的な実施形態による、積載ポート接合部分の平面図を示す。本実施形態では、積載ポート2300’’は、積載ポート内に置かれる磁石2374’’が図37Eの矢印によって示される移動方向に移動可能なX−Yステージに取り付けられていることを除き、前述されたものと類似する。本実施形態では、キャリアは、積載ポートに置かれ、空気ベアリングが作動され、キャリアの磁石は、X−Yステージ2374S’’に連結される積載ポートの磁石に引きつけられる。X−Yステージ2374S’’は、例えば空気シリンダ、ネジ山のないネジ、または電気ソレノイドであってもよく、並進した位置を報告するためにリニアエンコードされる。連結されたキャリアの磁石および積載ポートの磁石は、教えられた(位置合わせした)位置に戻るように駆動される。目的地に到着する際、空気ベアリングの動作が停止され、キャリアがポートドアまで下がり、クランプされてもよい。同様に、この方法は、使用される既存の運動学的連結アプローチに適応することができ、それによってそれぞれの運動ピンがX−Yステージに連結される。本実施例では、運動ピンの2つがX、Y、およびθZと位置を合わせるために駆動される。これは、非接触を前提として動作しないが、最小摩擦でキャリアの配置許容を向上するための実行可能な方法である。 FIG. 37F shows a plan view of the loading port joint according to another exemplary embodiment. In the present embodiment, the loading port 2300'' is described above, except that the magnet 2374'' placed in the loading port is attached to an XY stage that is movable in the moving direction indicated by the arrow in FIG. 37E. Similar to what was done. In this embodiment, the carrier is placed in the loading port, the air bearings are actuated, and the magnet of the carrier is attracted to the magnet of the loading port connected to the XY stage 2374S ″. The XY stage 2374S ″ may be, for example, an air cylinder, a threadless screw, or an electric solenoid and is linearly encoded to report the translated position. The magnets of the connected carriers and the magnets of the loading port are driven back to the taught (aligned) position. Upon arriving at the destination, the air bearings may be deactivated and the carrier lowered to the port door and clamped. Similarly, this method can be adapted to the existing kinematic connection approach used, thereby connecting each kinematic pin to the XY stage. In this embodiment, two of the motion pins are driven to align with X, Y, and θZ. This does not work on the premise of non-contact, but is a viable way to improve carrier placement tolerance with minimal friction.

図37Gは、キャリアを配置し、キャリアの連結点を積載ポートと位置合わせするために、キャリアがプッシャーアーム2374Mによって駆動されてもよいことを除き、類似する積載ポート2300Aの別の例示的な実施形態を示す。示される例示的な実施形態では、積載面は、θXおよびθYを中心に回転可能に搭載されてもよい(矢印R、Pに示されるように)。積載平面を傾斜してキャリアの重心を移動するために、空気ベアリングと組み合わせた自由度を使用し、回転角方向への並進を付与することができる。この方法は、キャリアと積載ポートの磁石を位置合わせするために適切なキャリア方向に積載平面を理知的に動かすために、位置フィードバックを使用する。一度キャリアが位置につくと、空気ベアリングの動作が停止され、キャリアがポートドアにクランプされてもよい。最終的に、ドアの取り外しに適切なポートとの位置合わせを達成するために、積載平面が回転され、元の位置に戻される。 FIG. 37G is another exemplary embodiment of a similar loading port 2300A, except that the carrier may be driven by a pusher arm 2374M to position the carrier and align the carrier connection point with the loading port. Shows the morphology. In the exemplary embodiments shown, the loading surface may be rotatably mounted about θX and θY (as shown by arrows R, P). In order to tilt the loading plane and move the center of gravity of the carrier, the degrees of freedom combined with the air bearings can be used to provide translation in the angle of rotation. This method uses position feedback to intelligently move the loading plane in the appropriate carrier direction to align the carrier and loading port magnets. Once the carrier is in position, the air bearings may stop working and the carrier may be clamped to the port door. Eventually, the loading plane is rotated and returned to its original position to achieve alignment with the appropriate port for door removal.

前述されたように、キャリア内の環境は、例えばウェハおよびキャリア内部に施された前プロセスならびに環境により、様々であってもよい。したがって、積載ポートまたは積載ステーションに連結されるキャリアは、現在のプロセスとは異なる環境をその中に有してもよい(例えばガス種、清浄度、または圧力)。例えば、キャリアのウェハの任意のプロセスは、不活性ガスを採用してもよい。したがって、任意のツールのキャリアと積載ポートとの間の接合部分は、キャリア開口中の圧力差または望ましくないガス種の導入を最小化するために、所望により、適したガス種が投入または排出されるようにしてもよい。別の実施例として、ツール環境が真空であり、接合部分を介してツールの積載ポートに結合されるキャリアの空気が抜かれて低圧力となり、ウェハがキャリアから直接真空ロードロックに積載されるようにしてもよい。キャリアと積載ポートとの間の接合部分およびキャリアとツールとの間の環境を適合できるようにする環境制御システムは、図10〜10Aおよび14に前述され、示されるものと実質的に類似してもよい。キャリア積載ポート接合および環境適合システムの別の適した実施例は、参照することにより本明細書に前述のように組み込まれる、2005年8月25日に出願された米国特許出願シリアル番号第11/210,918号に記載される。ここで、図38Aを参照すると、キャリア内の環境を、異なる制御環境を有してもよい積載ポートに適合するためのプロセスを図示するフローチャートが示されている。図38Aの例示的な実施形態では、キャリアおよび積載ポートの両方は、同一ガス種(例えば同一種の不活性ガス)を保持してもよい。本実施形態では、キャリアと積載ポート/ツールとの間の環境の平衡が達成されるまで、キャリアの圧力がプロセス圧力より高い場合は、キャリアから例えばロードポートチャンバ(または他の適したプレナム)への排気(接合部分を介して)を行い、キャリアの圧力が低い場合は、積載ポートまたはその他の適した供給元からキャリアにガスが挿入(接合部分を介して)されてもよい。図38Bの例示的な実施形態では、積載ポートが大気環境(例えば清浄度の高い空気)を有し、例えば図38Aに関連して前述されたものと同様な方法で、キャリアと積載ポートとの間の平衡が確立されてもよい。図38Cは、積載ポートが真空環境を有する例示的な実施形態におけるプロセスを図示する。キャリアおよび積載ポートが初期に異なるガス種を有する場合がある別の実施形態では、キャリアの初期環境は真空にされ、ドアが開口される前に、積載ポート内のガス種等がキャリアに投入(例えば積載ポートから)されてもよい。 As mentioned above, the environment within the carrier may vary, depending on, for example, the wafer and the pre-process and environment applied inside the carrier. Therefore, the carrier connected to the loading port or loading station may have an environment in it that differs from the current process (eg, gas type, cleanliness, or pressure). For example, any process on the carrier wafer may employ an inert gas. Therefore, the junction between the carrier and the loading port of any tool is optionally charged or ejected with the appropriate gas species to minimize pressure differences or unwanted gas species introduction during carrier opening. You may do so. As another embodiment, the tool environment is evacuated and the carrier coupled to the tool loading port through the junction is deflated to a low pressure so that the wafer is loaded directly from the carrier onto the vacuum load lock. You may. The environmental control system that allows the junction between the carrier and the loading port and the environment between the carrier and the tool to be adapted is substantially similar to that previously described and shown in FIGS. 10-10A and 14. May be good. Another suitable embodiment of a carrier loading port junction and environmentally compliant system is incorporated herein by reference in US Patent Application Serial No. 11 /, filed August 25, 2005. 210, 918. Here, with reference to FIG. 38A, a flow chart illustrating a process for adapting the environment within the carrier to a loading port that may have different control environments is shown. In the exemplary embodiment of FIG. 38A, both the carrier and the loading port may hold the same gas type (eg, the same type of inert gas). In this embodiment, if the carrier pressure is higher than the process pressure, from the carrier to, for example, the load port chamber (or other suitable plenum) until an environmental equilibrium between the carrier and the loading port / tool is achieved. Gas may be injected into the carrier (via the junction) from a loading port or other suitable source if the carrier pressure is low. In an exemplary embodiment of FIG. 38B, the loading port has an atmospheric environment (eg, clean air) and the carrier and loading port are associated in a manner similar to that previously described, eg, in connection with FIG. 38A. The equilibrium between them may be established. FIG. 38C illustrates the process in an exemplary embodiment in which the loading port has a vacuum environment. In another embodiment where the carrier and loading port may initially have different gas species, the initial environment of the carrier is evacuated and the gas species etc. in the loading port are charged into the carrier before the door is opened. For example, from the loading port).

再び図37Aを参照すると、前述されたように、例示的な実施形態における積載ポートは、ポートドア2310(ポートを開口および閉締するために)を上げるおよび下げる、ならびにウェハを処理するためにウェハのカセットをキャリアからロードポートチャンバ内の所望の高さに上げることができるインデクサ2306を有する。インデクサ2306は、前述され、図8、9、10〜10A、14および18に示される、ウェハによって占有される容量/環境から隔離されるインデクシングメカニズムを有する例示的な実施形態のものと類似してもよい。要約すると、インデクシングメカニズムの好適な実施例は、以下の配置を有してもよい:
1.ベローズを有する主ネジ−このメカニズムは、積載ポートのポートプレートに取り付けられた電気モータによって駆動される主ネジを採用する。清浄エリアに入る主ネジの一部分は、ベローズに封入される。ベローズは、動作中に概して清浄であり、疲労なく可撓性を維持することができる限り、金属、プラスチック、または繊維等のいかなる材料であってもよい。ベローズは、汚染物質生成メカニズムとウェハが置かれる清浄エリアとの間に障壁を提供する。ベローズの可撓性性質は、作動装置のストローク全体にわたり、本隔離を提供する。メカニズムのフィードバックは、モータまたは主ネジ上のロータリーエンコーダによるものであってもよく、運動の通路に沿ったリニアエンコーダからのものであってもよい。(図14参照)
2.ベローズを有する空気圧シリンダ−駆動メカニズムが、空気圧シリンダによるものであることを除き、前述実施形態類(1)と類似する。例えば2つの位置間を移動するために使用されてもよい;例えば閉締され、下げられたポッド。(図9参照)
3.空気圧シリンダ遠隔駆動部の主ネジ−駆動メカニズムがウェハ容量の外側の離れた位置に置かれることを除き、前述実施形態と類似する(図10参照)。積載ポートのポートプレートは、支持構造体で駆動部に取り付けられる。駆動部は、清浄エリアに露出されてもよいが、汚染物質は、空気流通路またはラビリンス封止によって制御される。空気流の使用は、生成され得る汚染物質をウェハの下にし、下方に押しやり、ウェハから離れるように、駆動部をウェハの下流に置く必要がある。ラビリンスまたはその他の「非摩擦」封止は、駆動部と清浄エリアとの間に固体障壁を提供することによって、粒子の導入をさらに制限することができる。第2に、駆動部は、処理ツール環境全体の外側に離れて置くことができる。これは、あまり清浄ではないFAB環境内の汚れている可能性のあるメカニズム内に置くが、あまり清浄ではないFABからプロセスツール環境を保護するために、ラビリンス封止を使用する。
4.ポートプレートに磁気で連結される駆動メカニズム−本実施形態は、ポートプレートと駆動メカニズムとの間に磁気連結具を採用する(例えば図8を参照、ただし反転されている)。磁気連結具は、駆動部を清浄エリアの外側に隔離できるようにする、空気ギャップにわたる非鉄鋼壁を介して動作してもよい。駆動方法は、主ネジ、空気圧シリンダ、またはリニアモータ等の前述されたいずれの種類であってもよい。後者は、運動の方向を抑制するために、空気ベアリングガイドと併用して清浄に動作できるため、清浄エリアの内側に存在してもよい。
Referring again to FIG. 37A, as described above, the loading port in the exemplary embodiment raises and lowers the port door 2310 (to open and close the port), and the wafer to process the wafer. Has an indexer 2306 capable of raising the cassette from the carrier to the desired height in the load port chamber. The indexer 2306 is similar to that of an exemplary embodiment having an indexing mechanism isolated from the capacity / environment occupied by the wafer, as described above and shown in FIGS. 8, 9, 10-10A, 14 and 18. May be good. In summary, a preferred embodiment of the indexing mechanism may have the following arrangement:
1. 1. Main screw with bellows-This mechanism employs a main screw driven by an electric motor mounted on the port plate of the loading port. A portion of the main screw that enters the clean area is enclosed in a bellows. The bellows may be any material, such as metal, plastic, or fiber, as long as it is generally clean during operation and remains flexible without fatigue. Bellows provide a barrier between the pollutant generation mechanism and the clean area where the wafer is placed. The flexibility of the bellows provides this isolation over the entire stroke of the actuator. The mechanism feedback may be from a rotary encoder on the motor or main screw, or from a linear encoder along the path of motion. (See Fig. 14)
2. Pneumatic cylinder with bellows-Similar to embodiment (1) above, except that the drive mechanism is by a pneumatic cylinder. It may be used, for example, to move between two positions; for example, a closed and lowered pod. (See Fig. 9)
3. 3. It is similar to the previous embodiment except that the main screw-drive mechanism of the pneumatic cylinder remote drive is located at a remote location outside the wafer capacitance (see FIG. 10). The port plate of the loading port is attached to the drive unit by a support structure. Drives may be exposed to clean areas, but contaminants are controlled by air flow passages or labyrinth seals. The use of airflow requires the drive unit to be located downstream of the wafer so that the contaminants that can be produced are under the wafer, pushed downwards, and away from the wafer. Labyrinth or other "non-friction" encapsulation can further limit the introduction of particles by providing a solid barrier between the drive and the clean area. Second, the drive unit can be located at a distance outside the entire processing tool environment. It is placed in a potentially dirty mechanism within a less clean FAB environment, but uses a labyrinth seal to protect the process tool environment from less clean FABs.
4. Drive mechanism magnetically coupled to the port plate-The present embodiment employs a magnetic connector between the port plate and the drive mechanism (see, eg, FIG. 8, but inverted). The magnetic connector may operate through a non-steel wall across the air gap that allows the drive to be isolated outside the clean area. The driving method may be any of the above-mentioned types such as a main screw, a pneumatic cylinder, and a linear motor. The latter may be present inside the clean area, as it can operate cleanly in combination with an air bearing guide to control the direction of motion.

ここで、図39を参照すると、別の例示的な実施形態による、積載ポート2300Aおよびそこに接合されるキャリア2000A、ならびにウェハ空気流管理システムの断面図が示されている。キャリア2000Aおよび積載ポート2300Aはそれぞれ、前述された例示的な実施形態のキャリアおよび積載ポートに類似してもよい。図39に示される実施形態では、例示目的のために、ポートドアが開口され、処理のためにカセットがロードポートチャンバにインデックスされ、置かれている。キャリアが開口され、処理のためにウェハが置かれる際、ウェハの周囲の空気流は、ウェハの清浄度を維持することを助長してもよい。例えば、プロセスによっては、長時間にわたりウェハが下方位置に維持され、環境内の粒子がウェハ表面に堆積するリスクを増大する。さらに、適切な空気流がないと、積載ポートメカニズムによって生成されるいかなる汚染物質がウェハ表面上に堆積し得る。示される例示的な実施形態では、プロセス環境内の空気流の少なくとも一部分が「捕獲」され、ウェハにわたり流れるように方向を変更されてもよい。次いで空気は、ウェハ配送平面(WTP)の処理環境下流の背面に排気される。例示的な実施形態では、空気流パターンは、ウェハ上面と平行な方向に水平に通過し、ウェハカセットの背面を出る。排気ルーティングは、空気がカセットから出た後、垂直に引き寄せ、フロアに向けられた排気ポートから出るように向ける。このアプローチは、解放ループまたは封止環境内で動作中、ウェハ表面にわたり、清浄で一定の流れまたは空気を維持することができる。例えば、積載ポートが窒素またはアルゴンのようなプロセス依存ガス種を有する環境内で動作する場合、示されるように既存の空気流の方向を変えて主流に戻すことは、制御ガス種に使用される閉締されたループ環境を支持する。 Here, with reference to FIG. 39, a cross-sectional view of a loading port 2300A, a carrier 2000A joined thereto, and a wafer airflow management system according to another exemplary embodiment is shown. The carrier 2000A and the loading port 2300A may resemble the carrier and loading port of the exemplary embodiments described above, respectively. In the embodiment shown in FIG. 39, the port door is opened for exemplary purposes and the cassette is indexed and placed in the load port chamber for processing. When the carriers are opened and the wafer is placed for processing, the airflow around the wafer may help maintain the cleanliness of the wafer. For example, some processes keep the wafer in a downward position for extended periods of time, increasing the risk of particles in the environment depositing on the wafer surface. Moreover, in the absence of proper airflow, any contaminants produced by the loading port mechanism can deposit on the wafer surface. In the exemplary embodiments shown, at least a portion of the airflow in the process environment may be "captured" and redirected to flow across the wafer. The air is then exhausted to the back of the wafer delivery plane (WTP) downstream of the processing environment. In an exemplary embodiment, the airflow pattern passes horizontally in a direction parallel to the top surface of the wafer and exits the back surface of the wafer cassette. Exhaust routing draws air vertically after it exits the cassette and directs it out of the exhaust port, which is directed to the floor. This approach can maintain a clean, constant flow or air across the wafer surface while operating in an open loop or encapsulation environment. For example, if the loading port operates in an environment with process dependent gas species such as nitrogen or argon, diversion of the existing airflow back to mainstream as shown is used for the control gas species. Supports a closed loop environment.

図39に見られるように、例示的な実施形態では、例えばウェハがアクセスされる領域の上方に、プロセスミニ環境の垂直表面に対して供給エアフォイルが搭載される。この場所は、SEMI E63標準にあるFOUPドアオープナーのための予約空間である。エアフォイルは、ミニ環境からの既存の層流の容量を捕獲し、空気ストリームを垂直方向から水平方向に曲げる。例示的な実施形態では、ウェハカセットが積載ポートの外側表面の内部に下げられる際、拡散要素は、ウェハカセットの背面に置かれる。ディフューザは、流れ特性により、例えば部分的に開く固体パネルから構成されてもよい。ディフューザは、空気がダクトの排気側に入る前に、圧力の差を提供しながらウェハ上を通過する水平空気流の均一性を管理するように構成される。例示的な実施形態では、巡回の排気側は、ウェハにわたる空気の流れが確実に安定かつ均一なものとなるように、力誘起型であってもよい。例えば、プロセスツールのミニ環境ポートに向けられた出力口を有する排気側ダクトの内側に搭載される軸方向ファン等。あるいは、ユニットは、ファンおよび供給エアフォイルの構成なく使用されてもよく、ディフューザおよび排気ダクトは、ウェハにわたる空気流が確実に安定した均一なものになるように配置されてもよい。 As seen in FIG. 39, in an exemplary embodiment, for example, above the area where the wafer is accessed, a feed airfoil is mounted against the vertical surface of the process mini environment. This location is a reserved space for the FOUP door opener in the SEMI E63 standard. The airfoil captures the capacity of the existing laminar flow from the mini-environment and bends the air stream from vertical to horizontal. In an exemplary embodiment, when the wafer cassette is lowered inside the outer surface of the loading port, the diffusion element is placed on the back of the wafer cassette. The diffuser may consist of, for example, a partially open solid panel, depending on the flow characteristics. The diffuser is configured to control the uniformity of the horizontal airflow through the wafer while providing a pressure difference before air enters the exhaust side of the duct. In an exemplary embodiment, the exhaust side of the circuit may be force-induced to ensure that the air flow across the wafer is stable and uniform. For example, an axial fan mounted inside an exhaust duct with an output port facing the mini-environment port of the process tool. Alternatively, the unit may be used without a fan and supply airfoil configuration, and the diffuser and exhaust ducts may be arranged to ensure a stable and uniform airflow across the wafer.

ここで、図40A〜40Dを参照すると、個々の例示的な実施形態による、例示的キャリアのウェハ抑制の概略断面図が示されている。図40Aに示される例示的な実施形態は、ラジアルクランプウェハ抑制を図示する。クランピングは、カセットの並進側の壁によって提供されてもよい。メカニズムは、カセット内に存在し、積載ポートまたはポッドシェルとカセットの接合部分(Z軸)のいずれかによって作動され動かされる(Z軸)。別の実施形態では、ポッドシェルの内部に並進側壁があってもよい。メカニズムは、ポッドシェルを有して備えられ、積載ポート、ポートドア(OHTのZ軸)へのポッドシェル、またはカセット(積載ポートのZ軸)へのポッドのいずれかによって作動される。作動に先端材料(すなわち形状記憶材料または磁気拘束材料等)を使用する。図40Bに示される例示的な実施形態は、ウェハ上面に対して実質的に垂直に向いたクランプ力を採用する、ウェハ制御体を図示する。例示的な実施形態では、カセットと一体の垂直に並進するフィンガーである。メカニズムは、カセット内に備えられる。メカニズムは、積載ポート、ポートドア(OHTのZ軸)へのポッド、またはカセット(積載ポートのZ軸)へのポッドのいずれかによって作動される。別の実施形態では、ポッドシェルまたはカセットと一体の軸外並進フィンガーである。メカニズムは、カセットまたはポッドシェルのいずれかに備えることができる。フィンガーは、ウェハに対して水平外角度で並進する(図40C参照)。メカニズムは、積載ポート、ポートドア(OHTのZ軸)へのポッドシェル、またはカセット(積載ポートのZ軸)へのポッドシェルのいずれかによって作動される。別の例示的な実施形態では、ポッドシェルまたはカセットと一体である2DOFフィンガーである。フィンガーは回転し、次いでウェハと嵌合するために、垂直に並進する(図40D参照)。メカニズムは、ポートドア(OHTのZ軸)へのポッドシェル、またはカセット(積載ポートのZ軸)へのポッドシェルのいずれかによって作動される。別の実施形態では、キャリア内のウェハ抑制は、いかなる他の適した構成を有してもよい。例えば、ウェハは、ウェハエッジ接触が支持される、例えばウェハと線形エッジ接触を形成するカセット上の支持体フィンガー間でV字型であってもよい。 Here, with reference to FIGS. 40A-40D, schematic cross-sectional views of wafer restraint of exemplary carriers by individual exemplary embodiments are shown. An exemplary embodiment shown in FIG. 40A illustrates radial clamp wafer suppression. Clamping may be provided by the translational wall of the cassette. The mechanism resides within the cassette and is actuated and driven by either the loading port or the junction between the podshell and the cassette (Z-axis). In another embodiment, there may be a translational side wall inside the pod shell. The mechanism is provided with a pod shell and is actuated by either a loading port, a pod shell to a port door (Z-axis of the OHT), or a pod to a cassette (Z-axis of the loading port). Use advanced materials (ie shape memory materials or magnetic restraint materials, etc.) for operation. An exemplary embodiment shown in FIG. 40B illustrates a wafer controller that employs a clamping force that is substantially perpendicular to the top surface of the wafer. In an exemplary embodiment, it is a vertically translating finger that is integral with the cassette. The mechanism is provided in the cassette. The mechanism is actuated by either a loading port, a pod to the port door (Z-axis of the OHT), or a pod to the cassette (Z-axis of the loading port). In another embodiment, it is an off-axis translational finger integrated with a pod shell or cassette. The mechanism can be provided in either a cassette or a pod shell. The fingers translate at an outside horizontal angle with respect to the wafer (see FIG. 40C). The mechanism is actuated by either a loading port, a podshell to the port door (Z-axis of the OHT), or a podshell to the cassette (Z-axis of the loading port). In another exemplary embodiment, it is a 2DOF finger that is integrated with a pod shell or cassette. The fingers rotate and then translate vertically to fit the wafer (see Figure 40D). The mechanism is actuated by either a podshell to the port door (Z-axis of the OHT) or a podshell to the cassette (Z-axis of the loading port). In another embodiment, the wafer restraint in the carrier may have any other suitable configuration. For example, the wafer may be V-shaped between the support fingers on the cassette that support the wafer edge contact, eg, form a linear edge contact with the wafer.

ここで、図41〜41Bを参照すると、別の例示的な実施形態による、処理ツールPTおよび処理配置搬送システムを有する代表的な処理配置の概略斜視図、端部正面図、および上平面図がそれぞれ示されている。処理ツールPTは、FABの処理ベイに配列されたツール等の例示的アレイで図示される。例示的な実施形態では、例えば搬送システム3000は、処理ベイのツールを提供してもよく、搬送システム3000は、FAB全体搬送システムのイントラベイ部分であってもよい。例示的な実施形態では、搬送システム3000は、前述され、図29A〜29Dに示される例示的な実施形態のAMHSシステムのセクションと概して類似してもよい。搬送システム3000は、図41に見られる適した搬送接合部分を介して、FAB AMHSシステムの別の(例えばインターベイ)部分3102と連通してもよい。前述されたように、示されるツールアレイ内の処理ツールPTの配置は、複数のツールの列を有する例示に過ぎない(実施例では、2つの列R1、R2が示されるが、別の実施形態では、より多いまたはより少ないツールの列を有してもよい)。示される実施例では、ツールの列は、実質的に平行に配置されてもよく(幾何学的ではあるが、互いに対して角度を付けられてもよい)、実質的に平行なプロセス方向を定めてもよい。異なるツールの列に沿ったプロセス方向は、互いと同一であっても対向してもよい。また、任意の列に沿ったプロセス方向は、ツールの列の一部分または領域に沿ったプロセス方向が1方通行となり、同一のツールの列の別の部分または領域のプロセス方向が対向通行となるように反転されてもよい。列R1、R2のプロセスツールは、異なるプロセス領域ZA−ZCを画定するために、分散されてもよい(例えば図41参照)。それぞれのプロセス領域ZA−ZCは、列R1、R2内に1つ以上のプロセスツールを含んでもよい。別の実施形態では、プロセス領域にツールが置かれてもよいが、単一列である。理解され得るように、任意の領域内のプロセスツールは、補完プロセスを有する、および/または同様のツール処理率を有する等、プロセスに関連してもよい。例えばツール領域ZAは、高処理量(例えば1時間当たり約500ウェハ(WPH))のツールを有してもよいが、中処理量(例えばおよそ75WPH〜500WPH未満)のツールが領域ZBに置かれてもよく、低処理量(例えばおよそ15WPH〜100WPH)のツールが領域ZCに置かれてもよい。理解され得るように、いずれかの任意の領域を確定するツールは、
同一でなくてもよく、任意の領域内の1つ以上のツールは、任意の領域内の他のツールとは異なる処理量またはプロセスを有してもよいが、それでもなお、領域内のツールが、少なくとも搬送の観点から組織的に適切であり、ある領域内でツールが組織化されるように、ツール間に関係が存在してもよい。図41に図示されるツール領域は、例示に過ぎず、別の実施形態では、ツール領域は、いかなる他の所望の配置を有してもよい。
Here, with reference to FIGS. 41-41B, a schematic perspective view, an end front view, and an upper plan view of a typical processing arrangement having a processing tool PT and a processing arrangement transfer system according to another exemplary embodiment. Each is shown. The processing tool PT is illustrated by an exemplary array of tools and the like arranged in the processing bay of the FAB. In an exemplary embodiment, for example, the transfer system 3000 may provide tools for the processing bay, and the transfer system 3000 may be an intrabay portion of the FAB overall transfer system. In an exemplary embodiment, the transport system 3000 may be generally similar to the section of the AMHS system of the exemplary embodiment described above and shown in FIGS. 29A-29D. The transport system 3000 may communicate with another (eg, interbay) portion 3102 of the FAB AMHS system via a suitable transport junction as seen in FIG. As mentioned above, the arrangement of processing tool PTs in the tool array shown is merely an example with multiple rows of tools (in the examples, two rows R1 and R2 are shown, but in another embodiment. May have more or less rows of tools). In the embodiments shown, the rows of tools may be arranged substantially parallel (geometrically, but may be angled with respect to each other) and define a substantially parallel process orientation. You may. The process directions along the rows of different tools may be the same or opposite to each other. Also, as for the process direction along an arbitrary column, the process direction along a part or area of the tool column is one-way, and the process direction of another part or area of the same tool column is opposite. May be inverted to. The process tools in columns R1 and R2 may be distributed to define different process regions ZA-ZC (see, eg, FIG. 41). Each process region ZA-ZC may include one or more process tools in columns R1, R2. In another embodiment, the tools may be placed in the process area, but in a single row. As can be understood, process tools within any domain may be process related, such as having a complementary process and / or having a similar tool processing rate. For example, the tool region ZA may have a high throughput (eg, about 500 wafers per hour (WPH)) of tools, while a medium throughput (eg, approximately 75 WPH to less than 500 WPH) tools are placed in region ZB. A low throughput (eg, approximately 15 WPH to 100 WPH) tool may be placed in the region ZC. As you can see, the tool to determine any area is
It does not have to be the same, and one or more tools in any area may have a different amount of processing or process than the other tools in any area, but nonetheless, the tools in the area , At least in terms of transport, there may be relationships between the tools so that they are organized within an area. The tool area illustrated in FIG. 41 is merely an example, and in another embodiment the tool area may have any other desired arrangement.

図41に見られるように、搬送システム3000は、キャリアをツールへ/ツールから搬送することができる。搬送システム3000は、前述された例示的な実施形態および図29〜35に示される搬送システムと概して類似してもよい。図41〜41Bに示される例示的な実施形態では、搬送システム3000は、オーバーヘッド構成(例えば搬送システムがツールの上方/上に置かれる)を有してもよい。別の実施形態では、搬送システムは、下部構成(例えば図30〜33に図示される搬送システムと類似する、例えば搬送システムがツールの下部に置かれる)等、いかなる他の適した構成を有してもよい。図41〜41Bに見られるように、搬送システムは、一般的に多数の搬送サブシステムまたはセクションを有してもよい。例示的な実施形態では、搬送システム3000は、コンベヤセクション(例えば前述され、図20〜25Bに示されるソリッドステートのコンベヤと類似するもの、またはいずれかの他の適したコンベヤ)等、一般的にバルク材料/高速搬送セクション3100を有してもよい。コンベヤセクションは、すべてのツール領域にわたり延在してもよく、例えばコンベヤセクションにキャリアが置かれる/から移動される際に停止/減速することなく、実質的に等速な搬送速度でキャリアを搬送してもよい。また、例示的な実施形態では、搬送システム3000は、ストレージステーション/位置3000S(図41Bも参照)、1つ以上のストレージステーション/位置(図42も参照)にアクセスできるシャトル3202を有するシャトルシステムセクション3200、および接合する搬送システムセクション3300を含んでもよい。例示的な実施形態では、接合する搬送システムセクションは、バルク搬送コンベヤセクション3100によって搬送されたキャリア、またはストレージステーションのキャリアにアクセス可能であり、キャリアを処理ツールの積載セクションに移送可能であってもよい。例示的な実施形態では、ストレージステーション、シャトルシステムセクション3200、および接合する搬送システムセクションは、搬送システムに沿って選択的に設置することが可能な選択設置可能部分に形成されてもよい。例示的な実施形態では、搬送システムセクション3100、3300、3200は、搬送システムに設置するよう選択されたシステムセクションの一部分を容易に設置できるようにするためのモジュラーであってもよい。搬送システムに沿って設置するよう選択された搬送システムシャトルシステム、接合システム、およびストレージシステムセクションの一部分は、処理ツールの領域ZA〜ZCに対応してもよい。理解され得るように、搬送システム3000は、処理ツールまたは処理ツール領域に対応するように構成可能であってもよい。さらに、例示的な実施形態では、搬送システムは、領域TA〜TC内に構成可能であってもよく、一般的に処理ツール領域ZA〜ZCと連通し、それらに対応する。したがって、搬送システムは、異なるシステムセクション構成を有する異なる領域を有してもよい。例示的な実施形態では、ストレージシステムおよびシャトルシステムセクションは、搬送システムの領域TA〜TCのそれぞれに構成可能であってもよい。また、例示的な実施形態では、接合部分搬送システムセクションは、それぞれの領域に構成可能であってもよい。例示的な実施形態では、接合部分搬送システムは、選択設置可能な接合トランスポータ(図41に示される実施例の構台)部分3310、3320を有してもよく、これらは、追加され、取り外されてもよく、搬送システムの領域TA〜TCのそれぞれに多数の異なる配向で設置されてもよい。所望の接合部分搬送システム部分は、所望のツール接合部分および例えばツール領域ZA〜ZCに対応するプロセスツールの処理速度に相応するアクセス速度を提供するために、搬送システムの領域内に設置されてもよい。図41Aに最もよくみられるように、接合部分搬送システムセクションは、選択可変数のトランスポータ進行平面を有してもよい(例えば領域TCのいくつかは、単一接合トランスポータ進行平面(図48参照)を有してもよく、その他の領域TA、TBは、1つ以上のトランスポータ進行平面ITC1、ITC2(図41A、46参照)を有してもよい)。複数の平面を有する領域では、トランスポータは、互いを通過して縦走できてもよい。2つの平面が示されるが、より多くまたはより少ないトランスポータ平面が提供されてもよい。例示的な実施形態では、搬送システムは、実質的に水平な進行平面を有して配置されるが、別の実施形態では、搬送システムは、接合トランスポータバイパスのための垂直な進行平面を有するものを含む、いかなる他の所望の配置を有してもよい。 As seen in FIG. 41, the transport system 3000 can transport the carrier to / from the tool. The transport system 3000 may be generally similar to the exemplary embodiments described above and the transport system shown in FIGS. 29-35. In the exemplary embodiment shown in FIGS. 41-41B, the transfer system 3000 may have an overhead configuration (eg, the transfer system is placed above / above the tool). In another embodiment, the transport system has any other suitable configuration, such as a lower configuration (eg, similar to the transport system illustrated in FIGS. 30-33, eg, the transport system is placed under the tool). You may. As seen in FIGS. 41-41B, the transport system may generally have a large number of transport subsystems or sections. In an exemplary embodiment, the conveyor system 3000 is generally such as a conveyor section (eg, one similar to the solid-state conveyor described above and shown in FIGS. 20-25B, or any other suitable conveyor). It may have a bulk material / high speed transfer section 3100. The conveyor section may extend over the entire tool area, eg, transporting carriers at a substantially constant transfer rate without stopping / decelerating when the carrier is placed / moved from, for example, in the conveyor section. You may. Also, in an exemplary embodiment, the transport system 3000 has a shuttle system section having a shuttle 3202 that can access one or more storage stations / positions (see also FIG. 42) at the storage station / position 3000S (see also FIG. 41B). The 3200 and the transfer system section 3300 to be joined may be included. In an exemplary embodiment, the transfer system section to be joined is accessible to the carriers conveyed by the bulk transfer conveyor section 3100, or the carriers of the storage station, even though the carriers can be transferred to the loading section of the processing tool. Good. In an exemplary embodiment, the storage station, shuttle system section 3200, and the transfer system section to be joined may be formed in a selectively installable portion that can be selectively installed along the transfer system. In an exemplary embodiment, the transport system sections 3100, 3300, 3200 may be modular to facilitate the installation of a portion of the system section selected to be installed in the transport system. A portion of the transport system shuttle system, junction system, and storage system section selected to be installed along the transport system may correspond to areas ZA-ZC of the processing tool. As will be appreciated, the transport system 3000 may be configured to correspond to a processing tool or processing tool area. Further, in an exemplary embodiment, the transport system may be configurable within regions TA-TC and generally communicates with and corresponds to processing tool regions ZA-ZC. Therefore, the transport system may have different regions with different system section configurations. In an exemplary embodiment, the storage system and shuttle system sections may be configurable in each of the transport system regions TA-TC. Also, in an exemplary embodiment, the junction transfer system sections may be configurable in their respective regions. In an exemplary embodiment, the joint transfer system may have joint transporter parts 3310, 3320 that can be selectively installed (the gantry of the embodiment shown in FIG. 41), which are added and removed. It may be installed in a number of different orientations in each of the regions TA to TC of the transport system. The desired junction transfer system portion may be installed within the region of the transport system to provide access speeds commensurate with the processing speed of the desired tool junction and, for example, the process tools corresponding to the tool regions ZA-ZC. Good. As most commonly seen in FIG. 41A, the junction transporter system section may have a selectable variable number of transporter travel planes (eg, some of the region TCs may have a single junction transporter travel plane (FIG. 48). The other regions TA, TB may have one or more transporter traveling planes ITC1 and ITC2 (see FIGS. 41A, 46). In regions with multiple planes, the transporters may be able to traverse each other. Two planes are shown, but more or less transporter planes may be provided. In an exemplary embodiment, the transport system is arranged with a substantially horizontal plane of travel, whereas in another embodiment the transport system has a vertical plane of travel for junction transporter bypass. It may have any other desired arrangement, including those.

低、中、高処理量のためにオーバーヘッドガントリーシステム(OGS)を構成することができる。因子または処理を変更する能力は、フィールドの再構成が可能なモジュラーアセンブリによって行うことができる。これらのモジュラーアセンブリは、例えば3つのカテゴリ、低処理量、中処理量、高処理量に分類することができる。様々なモジュールの配置は、所望の移動側、ストレージ容量、および所望の処理量のベイへの分散等、多くの因子に依存してもよい。 Overhead gantry systems (OGS) can be configured for low, medium and high throughput. The ability to change factors or treatments can be achieved through field reconstructable modular assemblies. These modular assemblies can be classified into, for example, three categories: low processing amount, medium processing amount, and high processing amount. The placement of the various modules may depend on many factors, such as the desired move side, storage capacity, and distribution of the desired amount of processing into the bay.

低処理量:一例として、低処理量のツールまたはツール領域は、単一ガントリー3310に十分に収容することができる。この構成は、「フィーダ」ロボット3320またはシャトルシステム3200を使用することなく、すべての所望の移動を提供してもよい。ガントリーは、キャリアをストレージからツールに移送することに加え、キャリアをイントラベイのコンベヤから掴み、ストレージ位置に移送する。キャリアを隣接するガントリー領域に移動するために、キャリアは、隣接するガントリーによる取り出しのために、イントラベイのコンベヤ上に置かれてもよく、またはストレージネスト内に置かれてもよい。本構成を用いて、間にあるガントリーが移動するまで、あるガントリーが別のガントリーを横断する。2つ以上のガントリーが並んで作業しており、1つに障害が発生した場合、隣接するガントリーが障害の発生したユニットの作業を引き受ける。作業量は減少するが、完全に中断されない。 Low throughput: As an example, a low throughput tool or tool area can be adequately accommodated in a single gantry 3310. This configuration may provide all desired movements without the use of a "feeder" robot 3320 or shuttle system 3200. In addition to transferring the carrier from the storage to the tool, the gantry grabs the carrier from the conveyor in the intrabay and transfers it to the storage location. To move the carrier to the adjacent gantry area, the carrier may be placed on an intrabay conveyor or in a storage nest for removal by the adjacent gantry. Using this configuration, one gantry traverses another until the intervening gantry moves. If two or more gantry are working side by side and one fails, the adjacent gantry will take over the work of the failed unit. The amount of work is reduced, but it is not completely interrupted.

中処理量:例えば、中処理量ツールまたはツール領域は、「フィーダ」ロボット3320(例えば追加ガントリー/トランスポータレベル)を追加することによって満たされる。本構成は、フィーダロボット3320および分類機/シャトル33200が追加された低処理量の配置と概して類似する。例示的な実施形態では、フィーダロボットおよび分類機/シャトルは、イントラベイのコンベヤからストレージへの移動のみを実行するための専用のデバイスであってもよい。すべてのフィーダロボットが、フィーダの片側(図44参照)に2つのガントリーローダロボット3310、3312を採用することが望ましい場合がある。しかしながら、別の実施形態では、フィーダは、1つのローダロボットと対にされてもよい。分類機/シャトルの目的は、フィーダからキャリアを受け入れ、ストレージのための列を作ることである。本構成を用いることによって、「ローダ」ロボットは、イントラベイのコンベヤからキャリアを掴むという付加が追加されることなく、ストレージからツールへの移動およびその逆の移動にのみ集中することができる。システムは、隣接する低、中、または高処理量モジュールとともに動作することができる。ローダロボットに障害が発生した場合、隣接するローダロボットが、障害が発生したロボットの領域に移動し、作業する。(図46および47参照)。フィーダメカニズムに障害が発生した場合、個々のローダロボットが低処理量構成と同一の方法で動作する。両方の障害例において、システムは、容量は低減するが、動作中であり続ける。 Medium throughput: For example, the medium throughput tool or tool area is filled by adding a "feeder" robot 3320 (eg, additional gantry / transporter level). The configuration is generally similar to the low throughput arrangement with the addition of the feeder robot 3320 and the classifier / shuttle 33200. In an exemplary embodiment, the feeder robot and classifier / shuttle may be dedicated devices for performing only the transfer from the conveyor to the storage in the intrabay. It may be desirable for all feeder robots to employ two gantry loader robots 3310, 3312 on one side of the feeder (see FIG. 44). However, in another embodiment, the feeder may be paired with one loader robot. The purpose of the classifier / shuttle is to accept carriers from feeders and line up for storage. By using this configuration, the "loader" robot can concentrate only on the movement from storage to the tool and vice versa without the additional addition of grabbing the carrier from the conveyor in the intrabay. The system can work with adjacent low, medium, or high throughput modules. When a failure occurs in the loader robot, the adjacent loader robot moves to the area of the failed robot and works. (See FIGS. 46 and 47). If the feeder mechanism fails, the individual loader robots operate in the same way as the low throughput configuration. In both failure cases, the system remains operational, although capacity is reduced.

高処理量:一例として、高処理量用途では、特定のツールまたはツール領域の需要を満たすようにガントリーモジュールを構成することができる。高処理量の配置は、ローダロボットをベイのそれぞれの側に、中処理量領域のものと類似するフィーダロボット配置、およびストレージにキャリアの列を作るための類似分類機/シャトルを有してもよい。(図45参照)。ローダロボットは、より短い距離の移動を可能にするベイの側に置かれるツールに関与する。キャリアは、イントラベイのコンベヤシステムを介して高処理領域に出入りする。高処理量の構成は、ローダロボットの障害および/またはフィーダロボットの障害の両方に対する耐障害性を有する。ローダロボットに障害が発生した場合、障害の発生したロボットが領域から移動された後に、その他のローダロボットがベイの両側で作業してもよい。フィーダに障害が発生した場合、ローダロボットがイントラベイのコンベヤシステムからキャリアを掴む責任を負うようになる。ローダロボットおよびフィーダロボットの両方に障害が発生した場合、1つのローダロボットがすべての所望の移動に対する責任を負うようになる。 High throughput: As an example, for high throughput applications, the gantry module can be configured to meet the demands of a particular tool or tool area. High throughput deployments may have a feeder robot deployment similar to that of the medium throughput region on each side of the loader robot, and a similar classifier / shuttle for lining up carriers in storage. Good. (See FIG. 45). The loader robot involves a tool placed on the side of the bay that allows for shorter distance travel. Carriers enter and exit high processing areas via the intrabay conveyor system. High throughput configurations are fault tolerant to both loader robot failures and / or feeder robot failures. If the loader robot fails, other loader robots may work on either side of the bay after the failed robot has been moved out of the area. In the event of a feeder failure, the loader robot will be responsible for grabbing the carrier from the intrabay conveyor system. If both the loader robot and the feeder robot fail, one loader robot becomes responsible for all desired movements.

低、中、および高のそれぞれの構成は、所望の移動速度により、単一体として、または3つの配置のいずれかに隣接して動作することができる。システムは、システムにわたるキャリアの流れを完全に不能にするいかなる単一障害点も有さない。個々または複数の構成要素の障害に対する耐障害性に加え、システムは、複数の使用可能なキャリアの移動通路を活用することができる。ホストコントローラは、通常の動作条件下で、特定のキャリアの重要な移動に続くレベルを含む移動の標準セットを採用する。一時的なキャリア交通量の急増、ツール障害、または上流制限を克服するために、ホストの制御論理は、問題のあるエリアから離れてキャリアの流れのルートを再設定し、反転するためのスキームを開始することができる。図50は、例示的な実施形態による、キャリアを点AからBに移動する多くの方法を示す。 The low, medium, and high configurations can operate as a single unit or adjacent to any of the three arrangements, depending on the desired speed of movement. The system does not have any single point of failure that completely disables the flow of carriers across the system. In addition to fault tolerance of individual or multiple components, the system can take advantage of multiple available carrier passageways. The host controller employs a standard set of movements, including levels following significant movements of a particular carrier under normal operating conditions. To overcome temporary carrier traffic spikes, tool failures, or upstream restrictions, host control logic has a scheme for rerouting and reversing carrier flow away from problem areas. You can start. FIG. 50 shows many ways of moving carriers from point A to point B, according to an exemplary embodiment.

例示的な実施形態では、「フィーダ」ロボットは、イントラベイのコンベヤシステムからキャリアを取り出し、それらを適切なストレージ位置に置いてもよい。所望により、フィーダロボットは、ツールローダロボットがストレージからツールへの移動にだけ集中できるようにし、システムの全移動量を向上する。フィーダは、イントラベイのコンベヤが限られた障害または障害なく(例えば図20のものと類似するアクセスレーンからキャリアにアクセスする際のコンベヤの障害が存在しない場合がある)移動できるようにする迅速な短距離移動を利用する。フィーダメカニズムは、ガントリーシステムの作業負荷を軽減する。様々な運動を支援するための予想される駆動メカニズムには、リニアモータ、ボールネジ、空圧駆動、ベルト駆動、摩擦駆動、および磁気推進が含まれる。以下の実施形態は、前述された前提に基づき、実施することができる:
1.フィーダロボットは、x方向(ベイの長手)に固定され、y(ベイの横軸)およびz(垂直)方向における自由度を有することを除き、ガントリーローダロボットと類似する。フィーダメカニズムは、ローダロボットがペイロードなく通過できるように、ツールローダロボットの下方の平面上に置かれる。積載ポート領域の上方のエリアは、ローダロボットがペイロードを有するフィーダにわたり移動できるように解放されている。フィーダシステムは、運搬車が上昇位置にある場合に、イントラベイのコンベヤを通過し、キャリア上を移動し、掴むために十分な空間を有するように、垂直に置かれる。フィーダは、上方からキャリアにアクセスし、短距離垂直ストロークを使用してイントラベイのコンベヤシステムからキャリアを掴み、所望のストレージフランジに置く。本構成では、ストレージレーンは、イントラベイのコンベヤと同一平面上に存在する。ストレージレーンは、ストレージ列に沿う次の位置にキャリアを往復させるために使用される2方向分類機/シャトルメカニズムを所有する。シャトル駆動メカニズムは、例えば、ベイの長手に沿うピッチ間隔の少なくとも1つにキャリアを移動できるように設計される。ピッチ間隔は、ガントリーツールローダロボットがフィーダロボットに隣接して進行し、障害なくキャリアを掴むことができる距離として定義することができる。また、所望により、分類機/シャトルも、隣接するローダロボット領域とストレージレーンとの間でキャリアを搬送するために使用される。例えば、キャリアの一連の動きは、以下である:
・ イントラベイのコンベヤは、ベイの長手に沿うフィーダロボットの固定X位置で一瞬停止する。
・ フィーダロボットは、以前のY位置からイントラベイのコンベヤ上のキャリアの直上まで進行する。
・ フィーダロボットがキャリアを掴む。
・ フィーダロボットは、特定のシャトルレーンまでY方向(ベイの横軸)に進行する。
・ フィーダロボットは、キャリアをシャトル上に置き、次の移動に進む。
・ シャトル/分類機メカニズムがキャリアをX方向に推進する。
・ ガントリーツールローダロボットは、ストレージ位置に移動し、次いでキャリアを掴み、適切なツールに置く。
In an exemplary embodiment, the "feeder" robot may remove carriers from the conveyor system of the intrabay and place them in appropriate storage locations. If desired, the feeder robot allows the tool loader robot to focus only on the movement from storage to the tool, improving the total amount of movement of the system. The feeder allows the intrabay conveyor to move without limited or obstruction (eg, there may be no conveyor obstruction when accessing the carrier from an access lane similar to that in FIG. 20). Use short-distance travel. The feeder mechanism reduces the workload of the gantry system. Expected drive mechanisms to support a variety of motions include linear motors, ball screws, pneumatic drives, belt drives, friction drives, and magnetic propulsion. The following embodiments can be implemented based on the assumptions described above:
1. 1. The feeder robot is similar to a gantry loader robot, except that it is fixed in the x direction (longitudinal of the bay) and has degrees of freedom in the y (horizontal axis of the bay) and z (vertical) directions. The feeder mechanism is placed on a plane below the tool loader robot so that the loader robot can pass through without payload. The area above the loading port area is open to allow the loader robot to move across the feeder carrying the payload. The feeder system is placed vertically so that when the carrier is in the ascending position, it passes through the conveyor in the intrabay and has enough space to move and grab on the carrier. The feeder accesses the carrier from above and uses a short vertical stroke to grab the carrier from the intrabay conveyor system and place it on the desired storage flange. In this configuration, the storage lanes are coplanar with the conveyor in the intrabay. The storage lane owns a two-way classifier / shuttle mechanism used to reciprocate the carrier to the next position along the storage row. The shuttle drive mechanism is designed to allow the carrier to move, for example, to at least one pitch interval along the length of the bay. The pitch interval can be defined as the distance at which the gantry tool loader robot travels adjacent to the feeder robot and can grab the carrier without hindrance. If desired, classifiers / shuttles are also used to transport carriers between adjacent loader robot areas and storage lanes. For example, a series of career movements is:
-The conveyor of the intra bay stops momentarily at the fixed X position of the feeder robot along the length of the bay.
-The feeder robot travels from the previous Y position to just above the carrier on the conveyor in the intrabay.
・ The feeder robot grabs the carrier.
-The feeder robot travels in the Y direction (horizontal axis of the bay) to a specific shuttle lane.
-The feeder robot places the carrier on the shuttle and proceeds to the next move.
-Shuttle / classifier mechanism propels the carrier in the X direction.
The gantry tool loader robot moves to the storage position, then grabs the carrier and puts it in the appropriate tool.

例示的な実施形態によるシステムのいくつかの利点の例には、従来のシステムを上回る向上したウェハ処理量、キャリアの移動を完了するための複数の移動通路、および向上した耐障害性が含まれる。 Examples of some of the advantages of the system according to the exemplary embodiments include improved wafer throughput over traditional systems, multiple aisles to complete carrier transfer, and improved fault tolerance. ..

図48に示される別の例示的な実施形態によると、フィーダロボットは、シャトルおよびイントラベイのコンベヤシステムの直下にある平面上にある線形ステージとして実装される。ステージは、実施形態1と同一の自由度を有し、上方というよりは、下方からキャリアを掴む。一度キャリアがイントラベイのコンベヤから捕獲されると、ベイと逆に推進され、適切なシャトル上に開放する。本構造は、コンベヤレーンを装置境界間のどこにでも置くことができるという利益を有する。例えば、イントラベイのコンベヤは、実施形態1のように、外側というよりは中心にあってもよい。本配置のその他の利点は、実施形態1では、ローダがこの移動を実行できるのは、積載ポート領域内に位置する場合のみに制限されているのに対して、ローダロボットは今、ベイ内のいかなるY位置においてペイロードを有するフィーダメカニズムを通過することができるということである。さらに、ローダロボットは、衝突を回避するためにフィーダジオメトリと通信する必要がない。フィーダおよびローダロボットの両方は、ペイロードを有し、互いに接合せずに同一の垂直空間を占有することができる。本構成の一連の動きは、上方というよりは下方からキャリアを掴むことを除き、実施形態1と同一である。 According to another exemplary embodiment shown in FIG. 48, the feeder robot is implemented as a linear stage on a plane directly beneath the shuttle and intrabay conveyor system. The stage has the same degrees of freedom as in Embodiment 1 and grabs the carrier from below rather than above. Once the carrier is captured from the Intrabay conveyor, it is propelled in the opposite direction of the bay and released onto the appropriate shuttle. The structure has the advantage that the conveyor lane can be placed anywhere between the equipment boundaries. For example, the conveyor of the intrabay may be centered rather than outside, as in Embodiment 1. Another advantage of this arrangement is that in Embodiment 1, the loader can only perform this move if it is located within the loading port area, whereas the loader robot is now in the bay. It means that it can pass through a feeder mechanism with a payload at any Y position. Moreover, the loader robot does not need to communicate with the feeder geometry to avoid collisions. Both the feeder and loader robots have payloads and can occupy the same vertical space without joining each other. The series of movements of this configuration is the same as that of the first embodiment except that the carrier is grasped from below rather than above.

別の実施形態では、下方からのオーバーヘッドまたはメカニズムは、X(ベイの長手)、Y(ベイの横軸)、およびZ(垂直)方向に移動することができる。本構成では、3軸フィーダが必要に応じて特定のストレージレーンおよびスロットに移動できるため、シャトル/分類機が使用されなくてもよい。例えば、キャリアは、イントラベイのコンベヤから移動され、適切なストレージレーンに置かれ、次いでストレージ内のキャリアの初期待ち行列に向かって垂直に並進される。図49に最もよく見られるように、その他の例示的な実施形態によると、FABフロアからOHTシステムが到達可能な最高点に延在するキャリアジオメトリと一致する容量のキャリアストレージを可能にし、ベイの長手方向全体にわたり配置することができる、垂直ストレージコラムを提供することによって向上したストレージ容量が生成されてもよい。 In another embodiment, the overhead or mechanism from below can move in the X (longitudinal), Y (horizontal axis of the bay), and Z (vertical) directions. In this configuration, the 3-axis feeder can be moved to specific storage lanes and slots as needed, eliminating the need for shuttles / classifiers. For example, carriers are moved from the conveyor in the intrabay, placed in the appropriate storage lanes, and then translated vertically towards the initial queue of carriers in the storage. As most often seen in FIG. 49, according to other exemplary embodiments, the capacity of carrier storage that matches the carrier geometry extending from the FAB floor to the highest point reachable by the OHT system allows for carrier storage in the bay. Improved storage capacity may be generated by providing vertical storage columns that can be placed across the longitudinal direction.

別の実施形態では、所望により、FAB内のストレージ密度を向上するための円筒型キャリアネストを置くことができる。円筒型ストレージネストは、キャリアを重ねて保持し、キャリアを指定の高さまで上げるまたは下げるメカニズムを提供することができる。垂直運動のメカニズムは、空気圧式、機械式、または磁気式であってもよい。 In another embodiment, if desired, a cylindrical carrier nest can be placed to improve the storage density within the FAB. Cylindrical storage nests can provide a mechanism for stacking and holding carriers and raising or lowering the carriers to a specified height. The mechanism of vertical motion may be pneumatic, mechanical, or magnetic.

ここで、図51を参照すると、さらに別の例示的な実施形態による、搬送システム4000の概略平面図が示されている。図51に図示される例示的な実施形態では、搬送システムは、例えばFAB全体搬送システムのインターベイ部分等の代表的なセクションであり、別の実施形態では、搬送システムは、いかなる所望の寸法および構成を有してもよい。図51に示される例示的な実施形態では、搬送システム4000は、前述され、図41〜50に図示される搬送システム3000と概して類似してもよい。類似機構には、類似番号が付けられている。搬送システム3000と同様に、図51に示される例示的な実施形態では、搬送システム4000は、バルクまたは高速大量搬送セクション4100(例えばコンベヤ)および接合セクション4200を有してもよい。示される本実施形態の接合セクション4200は、例示に過ぎず、別の実施形態では、いかなる所望の数のサブセクション(例えば前述されたものと類似するストレージセクション、シャトルセクション)を有するいかなる所望の構成を有してもよい。一般的に、接合セクション4200は、バルク搬送システムセクション4100とプロセスツールとの間のキャリアを接合できる多数のフィーダロボットを有してもよい。バルク搬送システムセクション4100は、前述され、一部分が図20に示される搬送システム500と概して類似してもよい。図51に示される例示的な実施形態では、バルク搬送システムセクション4100は、ソリッドステートのコンベヤシステムを有するトラックを備えてもよい。トラックは、参照することによりその全体が本明細書に前述のように組み込まれる、米国特許出願シリアル番号第10/697,528号に記載されるものと類似する、ソリッドステートのコンベヤシステムを有してもよい。図51に示される例示的な実施形態では、搬送システム4100は、搬送システムによるキャリアの搬送が、搬送されているその他のキャリアの動きから実質的に分断される、非同期搬送システム(搬送システム500と類似する)であってもよい。したがって、1つ以上のキャリアは、搬送中に搬送システムのキャリア搬送ストリーム内のその他の隣または近接キャリアの搬送速度に影響を与えることなく、独立して動作する(例えば加速/減速、停止、積み込み/取り出し)ことが可能であってもよい。 Here, with reference to FIG. 51, a schematic plan view of the transport system 4000 according to still another exemplary embodiment is shown. In the exemplary embodiment illustrated in FIG. 51, the transfer system is a representative section, for example, an interbay portion of the FAB overall transfer system, and in another embodiment, the transfer system has any desired dimensions and It may have a configuration. In an exemplary embodiment shown in FIG. 51, the transport system 4000 may be generally similar to the transport system 3000 described above and illustrated in FIGS. 41-50. Similar mechanisms are numbered similarly. Similar to the transfer system 3000, in the exemplary embodiment shown in FIG. 51, the transfer system 4000 may have a bulk or high speed mass transfer section 4100 (eg, conveyor) and a joining section 4200. The joined section 4200 of this embodiment shown is merely an example, and in another embodiment any desired configuration having any desired number of subsections (eg, storage sections similar to those described above, shuttle sections). May have. In general, the joining section 4200 may have a number of feeder robots capable of joining carriers between the bulk transfer system section 4100 and the process tool. The bulk transfer system section 4100 may be generally similar to the transfer system 500 described above and in part as shown in FIG. In the exemplary embodiment shown in FIG. 51, the bulk transfer system section 4100 may include a truck with a solid state conveyor system. The truck has a solid-state conveyor system similar to that described in US Patent Application Serial No. 10 / 697,528, which is incorporated herein by reference in its entirety. You may. In an exemplary embodiment shown in FIG. 51, the transport system 4100 is an asynchronous transport system (with transport system 500) in which transport of carriers by the transport system is substantially separated from the movement of other carriers being transported. It may be similar). Thus, one or more carriers operate independently during transport without affecting the transport speed of other adjacent or proximity carriers in the carrier transport stream of the transport system (eg, acceleration / deceleration, stop, loading). / Take out) may be possible.

図51に示される例示的な実施形態では、以後バルク搬送機4100と称するバルク搬送システムセクションは、一般的に主搬送トラック4100Mを備える。また、バルク搬送機4100は、多数のサイディングトラック4100Sを有してもよい。例示目的のためにループとして図51に示され、別の実施形態では、いかなる他の所望の形状を有してもよい主搬送トラック4100Mは、バルク搬送機によって搬送されているキャリアの主搬送通路(またはストリーム)を画定する。例示的な実施形態の説明は、特にキャリアを参照するが、本明細書に記載される機構は、バルク搬送機によって搬送されるペイロードのプラテンまたはその他の起動デバイス上に(基板)キャリアが置かれる場合がある別の実施形態にも同様に適用することができる。例示的な実施形態では、主搬送通路は、連続的かつ実質的に等速であってもよい。したがって、主搬送トラック4100M上で搬送されるキャリアは、搬送システム上で停止したキャリアからの障害なく、主通路上の搬送機全体にわたり、持続的かつ高速に進行することが可能である。採用されたサイディングまたは分岐トラック4100Sは、搬送速度を決定するバルク搬送上のキャリアの動作を主搬送通路から分断できるようにする。前述されたように、速度を決定する動作は、主搬送通路に障害を与えることなく、サイディングトラックから実行されてもよい。したがって、サイディングトラック4100Sは、例えばキャリア緩衝装置、積み込み/取り出し位置または通路切り替えデバイスを画定してもよい。例示的な実施形態では、1つのサイディングトラックが示されるが、例えば、および別の実施形態では、いかなる所望の数のサイディングトラックがあってもよい。また、二股に分かれ、実質的に直線状のセグメントで再度合流する、示される例示的な実施形態におけるサイディングの構成も、例示に過ぎず、別の実施形態では、サイディングトラックは、いかなる他の所望の構成を有してもよい。例えば、サイディングトラックは、主トラックループの対向側間(任意のベイ内)で分岐してもよく、または例えば図29A、29Bに示されるような異なるインターベイ(例えばインター−インター)搬送セクション、もしくはインター−イントラ(あるいはその逆)搬送セクションの主トラック間で分岐してもよい。別の実施形態では、サイディングは、主トラックと異なる配向を有してもよく、主トラックの上または下を横断してもよい。その他の別の実施形態では、所望により、実質的に直角の交差点または切り替え等が主トラックとサイディングトラックとの間の交差点に配置されてもよい。 In the exemplary embodiment shown in FIG. 51, the bulk transfer system section, hereinafter referred to as the bulk transfer machine 4100, generally comprises a main transfer truck 4100M. Further, the bulk carrier 4100 may have a large number of siding trucks 4100S. Shown in FIG. 51 as a loop for exemplary purposes, in another embodiment the main transport truck 4100M, which may have any other desired shape, is the main transport aisle of the carrier being transported by the bulk carrier. Define (or stream). Although the description of exemplary embodiments specifically refers to carriers, the mechanisms described herein place the (board) carriers on a platen or other activation device of the payload carried by the bulk carrier. The same can be applied to other embodiments that may be applicable. In an exemplary embodiment, the main aisle may be continuous and substantially constant velocity. Therefore, the carrier transported on the main transport truck 4100M can travel continuously and at high speed over the entire transport machine on the main aisle without any obstacles from the carrier stopped on the transport system. The adopted siding or branch truck 4100S allows the carrier operation on bulk transport, which determines the transport speed, to be separated from the main transport aisle. As mentioned above, the speed determination operation may be performed from the siding truck without disturbing the main aisle. Thus, the siding truck 4100S may define, for example, a carrier shock absorber, loading / unloading position or aisle switching device. In an exemplary embodiment, one siding track is shown, but for example, and in another embodiment, there may be any desired number of siding tracks. Also, the configuration of the siding in the exemplary embodiment shown, which is bifurcated and rejoins in a substantially linear segment, is merely exemplary, in another embodiment the siding track is any other desired. May have the configuration of. For example, the siding track may branch between opposite sides of the main track loop (in any bay), or different interbay (eg, inter-inter) transport sections, such as those shown in FIGS. 29A, 29B, or Branches may be made between the main tracks of the inter-intra (or vice versa) transport section. In another embodiment, the siding may have a different orientation than the main track and may traverse above or below the main track. In other other embodiments, if desired, a substantially right-angled intersection or switch may be located at the intersection between the main track and the siding track.

例示的な実施形態では、主およびサイディングトラック4100M、4100Sは、バルク搬送機のトラックを組み合わせるためにモジュールで接続されるモジュラートラックセグメントA、B、C、D、Lを備えてもよい。キャリアは、例えばリニアモータによってバルク搬送機のトラック4100S、4100M上で駆動されてもよい。前述されたトラック500と同様に、リニアモータのフォーサーは、トラック4100M、4100S内/上に置かれてもよく、リニアモータの反応部分は、キャリア上にあってもよい。キャリアは、キャリアの適したソリッドステートの支持体部材上で作用する非接触型または滑らかなベアリング(例えば空気/ガスベアリング)磁気浮上システム、または接触型ベアリング(例えばローラー、ボール/ローラーベアリング等)のトラック内の適したデバイスによって、トラック上で移動可能に支持されてもよい。別の実施形態では、キャリアは、車輪、ローラー、ガス/空気ベアリング等、そこに一体化された起動支持体を有してもよい。理解され得るように、主およびサイディングトラック上でキャリアを支持する起動支持体は、それぞれのキャリアをトラックにわたり安定して支持するために、トラック上でいかなる所望の配置を有してもよく、キャリアがトラックに沿って自由に移動できるように、主およびサイディングトラックに沿って分布されてもよい。例示的な実施形態では、リニアモータは、例えば線形誘導モータ(LIM)、リニアブラシレスDCモータ(等)である場合があるが、別の実施形態では、バルク搬送機の主およびサイディングトラックに沿ってキャリアを促すために、いかなる所望のリニアモータまたはいずれかの他の種類のモータ/駆動部が使用されてもよい。前述されたように、例示的な実施形態では、LIMのフォーサー(または相巻線)4120、4120M、4120Sは、搬送機の主およびサイディングトラックを形成するトラックモジュールA、B、C、D、L内に置かれ、キャリアは、以下にさらに詳細に記載されるLIMの反応速度/部材を有する。別の実施形態では、キャリアまたは運搬車プラテンにモータコイルが搭載されてもよく、トラックに磁気反応要素が搭載されてもよい。 In an exemplary embodiment, the main and siding trucks 4100M, 4100S may include modular truck segments A, B, C, D, L connected in modules to combine trucks of a bulk carrier. The carrier may be driven on the trucks 4100S and 4100M of the bulk carrier by, for example, a linear motor. Similar to the track 500 described above, the linear motor forcer may be placed in / on the track 4100M, 4100S, and the reaction portion of the linear motor may be on the carrier. The carrier may be a non-contact or smooth bearing (eg air / gas bearing) magnetic levitation system acting on a suitable solid state support member of the carrier, or a contact bearing (eg roller, ball / roller bearing, etc.). It may be movably supported on the track by a suitable device in the track. In another embodiment, the carrier may have a start-up support integrated therein, such as wheels, rollers, gas / air bearings, and the like. As can be understood, the launching supports that support the carriers on the main and siding tracks may have any desired arrangement on the tracks in order to stably support their respective carriers across the tracks. May be distributed along the main and siding tracks so that can move freely along the track. In an exemplary embodiment, the linear motor may be, for example, a linear induction motor (LIM), a linear brushless DC motor (etc.), but in another embodiment along the main and siding track of the bulk carrier. Any desired linear motor or any other type of motor / drive may be used to facilitate the carrier. As mentioned above, in an exemplary embodiment, the LIM forcers (or phase windings) 4120, 4120M, 4120S are the track modules A, B, C, D, L forming the main and siding tracks of the carrier. Placed within, the carrier has a LIM kinetics / member described in more detail below. In another embodiment, the carrier or carrier platen may be equipped with a motor coil, and the truck may be equipped with a magnetic reaction element.

さらに図51を参照すると、示される例示的な実施形態の主4100Mおよびサイディング4100SトラックのモジュラーセグメントA、B、C、D、Lは、代表的なものであり、別の実施形態では、いかなる所望の構成を有してもよい。トラックセグメントA、B、C、D、Lは、指示がない限り、概して類似する。図51に見られるように、例示的な実施形態では、トラックセグメント(モジュール)は、一般的にシングルトラックセグメント(例えばA、C、D、L)および接合部(トラック切り替え)セグメントを含んでもよい。別の実施形態では、いずれかの他の所望のモジュラートラックセクションが使用されてもよい。例えば、別の実施形態では、任意のトラックモジュールは、非接合マルチトラックモジュールと称される場合がある、一般的に互いに平行して延在する、複数のトラック(それぞれが異なるキャリア搬送通路を形成する)を含んでもよい。例示的な実施形態では、シングルトラックセグメントは、実質的に直線状のセグメントA、D、Lおよび湾曲状のセグメントCを含んでもよいが、別の実施形態では、シングルトラックセグメントは、いかなる他の所望の形状を有してもよい。示される例示的な実施形態では、トラックセクションは、描写目的のために、実質的に共通高度に描画される。別の実施形態では、主およびサイディングトラックは、異なる高度のセクションを含んでもよい。例えば、サイディングは、主トラックおよび/またはその他のサイディングとは異なる高度(例えばより低いまたはより高い)に位置してもよい。また、主トラックおよび/またはサイディングトラックは、より高いまたはより低いトラック部分等、トラックに沿って異なる高度にトラックセクションを有してもよい。適したランプ(図示せず)が異なる高度のトラックセクションを接合し、トラックを進行するキャリアが間を移行できるようにしてもよい。図51から理解され得るように、接合セグメントB、4102、4102’は、サイディングまたは分岐トラック4100Sが主トラック4100Mと合流する場所、または接合が望ましい場所に置くことができる。図51に示される例示的な実施形態では、例示目的のために、2つの接合トラックセグメント4102、4102’が示される。図51に示される接合セグメント4102、4102’の構成は、主トラック4100Mの片側に合流/分化するシングル分岐トラック(例えば図51の軸Xで示される方向に対して左側)を有する例示に過ぎない。別の実施形態では、接合セグメントは、主トラックの右に分岐してもよい。その他の別の実施形態では、接合セグメントは、主トラックの反対側にある分岐であって、実質的に直接互いに対向するまたは交代する分岐を有する1つのセグメント内の複数分岐、または主トラックの片側(例えば左および/または右)上での複数分岐等、いかなる所望の構成を有してもよい。例示的な実施形態では、シングルトラックセグメントA、C、D、Lは、異なる形状(例えば直線状、湾曲状等)を有するが、その他の点では概して類似する。トラックセグメントA、C、D、Lのそれぞれは、モータのフォーサー4120内に対応するセクションを含んでもよい。理解され得るように、および図51に示されるように、モジュラートラックセグメントが組み立てられる場合、モータのフォーサーセクション(様々なトラックセクションの)は、動作可能に統合される(適したコントローラを使用して)場合に、キャリア/プラテン内の反応プレートを操作し、主およびサイディングトラックの長手方向にわたりキャリア/プラテンを駆動するために、主およびサイディングトラックに実質的に連続的なモータのフォーサー4120M、4120Sを画定してもよい。別の実施形態では、トラックは、一体化フォーサーセクションなく、1つ以上のセグメントを含んでもよい。 Further with reference to FIG. 51, the modular segments A, B, C, D, L of the main 4100M and siding 4100S tracks of the exemplary embodiments shown are representative and in another embodiment any desired. May have the configuration of. Track segments A, B, C, D, L are generally similar unless otherwise indicated. As seen in FIG. 51, in an exemplary embodiment, the track segment (module) may generally include a single track segment (eg, A, C, D, L) and a junction (track switching) segment. .. In another embodiment, any other desired modular track section may be used. For example, in another embodiment, any track module may be referred to as a non-joined multitrack module, generally extending parallel to each other, forming a plurality of tracks, each forming a different carrier transport aisle. ) May be included. In an exemplary embodiment, the single track segment may include substantially linear segments A, D, L and curved segment C, but in another embodiment the single track segment may include any other segment. It may have a desired shape. In the exemplary embodiment shown, the track sections are drawn at a substantially common altitude for depiction purposes. In another embodiment, the main and siding tracks may include sections of different altitudes. For example, the siding may be located at a different altitude (eg, lower or higher) than the main track and / or other siding. Also, the main track and / or the siding track may have track sections at different altitudes along the track, such as higher or lower track sections. Suitable ramps (not shown) may join track sections of different altitudes to allow carriers traveling on the track to transition between them. As can be seen from FIG. 51, the junction segment B, 4102, 4102'can be placed where the siding or branch track 4100S merges with the main track 4100M, or where bonding is desirable. In the exemplary embodiment shown in FIG. 51, two junction track segments 4102, 4102'are shown for illustrative purposes. The configuration of the junction segments 4102, 4102'shown in FIG. 51 is merely an example having a single branch track (eg, left side with respect to the direction indicated by axis X in FIG. 51) that merges / differentiates on one side of the main track 4100M. .. In another embodiment, the junction segment may branch to the right of the main track. In another other embodiment, the junction segment is a branch on the opposite side of the main track, with multiple branches within one segment having substantially direct facing or alternating branches, or one side of the main track. It may have any desired configuration, such as multiple branches on (eg left and / or right). In an exemplary embodiment, the single track segments A, C, D, L have different shapes (eg, linear, curved, etc.) but are generally similar in other respects. Each of the track segments A, C, D, L may include a corresponding section within the Forcer 4120 of the motor. As can be understood, and as shown in FIG. 51, when the modular track segment is assembled, the motor's forcer section (of the various track sections) is operably integrated (using a suitable controller). ) In the case of manipulating the reaction plate in the carrier / platen and driving the carrier / platen over the longitudinal direction of the main and siding tracks, a substantially continuous motor forcer 4120M, 4120S on the main and siding tracks. It may be defined. In another embodiment, the track may include one or more segments without an integrated forcer section.

理解され得るように、フォーサー4120またはリニアモータの一次コイルアセンブリと称される場合があるものは、例えばLIM配置の場合、一般的にスチール積層体および相巻線を備え、それらは、トラックセグメントと一体化して形成されてもよく、またはトラックセグメントに接合されるフォーサー筐体に収容されてもよい。別の実施形態では、トラックセグメントに一体化されるリニアモータのフォーサーの相巻線は、いかなる他の適した配置を有してもよい。それぞれのセグメントA、C、D、L内のフォーサーセクション(例えば図52のセグメントC参照)は、それ自体がセグメント化されてもよく、または連続していてもよい。湾曲状のトラックセグメントCは、フォーサーセクション4120Cを有してもよく、その中で相巻線は、コイルアセンブリがトラックの湾曲に相応する曲線を画定するように配置されてもよく、概して湾曲状のフォーサーセクションを画定するために配置されたセグメントを有するフォーサーセクションを有してもよい。別の実施形態では、トラックセグメントのフォーサーセクションは、いかなる他の所望の形状を有してもよい。トラックセグメントA、C、D、Lのフォーサーセクションは、トラックおよび該トラックに乗るキャリアに対して対称に配置されてもよい。別の実施形態では、フォーサーは、トラックおよびその上のキャリアに対して非対称に置かれてもよい。 As can be understood, what is sometimes referred to as the Forcer 4120 or linear motor primary coil assembly, for example in the case of a LIM arrangement, generally comprises a steel laminate and phase windings, which are with track segments. It may be integrally formed or housed in a forcer housing joined to a track segment. In another embodiment, the phase windings of the linear motor forcer integrated into the track segment may have any other suitable arrangement. The forcer sections within each of the segments A, C, D, L (see, eg, segment C in FIG. 52) may themselves be segmented or contiguous. The curved track segment C may have a forcer section 4120C in which the phase windings may be arranged such that the coil assembly defines a curve corresponding to the curve of the track and is generally curved. It may have a forcer section having a segment arranged to define the forcer section of the. In another embodiment, the forcer section of the track segment may have any other desired shape. The forcer sections of the track segments A, C, D, L may be arranged symmetrically with respect to the track and the carrier riding the track. In another embodiment, the forcer may be placed asymmetrically with respect to the track and carriers on it.

図54は、代表的なトラックセグメントAおよびその上に移動可能に支持される代表的なキャリア5000の概略端面図を示す。前述したように、一般的にトラック(主およびサイディング4100M、4100S)は、トラックに沿ったキャリアの制御移動をもたらすために、起動力/推進力、起動支持体、およびキャリア5000の誘導を提供する。また、前述されたように、例示的な実施形態では、例えばLIM等のキャリアを駆動するリニアモータは、キャリア上の反応プレート/要素5100を操作する、トラック内のフォーサー4120M、4120Sにバイアスをかける。また、図53を参照すると、代表的なキャリア5000およびキャリアの反応プレート5100の概略底面図が示されている。図53に示されるキャリア上の反応プレート5100の配置は、例示に過ぎず、別の実施形態では、キャリア上の反応プレートは、いかなる他の適した配置を有してもよい。別の実施形態では、反応プレートは、より多くてもより少なくてもよい。例示的な実施形態では、反応プレート5100は、キャリアの底面上に示されるが、別の実施形態では、反応プレートは、キャリアのいずれかの他の所望の側面または部分に置かれてもよい。例示的な実施形態では、LIMを画定するもの等、反応プレート5100は、スチールまたはアルミニウム等の金属から作製されてもよいが、いずれかの他の適した材料が使用されてもよい。反応プレートの1つ以上は、以下に記載されるように、鉄鋼(磁気)材料から作製されてもよい。別の実施形態では、反応要素は、リニアブラシレスDCモータの相巻線等のモータ相巻線で動作するように配列された永久磁石を含んでもよい。キャリア上の反応プレートは、トラック4100M、4100S内のフォーサー4120M、4120Sに対応し、主またはサイディングトラックに沿う推進力を提供するプレート5102を1つ以上含んでもよい。これは、図54に概略的に図示される。反応プレート5102は、1つのプレートとして図53に概略的に示されるが、例えば図20C、20Dに示されるような配置を有する、いかなる所望の数のプレートを含んでもよい。前述されたように、トラック内のフォーサー4120(およびしたがってフォーサーセクション4120A、4120C、個々のセグメントの図52、54参照)および対応する反応プレート5102は、キャリアならびにトラックに対して実質的に対称に配置されてもよい。別の実施形態では、モータのフォーサーは、非対称であってもよい。 FIG. 54 shows a schematic end view of a representative track segment A and a representative carrier 5000 movably supported on it. As mentioned above, trucks (main and siding 4100M, 4100S) generally provide activation / propulsion, activation support, and carrier 5000 guidance to provide controlled movement of carriers along the track. .. Also, as described above, in an exemplary embodiment, a linear motor driving a carrier, such as a LIM, biases the forcers 4120M, 4120S in the truck that operate the reaction plate / element 5100 on the carrier. .. Further, referring to FIG. 53, a schematic bottom view of a typical carrier 5000 and a carrier reaction plate 5100 is shown. The arrangement of the reaction plate 5100 on the carrier shown in FIG. 53 is merely an example, and in another embodiment the reaction plate on the carrier may have any other suitable arrangement. In another embodiment, the reaction plates may be more or less. In an exemplary embodiment, the reaction plate 5100 is shown on the bottom surface of the carrier, but in another embodiment the reaction plate may be placed on any other desired side or portion of the carrier. In an exemplary embodiment, the reaction plate 5100, such as those defining a LIM, may be made from a metal such as steel or aluminum, but any other suitable material may be used. One or more of the reaction plates may be made of steel (magnetic) material, as described below. In another embodiment, the reaction element may include permanent magnets arranged to operate in a motor phase winding, such as the phase winding of a linear brushless DC motor. The reaction plate on the carrier may include one or more plates 5102 that correspond to the forcers 4120M, 4120S in the track 4100M, 4100S and provide propulsion along the main or siding track. This is schematically illustrated in FIG. The reaction plate 5102 is schematically shown as one plate in FIG. 53, but may include any desired number of plates having an arrangement as shown, for example, in FIGS. 20C, 20D. As mentioned above, the Forcer 4120 (and thus Forcer Sections 4120A, 4120C, see FIGS. 52, 54 of the individual segments) and the corresponding reaction plates 5102 in the track are arranged substantially symmetrically with respect to the carrier and the track. May be done. In another embodiment, the motor forcer may be asymmetric.

図54に示される例示的な実施形態では、キャリア5000は、適した空気ベアリング4200によって、トラック上に移動可能に支持される。図54に示されるガス/空気/液体ベアリングの分布は、例示に過ぎず、別の実施形態では、トラックからキャリアを安定して支持する、いずれかの他の所望のガス圧力分布を提供するために、排気ポートが配置されてもよい。別の実施形態では、トラックからキャリアを上げるために排気を行うガスポートがキャリア内に存在してもよい。前述されたように、その他の別の実施形態では、キャリアとトラックとの間の起動支持体は、いかなる他の所望の種類であってもよく、トラックセグメントまたはキャリアのいずれかの従属物であってもよい。空気ベアリング4200のガスポートおよび/またはキャリア上のガス衝撃エリアは、キャリアのトラックに対する水平誘導を生じる合成方向力を生成するように構成されてもよい。理解され得るように、ガスポートは、適したガスの供給源(図示せず)に連通可能に接続されてもよい。例示的な実施形態では、トラックセクションは、ガス供給元から流体ベアリングのガスポートにガスを送り込むためのガス導管を有してもよい。例えばトラック上のキャリアが存在する場所に近接するガスポートを操作するために、適した弁調整および制御が含まれてもよい。制御は、能動的であってもよい(例えばセンサがキャリアの存在を特定し、キャリアの動作が既知であるトラックセクションで操作されるガスポートのオン/オフを切り替える)。 In the exemplary embodiment shown in FIG. 54, the carrier 5000 is movably supported on the track by suitable air bearings 4200. The gas / air / liquid bearing distribution shown in FIG. 54 is merely an example, in another embodiment to provide any other desired gas pressure distribution that stably supports the carrier from the track. An exhaust port may be arranged in the air. In another embodiment, there may be a gas port in the carrier that exhausts to lift the carrier from the truck. As mentioned above, in other other embodiments, the activation support between the carrier and the track may be of any other desired type and is a dependent of either the track segment or the carrier. You may. The gas port and / or gas impact area on the carrier of the air bearing 4200 may be configured to generate a combined directional force that results in horizontal guidance of the carrier to the track. As will be appreciated, the gas port may be communicatively connected to a suitable gas source (not shown). In an exemplary embodiment, the track section may have a gas conduit for feeding gas from the gas source to the gas port of the fluid bearing. Suitable valve adjustments and controls may be included, for example, to operate a gas port close to where the carrier is on the truck. The control may be active (eg, the sensor identifies the presence of the carrier and turns on / off the gas port operated in the track section where the behavior of the carrier is known).

図51〜52、および54に示される例示的な実施形態では、トラック4100M、4100Sは、キャリアがトラックを下方に進む際の移動を誘導するために、制御および誘導システム4130を含んでもよい。誘導システム4130は、主およびサイディングトラック4100M、4100Sに沿って延在する非接触型システムであってもよい。例示的な実施形態では、トラックセグメントA、C、D、Lのそれぞれは、誘導システム4130A、4130Cの対応するセクション(図52、54参照)を含んでもよく、これらは、セグメントが接合される場合、結合して実質的に連続するトラックの誘導システムを形成してもよい。別の実施形態では、誘導システムは、トラックに独立して搭載可能であってもよい。その他の別の実施形態では、誘導システムは、いかなる適した種類であってもよく、例えばトラックの支持システムと一体化されてもよく(例えばトラックに沿って移動するキャリアの配向および水平位置合わせを維持することを助長する、トラックまたはその間のキャリア上のローラーもしくは車輪)、および/またはリニアモータと一体化されてもよく(以下にさらに記載されるように)、および/またはキャリア支持体およびリニアモータから独立していてもよい。例示的な実施形態では、トラック4100M、4100S内の誘導システム4130は、一般的に、トラック内のリニアモータのフォーサー4120と実質的に平行に延在する誘導磁石トラック4130M、4130Sを備えてもよい。誘導磁石トラックは、例えば、直列配置され、磁石トラックを形成する永久磁石を備えてもよい。また、OT切り替え/接合部等のトラックの一部分も電磁石を含んでもよく、切り替えるためにオン/オフが繰り返されてもよい。別の実施形態では、誘導には、キャリア上に誘導力を生成することができる巻線をトラックセクション内のモータに提供することが含まれてもよい。該誘導巻線は、リニアフォーサーに一体化されてもよく、またはトラックに沿った推進力を提供するリニアフォーサーから分離され、独立していてもよい。理解され得るように、トラック内の誘導フォーサーは、適した誘導プレート/要素5104(キャリアをトラック4100M、4100Sに対する所望の水平位置に維持するためのキャリア内の磁性体(例えば鉄鋼)または永久磁石等)と相互作用してもよい。その他の別の実施形態では、キャリア上に誘導力を生成するための固定具がキャリア上に搭載され、トラック内の固定子要素と動作し、キャリア誘導を行ってもよい。前述されたように、例示的な実施形態では、トラックセグメントモジュールA、C、D、Lは、図52および54に示されるように、誘導トラックの対応するセクション1430A、1430Lをそれぞれ有してもよい。例示的な実施形態では、トラックセクションA、C、D、Lの誘導トラックセクション1430A、1430Lは、フォーサー4120Aに沿って反対側に配置される、2つの誘導トラック4132、4134(例えば図54参照)を備えてもよい。示される誘導トラックの位置は、例示である。別の実施形態では、より多いまたはより少ない誘導トラックがいかなる所望の位置に提供されてもよい。誘導トラックと相互作用するキャリアの誘導プレート/要素は、以下に記載されるように、リニアモータのその他のセクションの軸外(軸Xに対して)リニアモータ反応プレート5104R、5106R、5104L、5106L(図53参照)であってもよく、またはリニアモータ反応プレートから独立した他の適した鉄鋼プレート/要素であってもよい。その他の別の実施形態では、キャリアは、磁石要素を誘導してもよく、トラックは、トラック誘導システムを画定するために、キャリア上の磁石と相互作用するように配置される鉄鋼/磁性体トラックを有してもよい。また、誘導システムは、トラックに沿ったキャリアの移動を制御するコントローラと通信可能に接続されたホール効果センサ、LVDT等の位置付け/位置検知システム/デバイスも含んでもよい。位置付けシステム/デバイスは、参照することによって前述のように組み込まれる米国特許出願第11/211,236号に記載されるものと類似してもよい。一例として、主およびサイディングトラックに沿った位置付けフィードバックもまた、LIMの適したホール効果センサによって提供されてもよい。 In the exemplary embodiments shown in FIGS. 51-52, 54, the trucks 4100M, 4100S may include a control and guidance system 4130 to guide movement as the carrier travels down the track. The guidance system 4130 may be a non-contact system extending along the main and siding tracks 4100M and 4100S. In an exemplary embodiment, each of the track segments A, C, D, L may include corresponding sections of the guidance systems 4130A, 4130C (see FIGS. 52, 54), where the segments are joined. , May be combined to form a substantially continuous track guidance system. In another embodiment, the guidance system may be independently mountable on the truck. In other other embodiments, the guidance system may be of any suitable type, eg, integrated with a truck support system (eg, orientation and horizontal alignment of carriers moving along the truck). Rollers or wheels on the track or carriers in between) and / or may be integrated with a linear motor (as further described below) and / or carrier supports and linears to facilitate maintenance. It may be independent of the motor. In an exemplary embodiment, the guidance system 4130 in the track 4100M, 4100S may generally include a guidance magnet track 4130M, 4130S extending substantially parallel to the forcer 4120 of the linear motor in the track. .. The induction magnet tracks may include, for example, permanent magnets that are arranged in series to form the magnet tracks. Further, a part of the track such as the OT switching / joining portion may also include an electromagnet, and on / off may be repeated for switching. In another embodiment, the induction may include providing the motor in the track section with windings capable of generating an inductive force on the carrier. The induction winding may be integrated with the linear forcer or may be separated and independent of the linear forcer providing propulsion along the track. As can be understood, the inductive forcer in the track is a suitable inductive plate / element 5104, such as a magnetic material (eg steel) or a permanent magnet in the carrier to keep the carrier in the desired horizontal position with respect to the track 4100M, 4100S. ) May interact with. In another other embodiment, a fixture for generating an inductive force on the carrier may be mounted on the carrier and act with a stator element in the truck to guide the carrier. As mentioned above, in an exemplary embodiment, the track segment modules A, C, D, L may have corresponding sections 1430A, 1430L of the induction track, respectively, as shown in FIGS. 52 and 54. Good. In an exemplary embodiment, the induction track sections 1430A, 1430L of the track sections A, C, D, L are arranged on opposite sides along the forcer 4120A, two induction tracks 4132, 4134 (see, eg, FIG. 54). May be provided. The locations of the guidance tracks shown are exemplary. In another embodiment, more or less guide tracks may be provided at any desired position. The induction plates / elements of the carriers that interact with the induction track are off-axis (relative to axis X) linear motor reaction plates 5104R, 5106R, 5104L, 5106L (relative to axis X) of the other sections of the linear motor, as described below. It may be (see FIG. 53), or it may be another suitable steel plate / element independent of the linear motor reaction plate. In another other embodiment, the carrier may guide the magnet element, and the track is a steel / magnetic track that is arranged to interact with the magnets on the carrier to define the track guidance system. May have. The guidance system may also include a positioning / position detection system / device such as a Hall effect sensor, LVDT, etc. communicatively connected to a controller that controls the movement of the carrier along the track. The positioning system / device may be similar to that described in US Patent Application No. 11 / 211,236, which is incorporated by reference as described above. As an example, positioning feedback along the main and siding tracks may also be provided by a suitable Hall effect sensor in the LIM.

ここで再び図52を参照すると、上記に記載されるトラックセグメントCおよび代表的な接合セグメントBの概略平面図が示されている。バルク搬送機4100のその他の接合セグメントは、接合セグメントBと概して類似する。例示的な実施形態では、接合セグメントは、主およびサイディングトラック4120M、4120Sの両方にフォーサーセクションを有してもよい。また、例示的な実施形態では、セグメントBは、切り替えリニアモータのフォーサーセクション4125を有してもよい。理解され得るように、例示的な実施形態では、主トラックおよびサイディングトラックのリニアモータから独立した独立型リニアモータは、以下に記載されるように、キャリアの主およびサイディングトラックの切り替えを行うための接合部に置かれてもよい。例示的な実施形態では、切り替えリニアモータは、LIMであってもよく、ブラシレスDCモータ等のいかなる他の適したリニアモータが使用されてもよい。別の実施形態では、いずれかの他の適した電気的または機械的切り替えシステムが使用されてもよい。図52に見られるように、本実施形態では、フォーサー4125(切り替えモータの)は、主およびサイディングトラックのフォーサー4120M、4120Sからのオフセット位置に置かれてもよい。主トラックのフォーサーセクションは、示されるように、サブセクション4122、4124、4126にさらに区分されてもよい。主トラックのフォーサーのサブセクション4122、4124、4126は、示されるように、互いから物理的に分離されてもよく、またはコントローラによって互いから事実上分離され、切り替えLIMフォーサー4125の向かいのセクション4124が、その他の隣接する主トラックのLIMフォーサーセクション4122、4126から独立して電源を切ることができるようにしてもよい。図52に示される、接合セグメント上のフォーサーセクション4122、4125、4124、4126、および誘導システムの構成は、例示に過ぎず、別の実施形態では、接合セグメントは、いかなる他の所望の構成を有してもよい。図52に見られるように、例示的な実施形態では、切り替えフォーサー4125は、主およびサイディングトラックのフォーサーからサイディングトラックが合流/分化する方向(例えば軸Xから左)にオフセットされてもよい。例示的な実施形態では、切り替えフォーサー4125は、主トラックの方向(軸Xによって示される)と概して平行に配置することができる1つの端部4125M、およびサイディングトラックの局所方向(図52に軸bによって示される)と概して平行に配置されてもよい別の端部4125Sを有してもよい。例示的な実施形態では、サイディング(軸b)の主トラックからの出口/入口の局所方向は、主トラックの移動方向(軸X)に対して鋭角で配向される。したがって、理解され得るように、キャリアは、サイディングに移動する場合、軸Xに沿った運動量を利用して切り替えを行ってもよく、全体として軸Xに沿った運動量を相殺しない場合がある(例えば主トラック上で停止しない場合がある)。別の例示的な実施形態によると(図52Cも参照)、接合セグメントは、切り替えガイド4130S’(トラック4132S’、4134S”)を有して切り替えフォーサー(4125)の位置に提供され、以下に記載されるモータA’を用いずに切り替えるために、進行軸(例えば軸X)に沿ったキャリアの運動量を吸収することによって切り替えを行ってもよい。前述されたように、別の実施形態では、所望により、サイディングへの入口/出口と主トラックの方向との間に角度が提供されてもよい。(例えば直交だが、その場合でも、切り替えリニアモータの構成は、X方向の運動量を利用する)。図52〜53に見られるように、例示的な実施形態では、切り替えLIMフォーサー4125の端部4125Mは、キャリアの反応プレート5104、5106の1つ以上で動作するように置かれてもよい(図53も参照)。反応プレート5104、5106は、横方向(軸Yに沿って)にオフセットされてもよい。さらに、反応プレート5106L、5106Rも、キャリアの所望の参照点(例えば中心)から長手方向(軸Xに沿って)にオフセットされてもよい。例示的な実施形態では、反応プレートは、横軸Yに対して異なる角度α、βにある対角軸上に置かれてもよい。別の実施形態では、キャリアは、より多いまたはより少ない反応プレートを有する、いかなる他の所望の反応プレート配置を有してもよい。前述されたように、反応プレート5104L、5106Lの1つ以上は、キャリアを主トラック4100Mからサイディング4100Sに切り替える(およびサイディング4100S、セグメント4102’のその他の端部で合流する接合部においてその逆も同様、図51参照)ために、切り替えフォーサー4125によって使用されてもよい。 Here again, with reference to FIG. 52, a schematic plan view of the track segment C and the representative junction segment B described above is shown. The other joining segments of the bulk carrier 4100 are generally similar to the joining segment B. In an exemplary embodiment, the junction segment may have forcer sections on both the main and siding tracks 4120M, 4120S. Also, in an exemplary embodiment, segment B may have a forcer section 4125 of the switching linear motor. As will be appreciated, in an exemplary embodiment, a stand-alone linear motor independent of the main track and siding track linear motors is used to switch between the carrier main and siding tracks, as described below. It may be placed at the joint. In an exemplary embodiment, the switching linear motor may be a LIM and any other suitable linear motor such as a brushless DC motor may be used. In another embodiment, any other suitable electrical or mechanical switching system may be used. As seen in FIG. 52, in this embodiment, the Forcer 4125 (of the switching motor) may be placed at an offset position from the Forcer 4120M, 4120S of the main and siding tracks. The forcer section of the main track may be further subdivided into subsections 4122, 4124, 4126 as shown. Subsections 4122, 4124, 4126 of the main track forcers may be physically separated from each other, as shown, or effectively separated from each other by the controller, with section 4124 opposite the switching LIM forcer 4125. , Other adjacent main track LIM forcer sections 4122, 4126 may be able to be turned off independently. The configurations of the forcer sections 4122, 4125, 4124, 4126, and the guidance system on the junction segment shown in FIG. 52 are merely exemplary, and in another embodiment the junction segment has any other desired configuration. You may. As seen in FIG. 52, in an exemplary embodiment, the switching forcer 4125 may be offset from the main and siding track forcers in the direction in which the siding track merges / differentiates (eg, left from axis X). In an exemplary embodiment, the switching forcer 4125 has one end 4125M that can be placed generally parallel to the direction of the main track (indicated by axis X), and the local direction of the siding track (axis b in FIG. 52). It may have another end 4125S which may be arranged generally parallel to (indicated by). In an exemplary embodiment, the local direction of the exit / inlet of the siding (axis b) from the main track is oriented at an acute angle with respect to the direction of movement of the main track (axis X). Therefore, as can be understood, when moving to siding, the carrier may switch using the momentum along the axis X and may not offset the momentum along the axis X as a whole (eg,). It may not stop on the main track). According to another exemplary embodiment (see also FIG. 52C), the junction segment is provided at the switching forcer (4125) position with a switching guide 4130S'(tracks 4132S', 4134S ") and is described below. In order to switch without using the motor A', the switching may be performed by absorbing the momentum of the carrier along the traveling axis (for example, axis X). As described above, in another embodiment, the switching may be performed. If desired, an angle may be provided between the inlet / exit to the siding and the direction of the main track (eg orthogonal, but still the configuration of the switching linear motor utilizes momentum in the X direction). As seen in FIGS. 52-53, in an exemplary embodiment, the end 4125M of the switching LIM forcer 4125 may be placed to operate on one or more of the carrier reaction plates 5104, 5106 (. (See also FIG. 53). Reaction plates 5104, 5106 may be offset laterally (along the axis Y). Further, reaction plates 5106L, 5106R are also longitudinal from a desired reference point (eg, center) of the carrier. It may be offset in the direction (along the axis X). In an exemplary embodiment, the reaction plates may be placed on diagonal axes at different angles α, β with respect to the horizontal axis Y. In another embodiment, the carrier may have any other desired reaction plate arrangement with more or fewer reaction plates. As mentioned above, one or more of the reaction plates 5104L, 5106L. Also used by the switching forcer 4125 to switch carriers from main track 4100M to siding 4100S (and siding 4100S, vice versa at the junctions merging at the other ends of segment 4102'). Good.

図52Bに最もよく見られるように、例示的な実施形態では、誘導磁石セクション4130は、主トラックとサイディングトラックとの間で切り替えを行うように配置される。図52Bに見られるように、例示的な実施形態では、誘導磁石トラック4134(サイディングの入口に近接する側)は、切り替え誘導トラック4134S’(該側に対応する)の少なくとも一部分がトラック4134Mと合流するように割り込まれる。図52Bに示される誘導トラックの接合部分は、例示に過ぎず、別の実施形態では、トラックの接合部分/インターチェンジは、いずれかの他の適した方法で配置されてもよい。対向する誘導磁石トラック4132M(サイディングの入口の反対側)は、示されるように、対応する切り替え誘導4132S’と合流する。例示的な実施形態では、誘導トラック4130M、4130S’のそれぞれは、オン/オフに切り替えられる作動可能な磁場を備えるセクション4132J(図52も参照)を含んでもよい。例えば、誘導トラックのセクション4132Jは、例えば永久磁石を有する磁気チャックと類似する電磁石コイル、およびコイルを通過する電流が誘導磁石セクションの磁場を事実上オン/オフに切り替えることができ、したがってキャリアと誘導トラックとの間の誘導力を解放するように巻線の周囲に配置されるコイルで構成されてもよい。別の実施形態では、作動可能な磁気セクションは、いかなる他の所望の配置を有してもよい。理解され得るように、所望の誘導トラック4132M、4132S’、4134S、4134S’の誘導磁石セクション4132Jは、切り替えを行うために、「オン」および「オフ」に切り替えられ、例えばキャリアが主トラック上を進み続ける場合、主誘導トラック4132M、4134Mは「オン」に切り替えられ、切り替え誘導は「オフ」に切り替えられ、キャリアがサイディングに切り替えられる場合、切り替え誘導4132S’、4134S’は「オン」であり、主誘導は「オフ」である。誘導磁石セクション4132M、4134Mを「オフ」に切り替えることによって、もはや主トラックに保持されなくてもよいことから、キャリアが横方向(主トラック外)に自由に動けるようになる。誘導磁石がキャリア内にある別の実施形態では、接合セグメントの誘導システムは、キャリアの磁石に相殺磁場を生成するのに適した巻線を含んでもよい。接合セグメントは、「オン」に切り替えられる際、キャリア(フォーサー4125によって移動される)をサイディングトラック4100Sに誘導する、一般的にサイディングの入口(軸b)と直線状に配置される作動可能/動作可能な1つ以上の誘導磁石(図示せず)をさらに含んでもよい。これらの誘導磁石セクションは、キャリアが接合部上を移動し、主トラック上を進みつづける場合、「オフ」に切り替えられてもよい。したがって、一例として、キャリアを主トラックからサイディングに切り替えるために、例示的な実施形態では、フォーサーセクション4124の動作が停止されてもよく、誘導磁石セクション4132M、4134Mが「オフ」に切り替えられ、誘導4132S’、4134S’内のスイッチが「オン」であってもよい。キャリアの運動量は、矢印b(図52参照)の方向のキャリアの軌道を事実上サイディング上に偏向する、切り替え誘導を有するトラックに沿って移動してもよい。フォーサー4125(提供される場合)は、キャリアを主トラックからサイディングの入口に向かってさらに促してもよいが、例示的な実施形態では、キャリアの運動量は、所望のサイディングトラック4100Sに沿って運動を継続するために、サイディングのフォーサー4120Sが対応する反応プレート5102上で動作するまでキャリアをサイディングに移動するのに十分であってもよい。誘導磁石トラック4130Sは、サイディングトラック4100Sに沿ってキャリアを誘導するために、キャリアの磁気要素を取得する。例示的な実施形態では、キャリアの切り替えは、概して受動的な方法で達成されており、切り替えに位置フィードバックが採用されなくてもよい。能動的切り替えのある別の実施形態では、キャリアを主からサイディングに切り替え中の位置フィードバックは、誘導/位置付けシステムによって実行されてもよく、該システムは、例えばキャリアが主トラック上にある場合に、切り替えLIMフォーサーにハンドオフする前にキャリアの位置を取得するために配置されてもよく、キャリアが切り替えLIMを介して切り替わる間、位置フィードバックを継続し、サイディングトラックLIMへのハンドオフを可能にする。このように、位置付けデバイスは、切り替え中の位置フィードバックを可能にするために配置される、いかなる適した種類の連続または分散デバイス(例えば光学、磁気、バーコード、基準ストリップ、レーザー/ビーム測距または高周波測距)であってもよい。 As most often seen in FIG. 52B, in an exemplary embodiment, the induction magnet section 4130 is arranged to switch between the main track and the siding track. As seen in FIG. 52B, in an exemplary embodiment, the induction magnet track 4134 (the side closer to the siding inlet) has at least a portion of the switching induction track 4134S'(corresponding to that side) merging with the track 4134M. Interrupted to do. The guide track joints shown in FIG. 52B are merely exemplary, and in another embodiment the track joints / interchanges may be arranged in any other suitable manner. The opposing induction magnet track 4132M (opposite the siding inlet) merges with the corresponding switching induction 4132S'as shown. In an exemplary embodiment, each of the induction tracks 4130M, 4130S'may include section 4132J (see also FIG. 52) with an actuating magnetic field that can be switched on and off. For example, section 4132J of the induction track has an electromagnet coil similar to, for example, a magnetic chuck with a permanent magnet, and the current passing through the coil can effectively switch the magnetic field of the induction magnet section on and off, thus carrier and induction. It may consist of coils arranged around the windings to release the inductive force with the track. In another embodiment, the operable magnetic section may have any other desired arrangement. As can be understood, the induction magnet section 4132J of the desired induction track 4132M, 4132S', 4134S, 4134S' is switched "on" and "off" to make the switch, eg, the carrier is on the main track. If the main guidance track 4132M and 4134M are switched to "on", the switching guidance is switched to "off", and the carrier is switched to siding, the switching guidance 4132S'and 4134S' are "on" if the vehicle continues to travel. The main induction is "off". By switching the inductive magnet sections 4132M and 4134M to "off", the carrier is free to move laterally (outside the main track) because it no longer needs to be held by the main track. In another embodiment where the inductive magnet is in the carrier, the inductive system of the junction segment may include windings suitable for creating a canceling magnetic field in the carrier magnet. The junction segment is actuable / actuated, generally aligned with the siding inlet (axis b), which guides the carrier (moved by the forcer 4125) to the siding track 4100S when switched "on". It may further include one or more possible induction magnets (not shown). These inductive magnet sections may be switched "off" if the carrier moves over the junction and continues on the main track. Thus, as an example, in order to switch the carrier from main track to siding, in an exemplary embodiment, the forceer section 4124 may be stopped and the inductive magnet sections 4132M, 4134M are switched "off" to induce. The switch in 4132S'and 4134S' may be "on". The momentum of the carrier may move along a track with a switching guide that effectively deflects the carrier's trajectory in the direction of arrow b (see FIG. 52) on siding. The Forcer 4125 (if provided) may further drive the carrier from the main track towards the siding entrance, but in an exemplary embodiment the carrier momentum will move along the desired siding track 4100S. To continue, it may be sufficient to move the carrier to the siding until the siding forcer 4120S operates on the corresponding reaction plate 5102. The induction magnet track 4130S acquires the magnetic element of the carrier to guide the carrier along the siding track 4100S. In an exemplary embodiment, carrier switching is generally achieved in a passive manner, and position feedback may not be employed for switching. In another embodiment with active switching, position feedback during carrier switching from main to siding may be performed by a guidance / positioning system, such as when the carrier is on the main track. It may be arranged to obtain the position of the carrier before handing off to the switching LIM forcer, continuing position feedback and allowing handoff to the siding track LIM while the carrier switches through the switching LIM. In this way, the positioning device is arranged to allow position feedback during switching, any suitable type of continuous or distributed device (eg, optical, magnetic, barcode, reference strip, laser / beam ranging or High frequency ranging) may be used.

ここで、図52Aを参照すると、別の例示的な実施形態による、バルクトランスポータの接合セグメントB’の別の平面図が示されている。本例示的な実施形態では、接合セグメントB’は、指示がない限り、図52に示されるセグメントBと類似する。図52Aでは、明確化のために、誘導磁石トラックは図示されない。また、セグメントB’上の主トラックのLIMフォーサーセクション4120M’は、隣接するフォーサー4122’、4126’から独立して電源を切ることができるサブセクション4124’を有してもよい。本例示的な実施形態では、サイディングのLIMフォーサー4120B’は、キャリアが主トラック上にある場合に、キャリアの反応プレート5106L’上で動作できる(所望により)ようにするために、主トラックに向かって十分に延在してもよい。これは、切り替えを行うために置かれたキャリアの反応プレート5102’、5106L’(ファントムで)を示す図52Aに図示される。トラックLIMの反応プレート5102’は、例えば主トラックのフォーサーセグメント4124’の上(および例えば隣接する「上流」主トラックのフォーサー4122’、および反応プレートから離れて)に置かれてもよく、5106L’は、サイディングのLIMフォーサー4120B’と動作するように置かれてもよい。したがって、切り替えるために、主トラックセグメント4124’は電源が切られ、サイディングのフォーサー4120B’は、エネルギーが供給され、キャリアをサイディングトラックに向けてもよい。サイディングから主トラックへの切り替えは、同様な方法で達成されてもよい。別の実施形態では、主およびサイディングトラックのリニアモータは、DCブラシレスモータまたは他のブラシレス鉄芯モータ等のいかなる適したリニアモータであってもよい。別の実施形態では、永久磁石反応要素は、キャリア内にあってもよく、その他の別の実施形態では、永久磁石は、トラックセグメント(キャリア内のコアモータ)内にあってもよい。別の実施形態では、相巻線は、磁石とモータ芯との間の磁場を相殺し、モータの磁石/鉄芯要素の相互作用によって提供される誘導を削除し、あるトラックから別のトラックにキャリアを切り替えられるようにするために、所望により、トラック(図20A、20Bに示されるものと同様な方法で)またはキャリアのいずれかの中に置かれてもよい。 Here, with reference to FIG. 52A, another plan view of the junction segment B'of the bulk transporter according to another exemplary embodiment is shown. In this exemplary embodiment, the junction segment B'is similar to the segment B shown in FIG. 52, unless otherwise indicated. In FIG. 52A, the induction magnet track is not shown for clarity. Further, the LIM forcer section 4120M'of the main track on the segment B'may have a subsection 4124' that can be turned off independently of the adjacent forcer 4122' and 4126'. In this exemplary embodiment, the siding LIM Forcer 4120B'is directed towards the main track to allow it to operate (if desired) on the carrier's reaction plate 5106L'when the carrier is on the main track. It may be extended sufficiently. This is illustrated in FIG. 52A, which shows the reaction plates 5102', 5106L' (in the phantom) of the carriers placed to make the switch. The reaction plate 5102'of the track LIM may be placed, for example, on the forcer segment 4124'of the main track (and away from, for example, the forcer 4122' of the adjacent "upstream" main track, and the reaction plate) 5106L'. May be placed to work with the siding LIM Forcer 4120B'. Therefore, in order to switch, the main track segment 4124'may be powered off and the siding forcer 4120B' may be energized and directed the carrier towards the siding track. Switching from siding to the main track may be achieved in a similar manner. In another embodiment, the main and siding track linear motors may be any suitable linear motors such as DC brushless motors or other brushless iron core motors. In another embodiment, the permanent magnet reactive element may be in the carrier, and in other embodiments, the permanent magnet may be in the track segment (core motor in the carrier). In another embodiment, the phase winding cancels the magnetic field between the magnet and the motor core, removes the induction provided by the interaction of the magnet / iron core elements of the motor, from one track to another. If desired, they may be placed in either a track (in a manner similar to that shown in FIGS. 20A, 20B) or in a carrier so that the carriers can be switched.

ここで、再び図51を参照すると、例示的な実施形態では、1つ以上のトラックセグメントLは、エリアIを有してもよく、そこで接合セクション4200のロボット等によってキャリアがトラックから持ち上げられてもよい。理解され得るように、リフトエリアI内の誘導磁石トラック4130Sは、図52に示されるセクション4132Jと類似する作動可能な磁場を有するセクションとともに提供されてもよい。別の実施形態では、相巻線は、キャリアをトラックによる捕獲から「解放」し、トラックからのキャリアの持ち上げの容易化を促進するために、トラックまたはキャリアのいずれかの中の磁石と、トラックまたはキャリアのいずれかの中のリニアモータの鉄芯もしくは鉄鋼反応プレートである磁性体との間の磁場を相殺するために、提供されてもよい。 Now, referring again to FIG. 51, in an exemplary embodiment, one or more track segments L may have area I, where the carrier is lifted from the track by a robot or the like in the joining section 4200. May be good. As will be appreciated, the induction magnet track 4130S within the lift area I may be provided with a section having an operable magnetic field similar to section 4132J shown in FIG. In another embodiment, the phase winding "releases" the carrier from capture by the truck and with a magnet in either the truck or the carrier to facilitate the lifting of the carrier from the truck, and the truck. Alternatively, it may be provided to cancel the magnetic field between the iron core of the linear motor or the magnetic material which is the steel reaction plate in any of the carriers.

再び図53を参照すると、例示的な実施形態では、1つ以上のキャリア5000は、キャリア列内の1つ以上のキャリアを互いに連結するための連結具5200を有してもよい。連結具は、連結または解放するためにコントローラに動作可能に接続されてもよい磁気連結具等、いかなる適した種類のものであってもよい。別の実施形態では、キャリア間連結は、例えば機械的連結であってもよい。連結具5200は、図53に概略的に示されるが、別の実施形態では、キャリアの所望の位置に置かれてもよい。キャリア間連結は、バルク搬送機4100による搬送中に、2つ以上のキャリアを互いに繋ぐために使用されてもよい。理解され得るように、これは、繋がれたキャリアの1つ以上が列の機関となることを可能にし、一方、列中の他のキャリアは、受動的であってもよい。図51は、例示的な実施形態による、キャリアの列を示す。理解され得るように、繋がれる間、繋がれたキャリアはまとめられ、列中の「機関」キャリアの移動を制御することによって、すべてのキャリアが移動できるようにする。これは、コントローラの負荷を大幅に低減する可能性がある。列中の任意のキャリアの位置情報は、キャリアの列中(例えば「機関」キャリア基準)の、制御された相対的に望ましいレフェレンスに登録されてもよい。したがって、所望のコントローラは、列として移動する場合、それぞれのキャリアの個々のキャリアの移動を追跡することなく、所望のキャリアを特定し、所在を確認してもよく、列中の任意のキャリアの個別制御の開始を所望する場合、コントローラは、トラック上の列の位置および列上の所望の参照に対する任意のキャリアの位置を検索し、トラック上のキャリアの概略位置を特定してもよい。トラック位置付けシステムを用いて、高精度な位置付けを行ってもよい。別の実施形態では、キャリアの列から分断する際の位置付けは、いかなる他の所望の方法で行われてもよい。理解され得るように、列中のいずれかのキャリアが機関キャリアであってもよい。所望の運転パラメータを支持するために、キャリアの列の機関の位置付けが確立されてもよい。さらに、機関の位置は、機関キャリアの動作を停止し、列中の別のキャリアを機関となるように起動することによって、切り替えられてもよい。 Referring again to FIG. 53, in an exemplary embodiment, one or more carriers 5000 may have a connector 5200 for connecting one or more carriers in a carrier row to each other. The connector may be of any suitable type, such as a magnetic connector that may be operably connected to the controller for coupling or disengagement. In another embodiment, the carrier-to-carrier connection may be, for example, a mechanical connection. The connector 5200 is schematically shown in FIG. 53, but in another embodiment, it may be placed in a desired position on the carrier. The carrier-to-carrier connection may be used to connect two or more carriers to each other during transfer by the bulk carrier 4100. As can be understood, this allows one or more of the connected carriers to be the institution of the row, while the other carriers in the row may be passive. FIG. 51 shows a row of carriers according to an exemplary embodiment. As can be understood, while connected, the connected carriers are grouped together, allowing all carriers to move by controlling the movement of the "institutional" carriers in the line. This can significantly reduce the load on the controller. The location information of any carrier in the column may be registered in a controlled and relatively desirable reference within the carrier column (eg, "institutional" carrier reference). Thus, if the desired controller travels as a column, it may identify and locate the desired carrier without tracking the movement of individual carriers in each carrier, and of any carrier in the column. If desired to initiate individual control, the controller may search for the position of the column on the track and the position of any carrier with respect to the desired reference on the column to determine the approximate position of the carrier on the track. Highly accurate positioning may be performed using a track positioning system. In another embodiment, the positioning of the carrier from the row may be done in any other desired way. As can be understood, any carrier in the line may be an institutional carrier. The position of the engine in the row of carriers may be established to support the desired operating parameters. Further, the position of the engine may be switched by stopping the operation of the engine carrier and activating another carrier in the line to become the engine.

ここで、図55を参照すると、さらに別の例示的な実施形態による、搬送システムA4000の概略端部正面図が示されている。図55に示される例示的な実施形態では、搬送システムの配置は、例示に過ぎず、別の実施形態では、搬送システムは、いかなる他の適した配置を有してもよい。図55に示される例示的な実施形態では、搬送システムA4000は、前述され、図51に図示される搬送システム4000と概して類似する(類似機構には、類似番号が付けられている)。搬送システムA4000は、一般的に、高速バルクまたは大量搬送セクションA4100および接合セクション4200を含んでもよい。
高速大量搬送セクションA4100は、高速大量搬送通路A4102を1つ以上(例示目的のために、図55に図示される実施形態では、2つの通路が示される)有してもよい。例示的な実施形態では、大量搬送通路A4102は、FAB内のキャリアA5000を大量搬送できるように、前述されたものと同様の方法等で構成されてもよい。また、例示的な実施形態では、大量搬送セクションA4100の大量搬送通路A4102は、通路を進行するキャリアを、実質的に等速(少なくとも通路のいくつかの部分で)で通路の進行方向に搬送するように配置されてもよい。大量搬送セクショの通路は、前述されたものと同様な方法で互いに接続されてもよい。図55に示される例示的な実施形態では、接合セクションA4200は、例えば、前述され、図51に示される接合セクション4200と概して類似してもよい。例示的な実施形態では、接合セクションA4200は、大量搬送機と処理ツールとの間のキャリアを接合することができる。接合セクションA4200は、一般的に、シャトリングセクションA4202およびストレージセクションA4204を有してもよい。前述されたように、ストレージセクションA4204は、多数の処理ツールのためのキャリアを保管または緩衝するためのストレージ位置A4204Aを有して配置されてもよい。ストレージ位置A4204Aは、処理ツールのキャリアを効率的に緩衝するために、いかなる所望の方法で配置されてもよい。シャトリングセクションA4202は、ストレージセクションA4204のストレージ位置と処理ツールの積載接合部分(例えば積載ポート)との間のキャリアを接合できる、多数のフィーダロボットA4202を有してもよい。例示的な実施形態では、搬送システムA4000は、例えば実質的に等速でバルク搬送セクション通路A4100および接合セクションA4200を搬送されているキャリアA5000を接合することができる、搬送機ハンドオフセクションA4300を有してもよい。したがって、例示的な実施形態では、搬送システムA4000は、通路を進行するキャリアが実質的に等速で搬送される搬送システムの通路の一部分でさえ、非同期搬送システムであってもよい。例示的な実施形態では、搬送機ハンドオフセクションA4300は、キャリアが実質的に等速で進行する搬送通路A4102からキャリアが搬送システムA4000によって搬送されている間、キャリアの搬送速度決定動作を事実上分断できるようにする。
Here, with reference to FIG. 55, a schematic end front view of the transport system A4000 according to yet another exemplary embodiment is shown. In the exemplary embodiment shown in FIG. 55, the arrangement of the transfer system is merely exemplary, and in another embodiment the transfer system may have any other suitable arrangement. In an exemplary embodiment shown in FIG. 55, the transport system A4000 is generally similar to the transport system 4000 described above and illustrated in FIG. 51 (similar mechanisms are numbered similarly). The transport system A4000 may generally include a high speed bulk or mass transport section A4100 and a junction section 4200.
The high-speed mass transfer section A4100 may have one or more high-speed mass transfer passages A4102 (for illustrative purposes, two passages are shown in the embodiment illustrated in FIG. 55). In an exemplary embodiment, the mass transfer passage A4102 may be configured by the same method as described above so that the carrier A5000 in the FAB can be mass-transported. Also, in an exemplary embodiment, the mass transport aisle A4102 of the mass transport section A4100 transports carriers traveling through the passage in the direction of travel of the passage at substantially constant velocity (at least in some parts of the passage). It may be arranged as follows. The mass transport aisles may be connected to each other in a manner similar to that described above. In the exemplary embodiment shown in FIG. 55, the joining section A4200 may be generally similar to, for example, the joining section 4200 described above and shown in FIG. In an exemplary embodiment, the joining section A4200 is capable of joining carriers between the mass transfer machine and the processing tool. The junction section A4200 may generally have a shuttling section A4202 and a storage section A4204. As mentioned above, the storage section A4204 may be arranged with a storage position A4204A for storing or buffering carriers for multiple processing tools. The storage position A4204A may be arranged in any desired manner to efficiently buffer the carriers of the processing tool. Shutling section A4202 may include a number of feeder robots A4202 capable of joining carriers between the storage location of storage section A4204 and the loading junction (eg, loading port) of the processing tool. In an exemplary embodiment, the transfer system A4000 has a transfer machine handoff section A4300 capable of joining, for example, a carrier A5000 being conveyed through the bulk transfer section aisle A4100 and the joining section A4200 at substantially constant velocity. You may. Thus, in an exemplary embodiment, the transport system A4000 may be an asynchronous transport system, even a portion of the passage of the transport system in which carriers traveling through the passage are transported at substantially constant velocity. In an exemplary embodiment, the carrier handoff section A4300 effectively disrupts the carrier transport speed determination operation while the carrier is being transported by the transport system A4000 from the transport passage A4102 in which the carrier travels at a substantially constant speed. It can be so.

さらに図55を参照すると、大量またはバルク搬送セクションの通路A4102は、いかなる所望のバルクコンベヤシステムを備えてもよい。ここで、図55Aを参照すると、図示される例示的な実施形態では、大量搬送セクションA4100の通路A4102は、例示目的のみのために、ベルトまたはリボンコンベヤA4103として示されている。理解され得るように、ベルトコンベヤA4103は、キャリア支持体または運搬面A4604を有し、搬送するために、キャリアA5000がベルトA4103から(またはその上に)支持される。また、理解され得るように、ベルトA4103、およびしたがってそのキャリア運搬面(ベルトによって画定される、またはベルトに従属する)は、実質的に一定の搬送速度で通路の搬送方向(図55Aに矢印Xで示される)に沿って移動してもよい。別の実施形態では、大量搬送システムセクションの通路に沿ってキャリアを輸送するための輸送システムは、いかなる所望の構成であってもよい。例えば、通路は、前述されたようなソリッドステートのコンベヤシステムを有してもよく、または機械的に定められる輸送手段(ローラー、流体ベアリング等)を有してもよい。その他の別の実施形態では、通路は、自動または半自動運搬車のためのトラックであってもよい。通路の輸送システムは、システムによって運搬されるキャリアが実質的に等速で運搬されるように、または所望により運搬速度が可変となるように、操作可能となるよう構成されてもよい。結果的に、搬送機ハンドオフセクションは、輸送システムによって搬送されるキャリアの搬送速度決定動作から独立して、実質的に一定な搬送速度を維持するために、所望の通路の輸送システム(またはその一部分)を操作することを可能にする。 Further referring to FIG. 55, the aisle A4102 of the mass or bulk transport section may be equipped with any desired bulk conveyor system. Here, with reference to FIG. 55A, in the illustrated exemplary embodiment, the aisle A4102 of the mass transfer section A4100 is shown as a belt or ribbon conveyor A4103 for illustrative purposes only. As can be understood, the belt conveyor A4103 has a carrier support or transport surface A4604, and the carrier A5000 is supported from (or on) the belt A4103 for transport. Also, as can be understood, the belt A4103 and thus its carrier transport surface (defined by the belt or dependent on the belt) is in the transport direction of the aisle at a substantially constant transport speed (arrow X in FIG. 55A). May be moved along (indicated by). In another embodiment, the transport system for transporting the carrier along the aisle of the mass transport system section may have any desired configuration. For example, the aisle may have a solid-state conveyor system as described above, or may have mechanically defined transport means (rollers, fluid bearings, etc.). In other other embodiments, the aisle may be a truck for an automatic or semi-automatic carrier. The aisle transport system may be configured to be operable so that the carriers carried by the system are carried at substantially constant speed, or optionally at variable speeds. As a result, the transporter handoff section is the transport system (or part thereof) of the desired aisle in order to maintain a substantially constant transport speed, independent of the transport speed determination operation of the carriers transported by the transport system. ) Can be operated.

図55Aに示される例示的な実施形態では、大量搬送セクション通路A4102は、処理ツールのオーバーヘッドに置かれるオーバーヘッドシステムとして示されている。別の
実施形態では、大量搬送セクション通路は、ツールおよびツールの積載接合部分LPに対して、いかなる所望の高度に置かれてもよい。図55、55A〜55Cに示される例示的な実施形態におけるキャリアA5000は、代表的なものである。キャリアA5000は、前述され、図36A〜36Bに示されるキャリア2000と類似してもよい。例示的な実施形態では、キャリアA5000は、一般的に、上部接合セクションA5002(例えば、一般的にキャリアの上方または上からキャリアが接合および嵌合できるように配置される)および下部接合セクションA5004(例えば、一般的にキャリアの下方または下からのキャリアの接合および嵌合を提供するように配置される)を有してもよい。キャリアは、前述されたような側面開口型、上面開口型、または底面開口型であってもよい。別の実施形態では、キャリアは、キャリアを搬送システムおよび処理ツールの積載接合部分に接合するための接合/嵌合面(例えば側面嵌合)のいかなる所望の配置を有してもよい。図55に示される例示的な実施形態における処理ツールの積載接合部分LPは、代表的なものである。例示的な実施形態では、積載接合部分LPは、キャリアの下側接合セクションA5004と接合するように配置されてもよいが、別の実施形態では、ツール積載接合部分は、キャリアのいかなる所望の側面上の補完キャリア嵌合機能と嵌合するように構成されてもよい。図55に図示される搬送システムA4000に対するツール積載接合部分LPの位置は、例示に過ぎず、別の実施形態では、ツール積載接合部分は、搬送システムと所望の関係で置かれてもよい。図55、55Aに図示される例示的な実施形態では、大量搬送セクションの通路A4102のコンベヤシステムは、キャリアA5000の上部接合セクションA5002と嵌合するように配置されたキャリア支持体A4104を有してもよい。図55、55Aに示されるキャリア支持体の構成は、代表的なものであり、キャリア支持体は、搬送中にコンベヤからキャリアを解放可能に捕獲し、保持するために、キャリア上部接合部分A5002の嵌合機能を補完し、それと動作可能である、いかなる適した構成を有してもよい。例示的な実施形態では、キャリアA5000は、実質的に通路の下に吊り下げられた通路A4102のコンベヤによって運ばれてもよい。キャリア下部接合部分A5004は、通路A4102上で搬送中、アクセス可能(キャリアの下または横等から)であってもよい。別の実施形態では、通路のコンベヤ上のキャリア支持体は、輸送中に、キャリアのいずれかの所望の側面または表面上でキャリアと嵌合し、支持する(例えばコンベヤは、キャリア底面と嵌合または接合してもよい)ためのいかなる所望の構成を有してもよい。
In the exemplary embodiment shown in FIG. 55A, the mass transfer section aisle A4102 is shown as an overhead system placed on the overhead of the processing tool. In another embodiment, the mass transport section aisle may be placed at any desired altitude with respect to the tool and the loading junction LP of the tool. Carrier A5000 in the exemplary embodiments shown in FIGS. 55, 55A-55C is representative. The carrier A5000 may be similar to the carrier 2000 described above and shown in FIGS. 36A-36B. In an exemplary embodiment, the carrier A5000 is generally an upper joining section A5002 (eg, generally arranged above or above the carrier so that the carriers can be joined and fitted) and a lower joining section A5004 (eg, generally arranged above or above the carrier). For example, it may have (generally arranged to provide bonding and fitting of carriers from below or below the carrier). The carrier may be a side opening type, a top opening type, or a bottom opening type as described above. In another embodiment, the carrier may have any desired arrangement of joining / fitting surfaces (eg, side fitting) for joining the carrier to the loading joint portion of the transfer system and processing tool. The loading joint LP of the processing tool in the exemplary embodiment shown in FIG. 55 is representative. In an exemplary embodiment, the loading joint LP may be arranged to join the underside joining section A5004 of the carrier, but in another embodiment the tool loading joint may be any desired aspect of the carrier. It may be configured to fit with the complementary carrier fitting function above. The position of the tool loading joint LP with respect to the transfer system A4000 illustrated in FIG. 55 is merely an example, and in another embodiment, the tool loading joint may be placed in a desired relationship with the transfer system. In an exemplary embodiment illustrated in FIGS. 55, 55A, the conveyor system in the aisle A4102 of the mass transfer section has a carrier support A4104 arranged to fit into the upper junction section A5002 of the carrier A5000. May be good. The configuration of the carrier support shown in FIGS. 55 and 55A is representative, where the carrier support of the carrier upper joint portion A5002 is used to releasably capture and hold the carrier from the conveyor during transport. It may have any suitable configuration that complements the fitting function and is operational with it. In an exemplary embodiment, the carrier A5000 may be carried by a conveyor in aisle A4102 that is substantially suspended beneath the aisle. The carrier lower joint portion A5004 may be accessible (from below or from the side of the carrier, etc.) during transport on the aisle A4102. In another embodiment, the carrier support on the aisle conveyor mates and supports the carrier on any desired side or surface of the carrier during transport (eg, the conveyor mates with the bottom of the carrier). Or may have any desired configuration for (may be joined).

さらに図55を参照すると、前述されたように、搬送システムの接合セクションA4200は、前述され、図41〜46および51に示される接合システム3200、3300、4200と概して類似するオーバーヘッドガントリーシステムであってもよい。接合システムA4200は、シャトルおよびフィーダロボットA4202によって縦走される、選択可能に可変の数のトランスポータ進行平面(ガントリーA4201によって画定されるもの等)を有してもよい。また、前述されたように、別の実施形態では、接合システムは、いかなる他の所望の構成を有してもよい。例示的な実施形態では、ガントリーA4201およびストレージ位置A4204は、大量搬送セクションの通路A4102間にネスト化されてもよい。フィーダロボットA4204は、キャリアA5000にキャリア上部接合部分A5002から嵌合し、キャリアを上方から支持するように構成されてもよい。シャトル(図示せず)は、キャリアを上方または下方から支持してもよい。別の実施形態では、接合セクションのロボットおよびシャトルは、いかなる適した配置を有してもよい。前述されたように、大量搬送セクションA4100と接合セクション4200との間のキャリアのハンドオフは、以下にさらに記載されるように、ハンドオフセクションA4300で実行されてもよい。 Further referring to FIG. 55, as described above, the junction section A4200 of the transport system is an overhead gantry system generally similar to the junction systems 3200, 3300, 4200 described above and shown in FIGS. 41-46 and 51. May be good. The joining system A4200 may have a freely variable number of transporter traveling planes (such as those defined by the gantry A4201) traversed by the shuttle and feeder robot A4202. Also, as mentioned above, in another embodiment, the joining system may have any other desired configuration. In an exemplary embodiment, the gantry A4201 and storage location A4204 may be nested between aisles A4102 in the mass transfer section. The feeder robot A4204 may be configured to fit into the carrier A5000 from the carrier upper joint portion A5002 and support the carrier from above. The shuttle (not shown) may support the carrier from above or below. In another embodiment, the robot and shuttle in the junction section may have any suitable arrangement. As mentioned above, the handoff of the carrier between the mass transfer section A4100 and the junction section 4200 may be performed in the handoff section A4300, as further described below.

図55、55Aに最もよく見られるように、ハンドオフセクションA4300は、一般的に、大量搬送通路に沿って搬送されるキャリア(実質的に一定の通路の搬送速度等で)にアクセスし、それを捕獲し、キャリアを通路から分断し、接合セクションA4200のロボット/シャトルがキャリアにアクセスできるドロップステーションにキャリアを置くことができる、キャリッジ表面を有する。ここで、図55B〜55Dも参照すると、例示的な実施形態では、ハンドオフセクションA4300は、多数のキャリアA4302(例示目的のために、1つが示されている)を有してもよい。図に見られるように、キャリッジA4302は、搬送速度で通路上を搬送される際に、キャリアと位置合わせ配置が可能な運搬車またはいずれかの他の適した輸送メカニズムもしくはシステムであってもよい。したがって、キャリッジA4302は、キャリアとのキャリッジ連結およびキャリアの大量搬送輸送支持体A4104からの分断を可能にするのに十分な距離だけ、通路の搬送方向(矢印Xによって示される)に進行可能であってもよい。例示的な実施形態では、キャリッジA4302は、トラックまたは通路A4304に乗っている運搬車として概略的に図示される。トラックA4304は、大量搬送セクションの通路A4102の下に置かれてもよい(図55も参照)。例えば、トラックA4304は、ハンガーでオーバーヘッドから吊り下げられてもよい。また、示される例示的な実施形態では、トラック、およびその上のキャリッジA4302も、接合部分セクションの下に位置される。前述されたように、別の実施形態では、ハンドオフセクションのキャリッジは、いかなる他の適した構成を有してもよい。理解され得るように、例示的な実施形態では、ハンドオフセクションの配置は、例えば、通路の分離部分にある通路上のキャリアにキャリッジがアクセスできるようにする。ハンドオフセクションは、通路の適切なセクションに分布されてもよい。図55Dに最もよく見られるように、キャリッジA4302は、キャリア接合部分A4306を有してもよく、これによって通路上のキャリアと嵌合し、捕獲してもよい。キャリッジA4302のキャリア接合部分A4306は、いかなる適した配置を有してもよい。例示的な実施形態では、キャリア接合部分A4306は、例えばキャリアの下部接合部分A5004(図55A参照)と嵌合するための嵌合機能を有してもよい。例えば、キャリッジ接合部分A4306は、キャリアの運動学的連結機構を補完し、嵌合された場合に結果として嵌合時の受動的な位置合わせおよびキャリアとキャリッジとの間の安定した受動的な固定を生じる運動学的連結機構を有してもよい。別の実施形態では、キャリッジ接合部分は、キャリアを捕獲するためのいずれかの他の所望の受動的または能動的な連結もしくは嵌合システム(例えばクランプ磁気チャック等)を有してもよい。図55Bに見られるように、キャリッジA4302はキャリッジA4302のキャリア接合部分A4306が連結されるようキャリアA5000と十分に位置合わせされるように、トラックA4304上に支持されてもよい。理解され得るように、キャリッジトラックA4304は、キャリッジA4302が通路A4102の進行速度と一致するように加速し、通路によって搬送される所望のキャリアA5000と並び、捕獲し、キャリアを通路支持体A4204から解放するのに十分であってもよい。例示的な実施形態では、キャリッジトラックA4304は、キャリッジが例えばドロップオフステーションDSで接合システムA4200にハンドオフするために、所望の速度に減速するのに十分であってもよい。例示的な実施形態では、ドロップオフステーションDSの位置は、選択的に可変(ハンドオフセクショントラックA4304に沿って等)であってもよいが、静止していてもよい。別の実施形態では、キャリッジは、永久ループトラック上等、通路の進行速度と実質的に一致する速度で移動するトラック上に配置されてもよい。 As most often seen in FIGS. 55, 55A, the handoff section A4300 generally accesses a carrier (such as at a substantially constant aisle transfer rate) that is conveyed along a mass aisle and provides it. It has a carriage surface on which the carrier can be captured, separated from the aisle, and the carrier can be placed in a drop station where the robot / shuttle in the joining section A4200 can access the carrier. Here, also with reference to FIGS. 55B-55D, in an exemplary embodiment, the handoff section A4300 may have a number of carriers A4302, one of which is shown for illustrative purposes. As seen in the figure, carriage A4302 may be a carrier or any other suitable transport mechanism or system that can be aligned with the carrier when transported over the aisle at transport speeds. .. Therefore, the carriage A4302 can travel in the aisle transport direction (indicated by arrow X) by a distance sufficient to allow the carriage connection with the carrier and the separation of the carrier from the mass transport support A4104. You may. In an exemplary embodiment, carriage A4302 is schematically illustrated as a truck or a carrier on passage A4304. Truck A4304 may be placed under aisle A4102 in the mass transport section (see also FIG. 55). For example, truck A4304 may be suspended from overhead by a hanger. Also, in the exemplary embodiment shown, the track and carriage A4302 on it are also located below the junction section. As mentioned above, in another embodiment, the carriage in the handoff section may have any other suitable configuration. As can be understood, in an exemplary embodiment, the arrangement of the handoff section allows the carriage to access, for example, a carrier on the aisle at a separate portion of the aisle. The handoff sections may be distributed in the appropriate sections of the aisle. As most often seen in FIG. 55D, carriage A4302 may have carrier junction A4306, which may fit and capture carriers on the aisle. The carrier joining portion A4306 of carriage A4302 may have any suitable arrangement. In an exemplary embodiment, the carrier joint portion A4306 may have a fitting function for fitting, for example, the lower joint portion A5004 of the carrier (see FIG. 55A). For example, the carriage junction A4306 complements the kinematic connection mechanism of the carrier and, when mated, results in passive alignment during mating and stable passive fixation between the carrier and the carriage. May have a kinematic connection mechanism that results in. In another embodiment, the carriage junction may have any other desired passive or active coupling or mating system (eg, clamp magnetic chuck, etc.) for capturing carriers. As seen in FIG. 55B, the carriage A4302 may be supported on the track A4304 such that it is well aligned with the carrier A5000 so that the carrier joint portion A4306 of the carriage A4302 is connected. As can be understood, the carriage track A4304 accelerates the carriage A4302 to match the speed of travel of the aisle A4102, aligns with and captures the desired carrier A5000 carried by the aisle, and releases the carrier from the aisle support A4204. It may be enough to do. In an exemplary embodiment, the carriage track A4304 may be sufficient to slow down to the desired speed for the carriage to hand off to the joining system A4200, for example at the drop-off station DS. In an exemplary embodiment, the position of the drop-off station DS may be selectively variable (such as along the handoff section track A4304), but may be stationary. In another embodiment, the carriage may be placed on a track that travels at a speed substantially consistent with the speed of travel of the passage, such as on an endless loop track.

図55A、55Dに最もよく見られるように、例示的な実施形態では、ハンドオフセクションA4300のキャリッジ表面は、通路上のキャリアに近接し、キャリアを通路支持体から積み込み/取り出しするために、Z方向における移動を有してもよい。図示される例示的な実施形態では、キャリッジは、キャリッジ接合部分A4306をZ方向に駆動することができる、適したZ駆動部(主ネジ、空圧、電磁石等)を有して提供されてもよい。 In an exemplary embodiment, the carriage surface of the handoff section A4300 is in close proximity to the carriers on the aisle and in the Z direction to load / remove the carriers from the aisle support, as is most often seen in FIGS. May have a carriage in. In the illustrated exemplary embodiment, the carriage may be provided with a suitable Z drive (main screw, pneumatic, electromagnet, etc.) capable of driving the carriage junction A4306 in the Z direction. Good.

したがって、および一例として、通路からキャリアを取り出すために、キャリッジ接合部分A4306は、キャリアの接合部分A5004(キャリッジとキャリアが1直線に並ぶ)と接触するために上げられてもよい。キャリアの接合部分は、例えばキャリアを連結した後、キャリアA5000を通路(一例として通路支持体からのキャリアの解放を促進するために、通路に対するキャリッジの進行速度は、速める/遅らせる等、可変であってもよい)から解放するために、さらに上げられてもよい。通路から解放されるキャリアは、通路によって運搬されるキャリアがキャリアの搬送エンベロープを取り除くために、キャリッジA4302によって下げられてもよい。ハンドオフセクションA4300によるキャリアの通路上への積載は、実質的に類似するが、反対の方法で達成されてもよい。別の実施形態では、キャリッジ接合部分のZ方向移動は、支持トラックがZ駆動部またはリフトを有する、または通路上のキャリアと接触させるためにキャリッジを上げるおよび下げる、上下するランプ等の可変の高さのキャリッジに対する支持表面を有する等、いずれかの他の所望の方法で行われてもよい。その他の別の実施形態では、キャリアとキャリッジを閉締するための軸に沿った移動は、通路またはキャリアの適した駆動部もしくは他の変位手段によって行われてもよい(例えば通路支持体は、Z軸駆動部を有してもよい)。さらに他の別の実施形態では、ハンドオフセクションによってキャリアと通路の連結および分断のためにキャリアとキャリッジを閉締する移動軸または閉締軸は、いかなる所望の方向(地面基準座標系に対して)であってもよい。 Thus, and as an example, in order to remove the carrier from the aisle, the carriage junction A4306 may be raised to contact the carrier junction A5004 (carriage and carrier line up). The joint portion of the carrier is variable, for example, after connecting the carriers, the carrier A5000 is passed through (for example, in order to promote the release of the carrier from the passage support, the traveling speed of the carriage with respect to the passage is increased / decreased, etc.). May be raised further to free from). The carrier released from the aisle may be lowered by carriage A4302 so that the carrier carried by the aisle removes the carrier envelope. Loading of the carrier onto the aisle by the handoff section A4300 is substantially similar, but may be achieved in the opposite manner. In another embodiment, the Z-direction movement of the carriage junction is a variable height, such as a ramp that raises and lowers the carriage to allow the support track to have a Z drive or lift, or to contact a carrier on the aisle. It may be done by any other desired method, such as having a support surface for the carriage. In other other embodiments, the movement along the axis for closing the carrier and carriage may be carried out by a passage or a suitable drive or other displacement means of the carrier (eg, the passage support, etc.). It may have a Z-axis drive unit). In yet another embodiment, the moving or closing axis that closes the carrier and carriage for the connection and division of the carrier and passage by the handoff section is in any desired direction (relative to the ground reference coordinate system). It may be.

図55、55B〜Cに最もよく見られ、前述されたように、ハンドオフセクションA4300は、例えば接合セクションA4200のロボットA4202によってアクセス可能に配置されるドロップステーションDSを有する。例示的な実施形態では、ドロップステーションDSは、大量搬送セクションの通路およびその上を搬送されるキャリアの搬送エンベロープTEは、Y軸等(別の実施形態では、オフセットは、いずれかの所望の軸に沿ってもよい)にオフセットされてもよい。一般的に、通路によって定義される長手方向からの横方向オフセットと称される場合がある、ドロップステーションDS(図55B〜55Cに最もよく見られる)のオフセットは、接合セクションA4200から上部キャリア接合部分A5002へのアクセスを容易にする。また、例示的な実施形態では、キャリアの上部接合部分A500Nは、キャリアがキャリッジA4302によってドロップステーションDSに置かれる場合、キャリッジが別のキャリアの接合部分A5002でキャリアと接合するために、接合セクションA4200によって自由に嵌合されてもよい。したがって、例示的な実施形態では、キャリアは、掴み/配置行動の干渉なく、キャリッジA4302と接合セクションのロボットA4202との間を直接移送されてもよい。別の実施形態では、キャリアをストレージ位置に置くために、ハンドオフシステムのキャリッジが配置されてもよく、接合セクションがストレージ位置からキャリアにアクセスしてもよい。その他の別の実施形態では、ハンドオフセクションのキャリッジおよび接合セクションのロボットは、共通接合部分でキャリアを接合してもよい。例示的な実施形態では、キャリアへの上面アクセスは、接合セクションがドロップステーションDSからキャリアを接合するために、フィーダロボットA4202を採用できるようにする。別の実施形態では、ハンドオフセクションのドロップステーションは、接合セクションがドロップステーションでキャリアにアクセスし、接合できるようにするために、搬送エンベロープからいずれかの適した方向にオフセットされてもよい。 Most commonly seen in FIGS. 55, 55B-C, and as mentioned above, the handoff section A4300 has a drop station DS accessible by, for example, the robot A4202 of the joining section A4200. In an exemplary embodiment, the drop station DS has a passageway in the mass transport section and the transport envelope TE of the carrier transported over it, such as the Y axis (in another embodiment, the offset is any desired axis). May be offset along. The offset of the drop station DS (most commonly seen in FIGS. 55B-55C), commonly referred to as the longitudinal offset from the longitudinal direction defined by the passage, is from the junction section A4200 to the upper carrier junction. Facilitates access to A5002. Also, in an exemplary embodiment, the carrier upper joint portion A500N has a joint section A4200 to allow the carriage to join the carrier at the joint portion A5002 of another carrier when the carrier is placed on the drop station DS by the carriage A4302. May be freely fitted by. Thus, in an exemplary embodiment, the carrier may be transferred directly between the carriage A4302 and the robot A4202 in the junction section without interference with gripping / placement behavior. In another embodiment, the carriage of the handoff system may be placed to place the carrier in the storage position, or the junction section may access the carrier from the storage position. In another other embodiment, the carriage in the handoff section and the robot in the joining section may join the carriers at a common joining portion. In an exemplary embodiment, top surface access to the carrier allows the joining section to employ the feeder robot A4202 to join the carrier from the drop station DS. In another embodiment, the drop station of the handoff section may be offset in any suitable direction from the transport envelope to allow the joining section to access and join the carriers at the drop station.

図55B〜55Cに最もよく見られるように、例示的な実施形態では、キャリアA5000は、キャリッジA4302によってドロップステーションから、またはそこへ移動されてもよい。一例として、キャリッジは、キャリッジがキャリアをドロップステーションに移動できるようにする、適したY駆動部(駆動部は、キャリッジまたは少なくともキャリアを接合/支持する部分にオフセット方向における移動自由度を提供するために所望されるものであってもよい)を有してもよい。一例として、キャリッジ接合部分A4306は、Y方向に移動可能な可動式支持体上にあってもよい。別の実施形態では、キャリアを有するユニット等のキャリッジは、キャリアをドロップステーションに移動するために、Y方向に移動可能であってもよい。さらに他の実施形態では、トラックは、トラックに沿って進行するキャリッジがドロップステーションに誘導されるように、搬送エンベロープから離れている曲がり(例えば永久ループ)等を有する形であってもよい。 In an exemplary embodiment, the carrier A5000 may be moved from or to the drop station by carriage A4302, as is most often seen in FIGS. 55B-55C. As an example, the carriage is a suitable Y-drive that allows the carriage to move the carrier to the drop station, because the drive provides freedom of movement in the offset direction to the carriage or at least the portion that joins / supports the carrier. May be what is desired). As an example, the carriage joint portion A4306 may be on a movable support that is movable in the Y direction. In another embodiment, the carriage, such as a unit with a carrier, may be movable in the Y direction to move the carrier to the drop station. In yet another embodiment, the track may have a bend (eg, an endless loop) or the like away from the transport envelope such that the carriage traveling along the track is guided to the drop station.

ここで、また図56〜56Aを参照すると、別の例示的な実施形態による、代表的な搬送システムA4000’の概略平面図および正面図がそれぞれ示されている。図56〜56Aに図示される例示的な実施形態では、搬送システムA4000’は、前述された搬送システムA4000と実質的に類似する(類似機構には、類似番号が付けられている)。搬送システムA4000’は、一般的に、多数の通路A4102’を有する大量搬送セクションA4100’、接合セクションA4200’(例示目的のために、ガントリーとして図示される)、および大量搬送機と接合部分セクションとの間でキャリアA5000’をハンドオフし、所望の大量搬送セクションの通路によって搬送されるキャリアが実質的に一定の進行速度を維持できるようにするためのハンドオフセクションA4300’を有する。例示的な実施形態では、ハンドオフセクションA4300’のドロップステーションDS’と通路A4102’の搬送エンベロープTE’との間の分離またはオフセット(搬送エンベロープの外側で搬送速度を決定するキャリアの動作/行動を実行できるようにする)は、通路A4102’の方向変更によって行われてもよい。図56に最もよく見られるように、例示的な実施形態では、通路は、互いに対して異なる方向を有するセクションA4102A’、A4102B’、A4102C’を有してもよい。例えば、これは、シャント/バイパスセクションの交差点、通路の端部セクション(図29A〜29B、および図51も参照)で行われてもよい。また、図56に示される実施例のもののように、異なる方向を有する通路セクションA4102A’、A4102B’、A4102C’は、大量搬送システムの通路からキャリアを積み込み/取り出しすることが望ましいFAB領域内に提供されてもよい。図56に示される例示的な実施形態では、通路セクションA4102A’、A4102B’、A4102C’の配置は、一般的に2つの曲がりを画定し、それらのそれぞれは、ドロップステーションDSを設置するために搬送エンベロープTE’とハンドオフセクションとの間の所望の分離を提供するのに十分な寸法である。前述されたように、示される通路セクションの方向および配置は、例示に過ぎない。例示的な実施形態では、それぞれのセクションは、ハンドオフセクション部分A4300’を有し、これは、互いおよび前述され、図55A〜55Dに示されるハンドオフセクションA4300と実質的に類似してもよい。それぞれのハンドオフセクション部分A4300’は、通路A4102’からキャリアA5000’を積み込み/取り出しする(前述されたものと同様な方法で)ために配置されるキャリッジおよび縦走トラックA4304’(図56Aも参照)を有してもよい。それぞれのハンドオフセクション部分A4300D’は、キャリアのためのドロップステーションDS’を有してもよい。例示的な実施形態では、ドロップステーションDS’は、トラックA4304’と実質的に一列であってもよい(図56のいくつかの下流または上流の通路の搬送エンベロープTE’とともに)。例示的な実施形態では、ハンドオフセクションのある部分A4300、A4300Bは、キャリアを通路から取り出すために使用されてもよく、その他の部分は、キャリアを通路に積み込むために使用されてもよい。一例として、部分A4300’は、接合し、通路セクションA4102A’からキャリアを掴んでもよい。取り出されたキャリアA5000’は、接合セクションA4200’にハンドオフするために、例えばトラックA4304’の端部に位置するドロップステーションDS’に持ち込まれてもよい。通路上に積み込むキャリアは、ハンドオフするために、接合セクションA4200’によって部分A4300B’のドロップステーションDSB’に持ち込まれてもよい。ハンドオフセクション部分A4300B’は、次いでキャリアを移動し、通路セクションA4102C’と搬送速度および方向を合わせ、キャリアを通路に積み込む。別の実施形態では、ハンドオフセクションのそれぞれの部分は、キャリアを通路に/通路から積み込みおよび取り出し可能であってもよく(例えばトラックは、通路に対してキャリアを積み込みまたは取り出しするのを支持するために置かれる複数のドロップステーションを有してもよく、および/またはキャリッジは、積み込みおよび取り出しの両方を行うために、トラックに沿って循環してもよい。したがって、搬送システムA4000’は、非同期であってもよい。 Here, again with reference to FIGS. 56-56A, schematic plan views and front views of a representative transport system A4000'according to another exemplary embodiment are shown, respectively. In the exemplary embodiment illustrated in FIGS. 56-56A, the transport system A4000'is substantially similar to the transport system A4000 described above (similar mechanisms are numbered similarly). The transport system A4000'would generally include a mass transport section A4100' with a large number of aisles A4102', a junction section A4200'(shown as a gantry for illustrative purposes), and a mass conveyor and junction section. The carrier A5000'is handed off between the carriers and has a handoff section A4300' to allow the carrier transported by the passage of the desired mass transport section to maintain a substantially constant rate of travel. In an exemplary embodiment, the separation or offset between the drop station DS'in the handoff section A4300'and the transport envelope TE' in the aisle A4102' is performed (performing carrier movements / actions that determine transport speed outside the transport envelope). To be able to do this) may be done by changing the direction of passage A4102'. As most often seen in FIG. 56, in an exemplary embodiment, the passages may have sections A4102A', A4102B', A4102C' that have different directions with respect to each other. For example, this may be done at the intersection of the shunt / bypass section, the end section of the aisle (see also FIGS. 29A-29B, and 51). Also, as in the embodiment shown in FIG. 56, the aisle sections A4102A', A4102B', A4102C' with different directions are provided in the FAB region where it is desirable to load / remove carriers from the aisles of the mass transfer system. May be done. In the exemplary embodiment shown in FIG. 56, the arrangement of aisle sections A4102A', A4102B', A4102C' generally defines two bends, each of which is transported to install the drop station DS. It is large enough to provide the desired separation between the envelope TE'and the handoff section. As mentioned above, the orientation and placement of the passage sections shown is only exemplary. In an exemplary embodiment, each section has a handoff section portion A4300', which may be substantially similar to each other and the handoff section A4300 previously described and shown in FIGS. 55A-55D. Each handoff section portion A4300'stands a carriage and longitudinal truck A4304' (see also FIG. 56A) arranged for loading / unloading carrier A5000' from aisle A4102' in a manner similar to that described above. You may have. Each handoff section portion A4300D'may have a drop station DS'for the carrier. In an exemplary embodiment, the drop station DS'may be substantially aligned with track A4304' (along with some downstream or upstream aisle transport envelopes TE' in FIG. 56). In an exemplary embodiment, some parts A4300, A4300B of the handoff section may be used to remove the carrier from the aisle, and the other part may be used to load the carrier into the aisle. As an example, the portions A4300'may be joined and the carrier may be grabbed from the aisle section A4102A'. The removed carrier A5000'may be brought to, for example, a drop station DS' located at the end of track A4304' for handoff to the joining section A4200'. Carriers loaded onto the aisle may be brought into the drop station DSB'of portion A4300B' by the joining section A4200' for handoff. The handoff section portion A4300B'then then moves the carrier, aligns the transport speed and direction with the aisle section A4102C', and loads the carrier into the aisle. In another embodiment, each part of the handoff section may be capable of loading and unloading the carrier into and out of the aisle (eg, because the truck supports loading and unloading the carrier into and out of the aisle). The carriage may have multiple drop stations placed in and / or the carriage may circulate along the truck for both loading and unloading. Therefore, the transport system A4000'is asynchronous. There may be.

工場自動化は、例えばそれぞれのウェハのプロセス全体にわたり、計画を立て、スケジュールを組み、追跡するために、ウェハ識別表示を使用する。IDは、機械読み取り可能であり、ホストサーバ上のデータベースで管理される。データベース内のウェハ識別表示は、ウェハの破損、装置の停止状況またはソフトウェアのエラーから影響を受ける。したがって、これを克服するために、それぞれの処理ツールで繰り返される読み取りステップが使用されてもよい。ウェハの機械読み取りは、典型的に、例えばキャリアが積載され、ウェハが取り出され、次いで配向された後に行われてもよい。IDは、検証のためにホストに報告され、次いで認証後に処理が開始される。従来的に、不正なウェハが積載される場合、特定するためにかなりの時間を浪費しなければそれが分からない。さらに、ツールがエラーのために停止する場合、ウェハを取り出し、キャリア/データベースに再入力する必要があり、人的エラーの可能性を生み出す。キャリアは、ウェハID’を格納することができ、積載ポート内に含まれ、それによって読まれる、搭載された書き込み可能なデータタグを所有してもよい。前述された例示的な実施形態によるキャリアは、積載ポートでキャリアの書き込み可能なIDタグとウェハID’をインターロックする、インターロックを有してもよい。キャリア上の書き込み可能なIDタグは、外部デジタルI/O信号を盛り込む。信号は、ポッドドアの取り外しを検出することができるセンサに直結される。センサは、光学的、機械的、音響的、容量的なもの等のいかなる適した種類のものであってもよい。一例として、ポッドシェルおよびポッドドアの両方の上の導電性パッドを低電圧信号ラインが通り抜けてもよい。ドアが閉締され、電圧の流れが終了する場合、パッドは、局部接触する。ドアが取り外される際、電圧の流れが遮断され、キャリアIDタグに信号が作製される。 Factory automation uses wafer identification displays, for example, to plan, schedule, and track the entire process for each wafer. The ID is machine readable and is managed in a database on the host server. Wafer identification in the database is affected by wafer corruption, equipment outages, or software errors. Therefore, to overcome this, read steps that are repeated in each processing tool may be used. Mechanical reading of the wafer may typically be done, for example, after the carriers have been loaded, the wafers have been removed, and then oriented. The ID is reported to the host for verification and then processing begins after authentication. Traditionally, when a bad wafer is loaded, it can only be known by wasting a considerable amount of time identifying it. In addition, if the tool stops due to an error, the wafer must be removed and re-entered into the carrier / database, creating the possibility of human error. The carrier may have an onboard writable data tag that can store the wafer ID'and is contained within the loading port and is read by it. The carrier according to the exemplary embodiment described above may have an interlock that interlocks the carrier's writable ID tag with the wafer ID'at the loading port. The writable ID tag on the carrier incorporates an external digital I / O signal. The signal is directly connected to a sensor that can detect the removal of the pod door. The sensor may be of any suitable type, such as optical, mechanical, acoustic, capacitive, etc. As an example, low voltage signal lines may pass through conductive pads on both the pod shell and the pod door. When the door is closed and the voltage flow ends, the pads come into local contact. When the door is removed, the voltage flow is cut off and a signal is created on the carrier ID tag.

一例示的な実施形態に従い、ソフトウェアインテグリティタグおよびドアが開口されたかどうかを検出するための方法に加えて、ウェハ読み取り方法を導入する。例えば、インテグリティタグは、ウェハが積載され、ドアがポッドに固定された後に、書き込み可能なキャリアIDに書き込まれる。ポッドが次のツール積載ポートに到着した際、タグは、インテグリティタグとともに読まれる。インテグリティタグが有効な場合、ウェハID’は、改ざんされておらず、有効であると考えられる。インテグリティタグが無効な場合、ある時点でドアが取り外されており、ウェハIDの正確性に疑いがある。この情報に基づき、ホストは、完全性を検証するために、ツールでのウェハの読み取りを強制する。 According to an exemplary embodiment, a wafer reading method is introduced in addition to the software integrity tag and the method for detecting whether the door has been opened. For example, the integrity tag is written to a writable carrier ID after the wafer is loaded and the door is secured to the pod. When the pod arrives at the next tool loading port, the tag will be read along with the integrity tag. If the integrity tag is valid, the wafer ID'has not been tampered with and is considered valid. If the integrity tag is invalid, the door has been removed at some point and the accuracy of the wafer ID is questionable. Based on this information, the host forces the tool to read the wafer to verify its integrity.

別の例示的な実施形態によると、一体型ウェハIDリーダは、積載ポートに提供されてもよい。リーダは、サイクル時間を最小化するために、連続ドア開口中に、ID’を読み取ることができるように配置される。本実施形態は、処理ツール内の方法と比較し、サイクル時間が低減されるという利点を有し、また、処理ツールホスト通信から分離して検証スキーム全体を実行することができる。 According to another exemplary embodiment, the integrated wafer ID reader may be provided at the loading port. The reader is arranged so that the ID'can be read during the continuous door opening to minimize the cycle time. This embodiment has the advantage that the cycle time is reduced as compared with the method in the processing tool, and the entire verification scheme can be executed separately from the processing tool host communication.

別の例示的な実施形態によると、キャリア内のそれぞれのウェハスロットに対する専用アルファベット数字ディスプレイがキャリアに付け加えられてもよい。一体化されたディスプレイは、キャリア内の実際のウェハIDと相互に関係する。文字高さは、操作者と天井に取り付けられたストレージネストとの間の距離と同様の離れた距離から読むために十分大きくてもよい。本実施形態では、ディスプレイは、IDインテグリティを図式的に示す。インテグリティタグが無効な場合、異なる文字または色でディスプレイ上に図式的に示される。 According to another exemplary embodiment, a dedicated alphabetic number display for each wafer slot in the carrier may be added to the carrier. The integrated display correlates with the actual wafer ID in the carrier. The character height may be large enough to read from a distance similar to the distance between the operator and the ceiling-mounted storage nest. In this embodiment, the display graphically illustrates ID integrity. If the integrity tag is disabled, it will be shown graphically on the display in different letters or colors.

さらに別の例示的な実施形態に従って、外部ウェハIDリーダを統合する。外部ウェハIDリーダは、例えば、AMHSシステム内の積載ポートおよび処理ツールの外部に置かれてもよい。疑わしいウェハID’を有するキャリアは、外部リーダに積載され、検証される。一度動作が完了すると、ドアが固定され、書き込み可能なキャリアIDにインテグリティタグが書き込まれる。ここで、キャリアは、最終目的地であるストレージ/積載ポート位置に移動される。これは、ツール処理時間と連続というよりは、ウェハキャリアの待ち時間と平行して実行されるという利点を有する。さらに、外部リーダは、ウェハ配向方法を組み込むことができる。 The external wafer ID reader is integrated according to yet another exemplary embodiment. The external wafer ID reader may be located, for example, outside the loading port and processing tool in the AMHS system. Carriers with suspicious wafer IDs' are loaded onto external readers and verified. Once the operation is complete, the door is fixed and the integrity tag is written to the writable carrier ID. Here, the carrier is moved to the final destination, the storage / loading port position. This has the advantage that it is performed in parallel with the wafer carrier latency rather than being continuous with the tool processing time. In addition, the external reader can incorporate a wafer orientation method.

前述された説明は、本発明の実例でしかないことが理解されるべきである。本発明から逸脱することなく、当業者によって様々な代替および修正が考案されてもよい。したがって、本発明は、添付の特許請求の範囲に含まれる、すべての代替、修正、および変形を包括することが意図される。 It should be understood that the above description is merely an example of the present invention. Various alternatives and modifications may be devised by those skilled in the art without departing from the present invention. Accordingly, the present invention is intended to cover all alternatives, modifications, and modifications that are included in the appended claims.

Claims (7)

半導体部品処理システムであって、
基板保持コンテナとインタフェースをとる部分を有し、前記基板保持コンテナを支持しかつ搬送する搬送セクションを有し、
前記搬送セクションは、少なくとも1つの基板処理ツールとインターベイ搬送セクション及びイントラベイ搬送セクションのうちの少なくとも1つによって保持されかつ搬送される前記基板保持コンテナと別々にインタフェースをとるように構成されたインタフェースを有し、前記少なくともインターベイ搬送セクション及びイントラベイ搬送セクションのうちの少なくとも1つは、前記少なくとも1つの基板処理ツールの上方に配され、
前記インタフェースは、前記インターベイ搬送セクション及び前記イントラベイ搬送セクションの各々から分離されかつ別個のものであり、
前記インタフェースは、前記少なくとも1つの基板処理ツール上に配され、
前記インタフェースは、前記搬送セクションが、前記インターベイ搬送セクション及び前記イントラベイ搬送セクションのうちの前記少なくとも1つによって保持されかつ搬送される前記基板保持コンテナに前記インタフェースを介して係合しかつ前記基板保持コンテナを前記インタフェースを介して受容するように構成され、前記インタフェースを介して前記搬送セクションによって受容された前記基板保持コンテナは、前記インターベイ搬送セクション及び前記イントラベイ搬送セクションとは別の前記搬送セクションによって運ばれ、前記インタフェースによる前記基板保持コンテナの係合及び前記搬送セクションによる前記基板保持コンテナの受容は、前記少なくとも1つの基板処理ツールの上でなされ、
前記搬送セクションの前記インタフェースと前記インターベイ搬送セクションの前記少なくとも1つとの間における前記基板保持コンテナの移動は、前記少なくとも1つの基板処理ツールを越えるものであり、
前記搬送セクションの前記インタフェースと前記イントラベイ搬送セクションとの間の前記基板保持コンテナの分離した別個の移動は、前記少なくとも1つの基板処理ツールの上方でなされ、
前記搬送セクションは、前記基板保持コンテナを前記インターベイ搬送セクション及び前記イントラベイ搬送セクションのうちの前記少なくとも1つと前記少なくとも1つの基板処理ツールとの間で搬送するように構成され、
前記搬送セクションは、前記少なくとも1つの基板処理ツールの上方に配された少なくとも1つのオーバーヘッドガントリーを含み、前記オーバーヘッドガントリーは、少なくとも2つの交差しかつ水平方向において同一平面上にある独立した搬送軸を画定し、前記搬送軸は前記基板保持コンテナの搬送を水平面内の動作において2自由度の動作によってもたらすことを特徴とする半導体部品処理システム。
It is a semiconductor component processing system
It has a portion that interfaces with the board-holding container, and has a transport section that supports and transports the board-holding container.
The transfer section is configured to interface separately with the substrate holding container held and transported by at least one board processing tool and at least one of the interbay transfer section and the intrabay transfer section. At least one of the interbay transfer section and the intrabay transfer section is located above the at least one substrate processing tool.
The interface is separate and separate from each of the interbay transport section and the intrabay transport section.
The interface is located on the at least one substrate processing tool.
Wherein the interface, the transport section, before SL via the interface to the substrate holding containers are and conveyed held the by at least one of the inter-bay conveyance section and the intrabay transport sections engage and the The substrate-holding container is configured to receive the substrate-holding container via the interface, and the substrate-holding container received by the transport section via the interface is separate from the interbay transport section and the intrabay transport section. Carried by the transport section , engagement of the substrate holding container by the interface and acceptance of the substrate holding container by the transport section is done on the at least one substrate processing tool.
The movement of the substrate holding container between the interface of the transport section and the at least one of the interbay transport sections is beyond the at least one board processing tool.
Separate and separate movement of the substrate holding container between the interface of the transport section and the intrabay transport section is made above the at least one board processing tool.
The transport section is configured to transport the substrate holding container between the interbay transport section and at least one of the intrabay transport sections and the at least one board processing tool.
The transport section includes at least one overhead gantry located above the at least one substrate processing tool, the overhead gantry having at least two intersecting and horizontally coplanar independent transport axes. A semiconductor component processing system defined and characterized in that the transport shaft provides transport of the substrate holding container by two-degree-of-freedom operation in operation in a horizontal plane.
請求項1に記載の半導体部品処理システムであって、前記少なくとも1つのオーバーヘッドガントリーは、少なくとも3以上の自由度を有する少なくとも1つのオーバーヘッドキャリアを含んでいることを特徴とする半導体部品処理システム。 The semiconductor component processing system according to claim 1, wherein the at least one overhead gantry includes at least one overhead carrier having at least three degrees of freedom. 請求項2に記載の半導体部品処理システムであって、前記基板保持コンテナは、アクセス側部を有し、前記少なくとも1つのオーバーヘッドキャリアは、前記少なくとも1つのオーバーヘッドキャリアによって担持されている前記基板保持コンテナを回転させて前記アクセス側部が向いている方向を変更する回転駆動部を含んでいることを特徴とする半導体部品処理システム。 The semiconductor component processing system according to claim 2, wherein the substrate holding container has an access side portion, and the at least one overhead carrier is supported by the at least one overhead carrier. A semiconductor component processing system comprising a rotary drive unit that rotates the access side portion to change the direction in which the access side portion is facing. 請求項1に記載の半導体部品処理システムであって、前記少なくとも1つの基板処理ツールの上方に配されている少なくとも1つのオーバーヘッド保管ステーションをさらに含み、前記搬送セクションは、前記少なくとも1つのオーバーヘッド保管ステーションへ及びそこから基板保持コンテナを搬送するように構成されていることを特徴とする半導体部品処理システム。 The semiconductor component processing system according to claim 1, further comprising at least one overhead storage station located above the at least one substrate processing tool, said transport section being said at least one overhead storage station. A semiconductor component processing system characterized in that it is configured to transport a substrate holding container to and from the substrate holding container. 請求項1に記載の半導体部品処理システムであって、前記少なくとも1つのオーバーヘッドガントリーは、前記少なくとも1つの基板処理ツールの互いに対向して配されたロードポートをもたらすように構成されていることを特徴とする半導体部品処理システム。 The semiconductor component processing system according to claim 1, wherein the at least one overhead gantry is configured to provide load ports of the at least one substrate processing tool arranged opposite to each other. Semiconductor component processing system. 請求項5に記載の半導体部品処理システムであって、前記少なくとも1つのオーバーヘッドガントリーは、少なくとも1つのキャリアを含み、前記少なくとも1つのキャリアは、前記キャリアによって担持されている基板保持コンテナを回転させて、前記基板保持コンテナの配向を前記互いに対向して配されたロードポートの各々の配向に対応させるように構成されていることを特徴とする半導体部品処理システム。 The semiconductor component processing system according to claim 5, wherein the at least one overhead gantry includes at least one carrier, and the at least one carrier rotates a substrate holding container supported by the carriers. , A semiconductor component processing system characterized in that the orientation of the substrate holding container is configured to correspond to the orientation of the load ports arranged so as to face each other. 請求項1に記載の半導体部品処理システムであって、前記オーバーヘッドガントリーは、移動プラットフォーム及び前記移動プラットフォームに移動自在に支持されている少なくとも1つのオーバーヘッドキャリアを含み、前記移動プラットフォームは、共通平面に沿って2自由度で前記少なくとも1つのオーバーヘッドキャリアを移動させるように構成されていることを特徴とする半導体部品処理システム。 The semiconductor component processing system according to claim 1, wherein the overhead gantry includes a mobile platform and at least one overhead carrier movably supported by the mobile platform, wherein the mobile platform is along a common plane. A semiconductor component processing system characterized in that at least one overhead carrier is moved with two degrees of freedom.
JP2019138208A 2006-05-11 2019-07-26 Semiconductor component processing system Active JP6896027B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US79990806P 2006-05-11 2006-05-11
US60/799,908 2006-05-11
JP2017000254A JP6630296B2 (en) 2006-05-11 2017-01-04 Reduced capacity carrier, transporter, loading port, shock absorber system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017000254A Division JP6630296B2 (en) 2006-05-11 2017-01-04 Reduced capacity carrier, transporter, loading port, shock absorber system

Publications (2)

Publication Number Publication Date
JP2019192942A JP2019192942A (en) 2019-10-31
JP6896027B2 true JP6896027B2 (en) 2021-06-30

Family

ID=38694507

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2009509884A Pending JP2009537075A (en) 2006-05-11 2007-05-11 Reduced capacity carrier, transport machine, loading port, shock absorber system
JP2014064109A Active JP6073262B2 (en) 2006-05-11 2014-03-26 Reduced capacity carrier, transport machine, loading port, shock absorber system
JP2017000254A Active JP6630296B2 (en) 2006-05-11 2017-01-04 Reduced capacity carrier, transporter, loading port, shock absorber system
JP2019138208A Active JP6896027B2 (en) 2006-05-11 2019-07-26 Semiconductor component processing system

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2009509884A Pending JP2009537075A (en) 2006-05-11 2007-05-11 Reduced capacity carrier, transport machine, loading port, shock absorber system
JP2014064109A Active JP6073262B2 (en) 2006-05-11 2014-03-26 Reduced capacity carrier, transport machine, loading port, shock absorber system
JP2017000254A Active JP6630296B2 (en) 2006-05-11 2017-01-04 Reduced capacity carrier, transporter, loading port, shock absorber system

Country Status (4)

Country Link
JP (4) JP2009537075A (en)
CN (1) CN101490833B (en)
TW (1) TWI405290B (en)
WO (1) WO2007133701A2 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8297319B2 (en) 2006-09-14 2012-10-30 Brooks Automation, Inc. Carrier gas system and coupling substrate carrier to a loadport
TWI475627B (en) 2007-05-17 2015-03-01 Brooks Automation Inc Substrate carrier, substrate processing apparatus and system, for reducing particle contamination of substrate during processing and method of interfacing a carrier with a processing tool
JP2010144199A (en) * 2008-12-17 2010-07-01 Canon Anelva Corp Vacuum vessel, vacuum treatment apparatus having vacuum vessel, and method for manufacturing vacuum vessel
CN101712130B (en) * 2009-12-22 2012-11-14 中国电子科技集团公司第四十五研究所 Positioning conversion device applied to chemical mechanical polishing equipment of silicon wafer
JP6599094B2 (en) * 2014-11-13 2019-10-30 株式会社ミツトヨ Optical device
JP6456177B2 (en) * 2015-02-12 2019-01-23 株式会社ディスコ Wafer processing system
US9601360B2 (en) * 2015-03-16 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer transport method
CN105543807B (en) * 2015-12-15 2018-06-26 华中科技大学 A kind of alternating temperature thin film deposition system
WO2018088085A1 (en) * 2016-11-14 2018-05-17 村田機械株式会社 Ceiling conveyance system, and relay conveyance apparatus and conveyance method used therefor
US11031266B2 (en) * 2018-07-16 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer handling equipment and method thereof

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0221740U (en) * 1988-07-28 1990-02-14
JPH04171841A (en) * 1990-11-05 1992-06-19 Matsushita Electron Corp Self-traveling transfer truck
US5204739A (en) * 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
EP0582017B1 (en) * 1992-08-04 1995-10-18 International Business Machines Corporation Dispatching apparatus with a gas supply distribution system for handling and storing pressurized sealable transportable containers
DE69403890T2 (en) * 1994-01-14 1998-01-08 Ibm Assembly / disassembly device for sealable pressurized transport containers
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
JP4056141B2 (en) * 1998-08-07 2008-03-05 松下電器産業株式会社 Substrate transfer device
KR100303321B1 (en) * 1999-05-20 2001-09-26 박종섭 Appratus for controlling abnormal lot in automization system to produce semiconductor and control method using the same
JP2005294280A (en) * 2002-04-12 2005-10-20 Hirata Corp Sealed container transfer system
US7575406B2 (en) * 2002-07-22 2009-08-18 Brooks Automation, Inc. Substrate processing apparatus
JP2004227060A (en) * 2003-01-20 2004-08-12 Murata Mach Ltd Automated guided vehicle system
US7221993B2 (en) * 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
JP4487302B2 (en) * 2003-05-20 2010-06-23 株式会社安川電機 Load port
JP4470576B2 (en) * 2003-05-20 2010-06-02 ムラテックオートメーション株式会社 Transport system
JP4259968B2 (en) * 2003-09-22 2009-04-30 大日本スクリーン製造株式会社 Substrate processing equipment
US20050095087A1 (en) * 2003-10-30 2005-05-05 Sullivan Robert P. Automated material handling system
JP2005243729A (en) * 2004-02-24 2005-09-08 Asyst Shinko Inc Conveyer system
JP2006051886A (en) * 2004-08-12 2006-02-23 Murata Mach Ltd Ceiling traveling vehicle system
KR20070054683A (en) * 2004-08-23 2007-05-29 브룩스 오토메이션 인코퍼레이티드 Elevator-based tool loading and buffering system

Also Published As

Publication number Publication date
JP2017069583A (en) 2017-04-06
WO2007133701A2 (en) 2007-11-22
TW200816351A (en) 2008-04-01
TWI405290B (en) 2013-08-11
WO2007133701A3 (en) 2008-12-04
JP6630296B2 (en) 2020-01-15
WO2007133701A9 (en) 2008-01-17
JP6073262B2 (en) 2017-02-01
CN101490833B (en) 2013-08-14
JP2009537075A (en) 2009-10-22
JP2019192942A (en) 2019-10-31
JP2014146825A (en) 2014-08-14
CN101490833A (en) 2009-07-22

Similar Documents

Publication Publication Date Title
JP6896027B2 (en) Semiconductor component processing system
JP6409018B2 (en) Reduced capacity carrier, transporter, loading port and shock absorber system
JP7405699B2 (en) Semiconductor workpiece processing system
US8267634B2 (en) Reduced capacity carrier, transport, load port, buffer system
US8328495B2 (en) Reduced capacity carrier, transport, load port, buffer system
JP5543205B2 (en) Semiconductor workpiece processing system
TWI557838B (en) Reduced capacity carrier, transport, load port, buffer system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200929

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200930

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201225

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210226

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210511

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210608

R150 Certificate of patent or registration of utility model

Ref document number: 6896027

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150