TWI351731B - Dynamic metrology sampling for a dual damascene pr - Google Patents

Dynamic metrology sampling for a dual damascene pr Download PDF

Info

Publication number
TWI351731B
TWI351731B TW096110722A TW96110722A TWI351731B TW I351731 B TWI351731 B TW I351731B TW 096110722 A TW096110722 A TW 096110722A TW 96110722 A TW96110722 A TW 96110722A TW I351731 B TWI351731 B TW I351731B
Authority
TW
Taiwan
Prior art keywords
processing
wafer
measurement
map
metal
Prior art date
Application number
TW096110722A
Other languages
English (en)
Other versions
TW200811976A (en
Inventor
Merritt Funk
Daniel J Prager
Radha Sundararajan
Wesley Natzle
Original Assignee
Tokyo Electron Ltd
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Ibm filed Critical Tokyo Electron Ltd
Publication of TW200811976A publication Critical patent/TW200811976A/zh
Application granted granted Critical
Publication of TWI351731B publication Critical patent/TWI351731B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

1351731 九、發明說明: 【發明所屬之技術領域】 本發明係關於用於處理一晶圓之系統及方法,更詳言之,係 . 關於一種使用處理間反饋控制(run-to-run control)及動態取樣以改 善晶圓内均勻度及處理生產量之方法與系統。 » 【交叉參考之相關申請案】 本申請案係關於同在審查中的美國專利申請案號 10/705,200,案名「Processing System And Method For Chemically Treating A Wafer」,提申於2003/11/12 ;同在審查中的美國專利申 請案號 10/704,969(案名「Process System And Method For Thermally TreatingAWafer」,提申於2003/11/12);同在審查中的美國專利申 清案號 10/705,397(案名「Method and Apparatus for Thermally Insulating Adjacent Temperature Controlled Chambers」,提申於 2003/112/12);同在審查中的美國專利中請案號10/944,463(案名 「Iso/Nested Cascading Trim Control With Model Feedback Updates」;提申於2004/9/20);同在審查中的美國專利申請案號 11/046,903(案名「Iso/Nested Control For Soft Mask Processing」;提 申於2005/2/1 ;美國專利申請案號******,事務所案號 P0023(案名「Dynamic Metrology Sampling With Wafer Uniformity
Control」’提申於同日);美國專利申請案號******,事務所案號 313530P0024(案名「Dynamic Metrology Sampling With Wafer Uniformity Control」’提申於同曰)。此等申請案的内容引入於此作 為參考。 ,【先前技術】 +前饋控制器之使用,在半導體製造設施(Fab)製造半導體積體 、电路’已被樹立很久。直到最近,晶圓係以一批次(Batch)或一批(lot) ^處理’對於該批中的各晶圓施以相同處理。批之大小取決於該 晶圓製造腐:之製造規範,一般而言,限制於至多25片晶圓。對於 1351731 該批的一些晶圓進行例行量測,並依據此等樣本量測調整該處 理。依據該批的樣本量測及調整下一批之處理配方的控制方法, 稱為逐一批次控制(lot-to-lot contro卜L2L control)。用於L2L控制 之該處理模式及調整該處理配方的資訊被保持,且數值計算係於 • 晶圓製造廠層級實施。最近,半導體處理設備(SPE)製造商已具備 . 在該處理剛被實施前或後,量測各晶圓之能力。該於該處理設備 上量測各晶圓之能力,稱為整合式量測能夠在逐一晶圓 (wafer-to-wafer)(W2W)層級及晶圓内(WIW)層級,測定及調整該處 理配方。 半導體晶圓上的結構,不僅尺寸減小,而且密度增加,造成 額外的處理控制問題。在特定區域内,依據結構密度,半導體晶 圓的區域被識別為孤立狀區域或巢狀區域’且由於此等不同的密 度,在半導體處理中產生問題。 修整钱刻之需要性已為一般性的,且已有許多方法用於修整 該臨界尺寸(CD),以進行閘極長度控制。孤立狀/巢狀控制已成為 遮罩设计處理的一部分,包括藉由蝕刻機進行該處理之模型化。 然而該孤立狀/巢狀的模型設計為該遮罩之處理,係相關於一孤立 狀^或巢狀結構對單-CD目標最適化。遮罩偏差㈣利用光學 及處理校正(OPC),有時稱為光學趨近校正,豆中,初縮遮罩 (reticule)的孔徑,被調整以增減必需的光線,來增加圖幸逼真度 的方法,為相轉移遮罩(PSM),其中拓樸學結構建 立於該购遮罩’以於影像中導人對比增強之干涉邊緣。 【發明内容】 包括—種監控—雙重金屬職製程之方法, 測S 其中’該晶圓包含多數晶粒㈣ 金屬鑲嵌層,且至少測,具有-圖案士的 Λ, ^ '、有月性結構於其中。一前處 包括針對該晶圓上m w 3,處理彳5賴度興圖 .-Ba粒的彳5賴度育枓。當該前處理信賴度輿 731 個以上值未落於針對該金屬鑲嵌製程所建立之於作賴 ,5f對該金屬鑲钱製程建立-擴張的前處理量‘己 置,猎由針職金屬縣製織立_優先前處理量測位 :其中’错由針對該金屬鑲嵌製程消除至少一非 卢匕己 里測,置’使針對該金屬鑲嵌製程之量測位置數減少。处 以其他祕將由以下敘述及附屬的圖式而顯明。 應的參考符以參__,其中,對 【實施方式】 光阻於圖案_包含塗布感光材料薄層,例如 期間轉移此至;===便提供-遮罩’用於铜 將該感光材料使用ift料之圖案化,通常涉及 /古田舶… u形试影糸統,曝露於一發光源,桩荽, 产开小移衫域赌料之醜射之區域(於正型光阻之 清形)f未經照射之區域(於負型抗_之情形)。先阻之 前,將該軟遮罩層中之圖曰寺破部’在其他钮刻步驟之 處理之數種材料勺!f/ 遮罩層。該軟遮罩可擇自用於矽 小特徵部尺寸之光 =的 合於處理設请-及‘制器⑶usttr包Πί 1351731 理模組115,此等可耦合於一傳送系統150。 例如此^ ΙΜίνίίΓο^ϋ^Ι™1"1)’可_合於處理賴110。 例如該ΙΜΜ140可輕合於傳送系統15〇 以不同的方式_合於處理設備11〇。兮卢=《疆140可 120、兮MFSHD = ΐ 玄處理設備110、該控制器 20 5亥順130 ’及該趣14〇之中至少 形用2面(G職件及/或一資料庫構件(以 他只施例,一個或一個以上此等構件可不為必要。 m 資訊可從該工薇系統130藉由該處理設備 〇及/或該㈣s 120獲得。工觸級商務規則可用於建立一控 取=箄=規用於指定正常處理採取之動作及錯誤情形採 動作。例如’該處理設備110及/或該控制器m可獨立 ,作,或可某個程度受該工廠系、统130㈣。並且,工廠層級商 知規則可祕蚊何時將—處理暫停及/或停止,及t—處理被暫 ^及/或被停止時,要做㈣"此外,工廠層級商務賴可用於決 疋何時改變一處理及如何改變該處理。 商務規則可限定於一控制策略層級、一控制方案層級或一控 制模式。商務規則可指定為,每當遇到一特定情況時執行^ 當於一較高層級及較低層級遇到匹配的情況時,與較高層級相關 連的該商務規則可被執行。GUI螢幕(screens)可用於定義及維護該 商務規則。商務規則定義及指定可允許用戶擁有大於正常的安全 層級。該商務規則可維護於該資料庫。文件及幫助螢幕可提供如 何定義、指定以及維護該商務規則。 該MES130可配置為使用從與該處理設備u〇及/或該控制器 120有關之該資料庫報告的資料,監視某些系統處理。工廠層級商 ,,務規則可用於決定監測哪些處理及使用哪些資料。例如,該處理 設備110及/或該控制器120可獨立地收集資料,或該資料收集處 V理可某個程度受控制於該工扁:系統130。並且,工薇層級商務規則 可用於決定當一處理被改變、暫停及/或停止時,如何管理該資料。 此外’該MES130可提供運作時間(nm-time)組態資訊給該處 9 1351731 •理設備no及/或該控制器120。資料可使用GEMSECS溝通協定 (GEMSECS communications protocol)交換。例如,apc 設定、目 標、界限、規則,及演算法可從工廠下載至該處理設備11〇及/或 .該控制器12〇,以作為—「APC配方」、一「APC系統規則」,及 'ΓΑΚ:配方參數」。量測系統配方及設定可從該工廠下載至該處理 -*没備及/或該控制器120 ’作為一「ΙΜΜ配方」、一「ΜΜ系 統規則」,及「ΙΜΜ配方參數」。 一般而言,規則允許系統及/或設備操作依據該處理系統1〇〇 之該動態狀態改變。某些設定及/或組態資訊,當起初由該處理系 統100配置時,可由該處理設備110及/或該控制器120決定。此 外,设備層級規則可用於建立一控制階層於該設備層級。例如, δ亥處理設備110及/或該ΙΜΜ140可獨立地操作,或該可 某個程度受控於該處理設備11〇。並且,設備層級規則可用於決定 何時將處理暫停及/或停止,及當一處理被暫停及/或被停止時,執 行什麼。此外,設備規則可用於決定何時改變一處理、如何改變 該處理,及如何管理該資料。 於圖1,顯示一處理設備110,及一控制器12〇,但在此發明 中並非必要。該半導體處理系統’除了獨立的處理設備及模組, 可包含任意數目處理設備,具有與其關連的任意數目的控制器。 馨 該處理設傷110及/或該控制器120,除了任意數目之獨立處 理δ又傷以及模組,可用於配置任意數目之與此等相關連之處理設 備。於其他功能之中,該處理設備110及/或該控制器12〇可從涉 及處理設備、處理子系統、處理模組,及感應器之處理,收集、 提供、處理、存儲,及顯示資料。 ϊ 該處理設備110及/或該控制器120可包含一些應用程式,尤 ν其包括至少一詨備相關之應用程式、至少一模組相關之應用程 式、至少一感應器相關之應用程式、至少一界面相關之應用程式、 至少一資料庫相關之應用程式、至少一 GUI相關之應用程式,及 至少一組態應用程式。 1351731 八例如,該系統100可包含一來自於東京威力科創(股) 系統,其可連繫Unit#設備、一Teiius@設備及/或一 及其等關連的處理子系統及處理模組。此外,該系統可 tCf胃’簡稱腹)控制器,例如來自於東 (股)公司之Ingeni0@TLES做器,及來自於東京威 ^ i月t公司之一整合式量測模組(IMM)。選擇性地,該控制器 120 1支k其他處理設備及其他處理模組。 一 GUI構件(未顯示)可提供容易使用的界面,能使用戶:觀 =表及原始(追縱)參數資料;觀看設備警示日誌;配置資料收集 指定將#料寫人該資料庫或輸域案之條件;輸入 iit計學上的處理控鄉PC)製圖、模型化及試算表程式;針 aau檢。驗晶|]處理資訊,及錢目前存在該資料庫中的資 料’建立及編輯處理參數SPC表,及設定產 警示;運❹變量域分分析_及/或^ e^t^quares,稱PLS)模式;及觀看频晝面以便解決問題及 控制$ m報告問題。熟知此項技藝之人士應瞭解,該 不疋要&供所有功能的界面。而是該GUI可提供此等 功能之任意次組或其他未列示於此者。 八 控制器120可包括一記憶體(未顯示),其可包括一個或一個 ^上資料庫。來自於該設備之資料可以難形式儲存於-資料 庫。此外’ IM資料及主量測㈣可儲存於該資料庫。資取 ^置的該資料收集方案,以及處理實施的頻率,以及所運作的 $理設備。得自於該處理設備、該處理腔室、該感應器,及該操 作糸統之該資料,可儲存於該資料庫。 ” 於另一實施例,該系統·可包含:-客戶工作站(未顯示)。 該系統1G0可支援多數客戶功站。—客戶工作站可允許—用) 執打組態程序;觀看狀態,包括設備、控制器、處理,及工 態;觀看目前較歷史龍;執補型化及_魏;及對該控 1351731 制器輸入資料。例如,—田& ία .上 由-系统辦^可此被舒管輯,以允許其控制 考饰机所執仃的—個或一個以上處理0 偵測!分控制器120可轉合於腦130且可為錯誤 12〇可盥一工㈣统、Ί"!部分。該處理設備110及/或該控制器 110及//或,控1哭1=貧訊。此外,該題130可對該處理設備 可針對任一&曰:♦傳迗指令及/或撤銷資訊。例如,該MES130 *處,、設借,及量測裝置,前饋可下載的 120。變數績可數至域理設備11G及/或該控制器 Γ统之變數Λ = fCD目標、界限、綱,及設備層級 废系統,或-t。此外,㈣資料可從工 司)前饋至控制器120例如設備(東京威力科創(股)公 如臨可用於對該控制器i2Q提供量測資料,例 =5式電顯(CD SEM)資訊。選擇性地,該CD _資 ϊ=:ΐ;調ί因子係用於調整介於觸及心 ί及時門測及域歷史資料,可包括晶圓識別資 士例如:日期,肋適當地安插於該龍庫。 選擇性地設備UG顯示於®丨,但在此發明巾並非必要。 ί〇 Λ賴相翁設備。於—實關巾,—處理設備 模J匕3冗;^ 一個,上心里模組。處理設備⑽可包含-钱刻 或其2種或更多種的任意組合。 lit!可包含賴件112,肋給於至少—其他處理 ϊίίίίηΐ1? ’其他處理設備及/或控制器可關聯於在此 i杏施1斤ί:的7處理’及’或其他控制器可關聯於在此後處理 前饋資訊可包含盘-進人晶及或回饋貝訊。例如, 純从進圓有關的貧料。此資料可包括批α〇ο 二料、批次_權料、整批(mn)資料、組成資料,及晶圓^資) 12 1351731 該IMM140可包括一光學數位輪廓量測(〇讲以1 Djg^^ Profiling ’簡稱〇DP)系統。該處理設備11〇尚能包括與模組關連 ,置測裝置、設備關連的量測裝置,及外部的量測裝置。例如, ^料γ得自於耦合於一個或一個以上處理模組之感應器及耦合於 處理設備之感應ϋ。感應ϋ可包括—光學發射光譜(〇ptical 〒聰議Spectroscopy’簡稱〇岡感應器或一光學終點檢測感應 态。例如,此等感應器之波長範圍可從2〇〇nm延伸至9〇〇nm。此 =,資料可得自於-外部的裝置,例如一掃描式電顯(s_ing ^ ectron Mic聰opy,簡稱SEM)設備、—穿透式電顯(丁咖― 二ectron Microscopy ’簡稱TEM)設備’及一光學數位輪廓量測(〇Dp) 設備。 e 0DP設備可從Timbre Techn〇1〇gies㈤(一 TEL的相關公司)購 得,其提供一取得專利的技術,用以量測半導體裝 =,0DP技術可祕取得臨界尺寸(CD)資訊、3 ^ 一貝訊’或通孔(via)輪廓資訊。 控制杰120_合於處理設備11〇及應§13〇,且資訊,例如 =貧料及後處理資料,可於其中互相交換。例如,當於該設備 錯誤事件,該控繼12G可傳送含有關於該事件之 二改,給Ϊ严13G。此可允許卫㈣統及/或工廠人員做必 ί 改變例如矯正性或預備性維修之後,面臨風 險的晶圓數目極小化。 1圖1尚顯示一單一控制器12〇’但在此發明中並非必要。 =也:可使用額外的控制器。例如,該控制器,可包含: 祕跋)㈣11、—祕(FF)控制哭、 顯ίϊΪΐ)控制器一回饋(1713)控制器,及一輕控制器(皆。未 器。,可包含連結件122 ’用以輕合於至少一其他的控制 ;他控制器可關連於在此處狀前施行的一處理,及/ 或其他控制ϋ可關連於在此處理之後被實施的—處理。連結件^ 13 1351731 可用於前饋及/或回饋資訊。 所望ί離制器12Q辨別針對該晶圓之輸人狀態及該 及該控制器決定可實施之-組配方,直 工-經處理狀態, 晶圓從輸入狀態改變 -組處理模組之多步驟處理。 ㈨雜配方可μ涉及 舍-i20時間常數,可依據介於量測間的時間。 :;批該控彻的時間常數可依據介 常數可術 ί理=制器之時間常數可依據晶圓中的處理i驟。3 ι®ρΞί 器⑽可具有多重時間常數 可m控制 及/或介於批的時間。 丨於處理步驟、介於晶圓, 押網以上控制器120可操作於任意時間點。例如,-二卜:又另二控時器120可為監控模式。 可取決於日該,具有不㈣時間常數。例如,迴圈 估笞5ΐ:=20,可依據該輸入狀態、該處理特性,及處理模型, 刻速率模型可⑶ ,積; 模式’及多變量分析(MV:)模式, 工制I2G可接收並利用外部的提供之㈣,以處理在處 14 上乃1731 if ΐ之ii界限。例如1控制器gui構件提供-穿置以用於 手動輸入該處理參數界限。此外供褒置以用於 各處理模組之處理參數的界限。麟級控制器可提供針對 如,可接收執行由市售模擬軟體建立的模式。例 Uf及執行外部的應用程式所建立的模式』 傳二實接施:二:控4器:巧 器4一設備層級控。送並^ 於不同的控制器。 貝關肌應用私式可運作 質,產亥二T可,應於警示/錯誤,取決於該警示/錯誤之本 處理^己方對,/錯誤之動作’可依據針對該系統配方、 數目、於制,組識別數目、載璋數目、E盒數目、批 且體产^=Μ處理卫作1D、槽(slGt)數目及/或舆圖形態所
==;:麵地,該赚—指引H 料仓i?n120可包含—資料庫構件’用以保存輸入及輸出資 .拉,尤/、,於一可搜尋的(searchable)資料庫中,該控制器可 兮的輸人、傳送的輸出,以及該控採取的動作。此外’ Γ工」益120 '包含用於資料借份及回復的硬體及/或軟體。並 且·^可搜尋的資料庫可包括模型資訊、組態資訊,及歷史資訊, ‘Ϊ制器120 '使用該資料庫構件以備份及回復歷史及目前的 二貝訊及翻組態資訊。再者,該可搜尋的資料庫可包括輿圖 二訊’例如晶圓舆圖及/或處理麵、組態資訊,及敎資訊,且 j制器可使用該資料庫構件,以備份及回復該歷史及目前的座 圖貢訊及興圖組態資訊。 /、 15 可包包含—用戶界面網頁。例如,該控制器120 制器可包含構件’峨看該資料庫巾之資料。該控 可,提供多重層的存取全管理員所給予的核 時提,,,並有能可包含-組於安裝 。控制盗有能力管理在同時執行的多重 ii?己=戶{管制。該控制器可以3種不同的;莫式運作:模
生ί時=场作。此外,fdc應驗式可平行地運作,並產 年统當ΐΐ i體處理系統包括—域线及—個或—個以上處理 的主要部分。該主機系統可建立-處理序列, t列至Ϊ處理系統。於—實施例中,該處理序列 處理模組到訪之序列。可針對各量測模組 到坊及各處理模組到訪,建立處理工作(pj)。 系統,11於模擬模式執行時,可進行虛擬量 /卢採、ι二於模她式執行之結果,可被儲存並用於預測 處理漂移(drift)及/或潛在的錯誤狀態。
於圖1尚顯示-單-處理設備11〇,但本發明不一定僅包括一 處理設備110。選擇性地,可使用婦的處理設備。於一實施例中, 該處理設備11G可包含所述用以實施—修整裎序之裝置。或者, 該處理設# 11G尤可包含-賴模組、—沉積模組、—研磨模組、 龜 -被覆模組、-顯影模組、-灰化模組、—氧化模組,或一熱處 理模組’或其2種或更多種的任意組合。 圖2為一簡化的方塊圖,顯示依照本發明之一實施例之一整 合處理系統·。於職_實施例巾,整合處理线,可包 括:一處理系統210、一工廠界面控制器215、一群組層級(GL) 控制器220、一设備層級控制器225、一量測分析控制器23〇,及 16 ^51731 一置測模組235。例如’該處理系統21〇可為來自於東京威 (股)公司之TELIUS®,該群組層級控制器22〇,可為來自於 力科創(股)公司之INGENIO®GL控制器,該設備層級控制器225 可為來自於東京威力糊(股)公3之賦^腦®控制器,該^ 析控制斋230可為來自於Timbre Techn〇1〇gies之pr〇filerTm應用^ 式伺服器(PAS),及該整合式量測模組(IMM)235可為f自於 Tmibre Technologies 之 i0DP® 系統。Timbre Tedm〇1〇gies 為州 公司’為TEL百分之百持股的子公司。
對於熟悉此技蟄之人士而言,應瞭解該整合處理系統2〇〇之 ,件僅意欲作為本發明之系統的例示。對於熟悉此技藝之人士而 5,及由以下討論中應瞭解,本發明構件之組合的變化為明顯的。 各種變化雖在此未討論,意欲包含在本發明範疇内。 , 該系統200,例如圖2所示,可提供^^晶圓取樣,且該晶 圓槽之選擇可使用一(pj建立)函數決定。該幻尺控制組態之變數 中,可包括.刚饋控制方案變數、回館控制方案變數'量測校正 參數、控制界限,及SEMI標準變數參數。量測資料報告之中, 可包括晶圓、位置、結構及成分資料,且該設備可報告針對該 圓的實際設定。 該IMM235可使用偏光反射法、橢圓偏光量測儀、反射法, Φ 或其他光學儀器,以量測真實的裝置輪廓、精確的臨界尺寸(CD), 以及晶圓之多層薄膜厚度。該處理於線上執行,可不需要將實施 該分析之晶圓破壞。ODP可與現有之用於線上分布及CD量測的 薄膜量測設備併用,並可與TEL處理設備整合,以提供即時處理 監控及控制。0DP輪廓機可兼作為一高精密量測設備,以提供實 •際輪廊、CD,及膜厚度結果,以及一產率增加設備,以彳貞測線上 處理偏離(excursion)或處理錯誤。 ^ 〇DP解決方案,具有3個關鍵的構件:〇DP®輪廓儀 (profiler)™庫,包含:一應用程式指定光學圖譜資料庫,以及其 對應的半導體輪摩、CD,及膜厚度。輪廓儀tm應用程式伺服器 17 1351731 (PAS)包含:—電腦舰器,與光學硬體 該資料之溝通.庫操作、量測處理、結果3理 及結果輸出。該〇妒輪_ ™軟體,包括安& 體,用以官理量測配方、〇Dp®輪廓儀TM庫、〇Dp@ ^料、ODP輪廓儀以結果搜尋/比對,⑽⑪輪廊儀:結果 异/分析、貧料溝通,及對各種量測設備及電腦網路的㈣界面。 λ, u同Λ審查中的美國申請案號〇9/727,53〇(案名「咖她and et o or Real-Time Library Generation of Grating Profile , > Jakatdar等人提申於2000/11/28),敘述一例示之光學量測系統’ 引入於此作為參考。
ODP技術可用於量測一經圖案化之晶圓的特徵部中,塗覆的 存在及/或厚度,及/或殘渣。此等技術教示於同在審查中的美國專 利申凊案號 10/357,705(案名「Model Optimization for Structures with Additional Materials」,Niu 等人於 2003/2/3 提申),又涵蓋額 外材料量測之ODP技術教示於美國專利號碼6,6〇8,69〇(案名 「Optical Profilometry of Additional-material Deviations in a Periodic Grating」,提申於2001/12/4) ’及美國專利號碼 6,839,145(案名「Optical Profilometry Of Additional-Material Deviations In A Periodic Grating」,提申於 2003/5/5),皆引入於此 作為參考。 用於建立一量測模式之ODP技術,教示於同在審查中之美國 專利申請案號 10/206,491(案名「Model and Parameter Selection in
Optical Metrology」,Voung等人提申於2002/7/25),涵蓋整合式量 測應用之ODP技術教示於美國專利號碼6,785,638(案名 「METHOD AND SYSTEM OF DYNAMIC LEARNING THROUGH A REGRESSION-BASED LffiRARY GENERATION ^ PROCESS」,提申於2001/8/6),皆引入於此作為參考。 一控制系統,例如來自於東京威力科創(股)公司之Ingenio® 系統,可包含管理應用程式,例如,一配方管理應用程式。例如, 18 I35l73l 理應,式可用於觀看及/或控制保存於該 ΐ庫Γ方網路環境從該峋_@系統與設傷同ί 的歧戶。稍工麵细,魏提賴料纽備單元 韩-ΐίΐ組織成樹狀結構,可包含配方組、類型,且配方可以 門的‘=於決定晶圓取樣及介於槽及M配方Ξ ^的關係。iM配方可存在於IMM140,可從獅,麵配 擇’可包含圖案識別資訊’可用於在各晶 敌3- 及可用於決定使用哪-PAS配方以取樣’ ODP庫’及界定欲報告的該制 如11 < 壁角(SWA)、層厚度、溝渠寬产,s、^土卩CD、T。卩CD、側 GOF)。 、、又及適 5 度(goodness of fit,簡稱 一控制系統,例如該Ingeni〇②系統 其可操作作為控制策略,且—控㈣叙#ϋΑΚ:應用私式’ 設備之配方控制方案。於運作可包括一钱刻 :個以上控制方案,且受控制之一處理模組及呈$ ^控制方案,針對對於該處理·及模造^ ,方案可含有舆圖、模型、控制界限、目 方'配方模型,及回饋方案。 ^括靜心配 於該控制系統’前饋及/或回饋控制可 控制方案’及㈣模型啼施。—控 j 行-個或-個以上該^方控制策略内可執 回饋資訊修改該配方。〃㈣方木可用於依據前饋及/或 一控制策略可祕產生—處理配方域理設備;決定控制方 19 1351731 案;決定晶圓舆圖,回應一錯誤而建立一動作;建立情況;建 ,「控制形態(標準,模擬或測試);建立一控制動作(得能/失 能);及建立一控制狀態(受保護/未受保護)。 僅有一控制方案之標準形態會被執行 λ控制策略可包含標準控制策略及模擬控制策略。該標準控制 策略可配置為控制該處理設備η〇。一模擬控制策略可關連於一 個或-個以上觀鋪方#。錄選獅模型,該控制方宰將調 整該配方變數。該配方魏可藉由該控彻記錄日諸,但不傳送 給處理設備。乡館難織略可翻時執行,但是對於一給定 晶圓,僅右^一他生丨丨七姿& a、,. 再者,一控制策略可包括其他可操作之領域(fidd)。例如,一 ^或一個以上批ID領域可用於輸入/編輯該批識別符;該一個或一 ,以f CJID領域’可用於輸入/編輯該控制工作識別符。該一個 1二固,以上PJID領域可用於輸入7編輯該處理工作識別符。該一 ^-個以上H盒ID領域可用於輸入/編輯該g盒識別符。該一個 域,輸入/編輯該載架識別符。該-個或 I 輸人/編輯該晶卿式。該—個或—個以上刻 符。二域i早的二:=10領域’可用於輸入/編輯該晶圓識別 =ϋ域為早的起始_ ’可祕輸人/編輯 晚於領域之起辦間,可用於輸人/編輯縣束時間/門此外 制。中涵蓋多重處理步驟,並可由工廠控 4數乾圍了針對各處理及/或量_組 限範圍」,針對各控制參數提供。 々I數參數「界 料,用程式,其可用於分析該收集的資 =分析制程式執行朗,可執行—個^ ^,可執行将量SPC _讀,且可能觸發方^ 丁及/或PLS換式/方案,且可能觸發SPC警示;可執行^變 20 Ιό^Ι/όί 篁SPC模式/方案,且可能觸發SK:塾 輸出方案’並且可能觸發軟體警示。了執仏、他槽木 當一資料發生錯誤、發生執行問題 ^ 立—錯誤。當驗杜,财㈣纽案可建 態;及-個或-個以上;狀態Γ變為一錯誤狀 當前饋方案或回饋方案失敗 及4 FDC系統。 上方案,且其狀態可改變為一;源二略=個;-個以 -不好的進人晶圓,—㈣方安wf:;於—情形中’當檢測到 進入晶圓。此外舍一或識別此其為一錯誤的 其他方案,方皮辭能力,該_方案可藉由 方安圪被識別為有瑕疵及/或錯誤之晶圓。一資料收隹 料;i立的有量測位置的資料’或因為使用該資 於一均勻度界限,而拒絕該資料。 策略及該朿略或私讀。成功的方案、 顯產生,並不建立錯誤/警示訊息。 並包i’例如該腦簡〇@系統’可包括一 FDC系統, 誤ϊί對=理錯誤/警示/錯誤狀態之應用程式。當檢測到-錯 ' f^ZlltZZ7m' -資=對策略及/或方案錯誤之失敗的動作,可保存於 包括:針對此從該㈣庫取出。失敗動作,可 等待:該處;模組及並 能僅於各鹛古姐1個5又備並專待介入。例如,一處理設備可 組,才的該晶圓到達該發▲纽失敗之目標處理模 乍,且該處理設備可能可以繼續處理其他批、配方 21 1351731 或於其他模組中之晶圓。一虛無配方可為一控制配方,用於一處 理設備及/或處理系統以允許晶圓不經處理而通過處理腔室。例 如虛無配方用於當處理設備暫停或當一晶圓不需要處理。 。該FDC系統可檢測錯誤、預測設備效能,預測預防性維修行 程二減少維修停機時間,並延長該處理設備中的雜性零件的使 用胥命。該FDC系統從該設備及額外的感應器收集資 ϊΐϋ施職,並使紐c將結果與正常操作二。= ^作APC祕及該FDC ,魏可域客 f ’及處理工作°對於APC系統及該FDC系統之用 態顯^稱可用的,並提供接近於㈣之設備㈣及即時警示狀 圖3為一視圖例,顯示依照 一杏 統。於該說明的實施例中,顯示—光學量測李H〇 1予夏測系 光譜資料32^: ^之陣列,以取得經量測的 交量測資料得到晶圓量測自正父里測㈣’並依據該零階正 結構及^^形成在-晶圓上之週期性量測 晶圓上形成特徵部及/或裝置;個^個=上製造處理於該 性量測結構的特徵部。 、、。構,亦在該晶圓上形成了週期 測4外其個或-個以上週期性量 路。或者,週期性量測叫m於該曰日®上所形成之-裝置/f 置/電路操作之―區域,^沿著裝置/電路中不會干擾該裝 由週期性量測結構得到f:®上之刻晝線形成。因此, 先子置測,可用於決定鄰近於_期性 22 1351731 量測結構之該裝置/電路,是否依照規格被製造。 此外,光學量測系統300可包括—量測系 腑^統。該量測系統可包括:即時分量312,置可用丨錢 用ODP回歸技術,實施圖案分析。及非即 分* , ,用於產生iODP庫33〇。例如,可實施回歸最適二|之一植 1測,以取得-織_於結構輪叙/摘徵狀結果來數值。 此外,該量測系統310可包括:一界面分量,用於傳送 IMM(ODP)結果至其他系統分量;一顯示分量342,用於顯示 IMM(ODP)結果至-個或-個以上GUI螢幕,及一儲存分量344, 用於儲存IMM(ODP)結果。
光學量測裝置之例,包括:分光橢圓偏光量測儀 (empsometer)、分光反射率計、可變角(variable姐故)、單一波長 反射率計及橢圓偏光量測儀、偏光反射率計及橢圓偏光量測儀。 當光學量測系統300包括一橢偏儀(eliipsometer),強度比tanXp及 繞射仏號之相位」被接收並檢測。當光學量測系統3〇〇包括一反 射率計,繞射信號之相對強度被接收並檢測。此外,當光學量測 系統300包括一偏光反射率計,一繞射信號之該相位資訊,可被 接收並檢測。 光學量測系統300可接收一經量測之繞射信號並分析此經量 測的繞射信號,並且該週期性量測結構可使用各種線性或非線性 分布提取技術決定,例如:庫系處理、回歸系處理等。關於庫系 處理之更詳細敘述,參見美國專利申請案號〇9/9〇7,488,案名 「GENERATION OF A LIBRARY OF PERIODIC GRATING DIFTRACTION SIGNALS」,提申於2001/7/16,完整引入於此作 為參考。關於回歸系處理之更詳細敘述,參見美國專利申請案號 09/923,578,案名「METHOD AND SYSTEM OF DYNAMIC LEARNING THROUGH A REGRESSION-BASED LIBRARY GENERATION PROCESS」,提申於2001/8/6,完整引入於此作為 參考。關於機器學習系統之更詳細敘述,參見美國專利申請案號 23 1351731
10/608,300,案名「OPTICAL METROLOGY OF STRUCTURES FORMED ON SEMICONDUCTOR WAFERS USING MACHINE LEARNING SYSTEMS」’提申於2003/6/27,完整引入於此作為參 考0
此外,光學量測系統及技術教示於美國專利號碼6,947,141, 案名「OVERLAY MEARSUREMENTS USING ZERO-ORDER
CROSS POLARIZARIZATION MEASUREMENTS」,提申於 2004/9/8;美國專利號碼 6,928,395,案名「METHOD AND SYSTEM
FOR DYNAMIC LEARNING THROUGH A REGRESSION-BASED LIBRARY GENERATION PROCESS」,提 申於2004/5/27 ;及美國專利號碼6,839,145,案名「OPTICAL PROFILOMETRY OF ADDITIONALMATERIAL DEVIATIONS IN A PERIODIC GRATING」,提申於 2003/5/5,皆已讓渡予 Timbre
Technologies(—屬於TEL之公司),皆引入於此作為參考。 該控制器120於不同的處理方式,可使用依據方程式之技術、 依據配方的技術,及依據表(table-based)的技術。當該控制器120 使用此等技術,該前饋及/或回饋控制變數能為可組態的。 於變體中,該控制器120可操作為如同單一輸入單一輸出 (^iso)裝置、如同單一輸入多重輸出(SIM0)裝置、如同多重輸入 單一輸出(MISO)裝置,及/或如同多重輸入多重輸出裝 置。此外,輸入及輸出可在一控制器12〇内及/或介於一個或一個 士上控制态120之間。於包括多重模組之多重處理之情形,舆圖 資訊可從一控制器前饋或回饋給另一控制器。 二當一處理設備及/或處理模組傳送資料給該資料庫,此資料可 由該控制ϋ 120存取。例如,此f料可包含:設備追料料、維 修資料,及終點偵測(EPD)資料。該設備關連的·可用於產生及 新處理姻及7或處理結絲目,且該設侧連的資料及關連 的舆圖,可於一晶圓處理期間或處理之後更新並儲存。 遺控制S 120可接收並利射卜部的提供之資料,以處理在處 24 數,,該控制器—構件提供一裝置以用於 各處理模組之處理參數:界:外,-工廠層級控制器可提供針對 如,執行由市龍擬軟體建立的模式。例 (PLA、PCA等)並傳送給在外的應用程式產生的模型 理設’可藉由聊㈣晶圓,更動該處 程序可發7 <運$數健測晶圓。該更新 理該監廢之該控制器120,允許該工廢控制以管 該控制器120可針對下一 a « ^ t > J 12:® ^ ^ 及該回饋資气以…St I使用别饋貢訊、模型化資訊, 晶圓之運作該目前的晶圓之前、運作下一 J曰:¾連作下-批之前,改變該目前的配方。 序列曰用f提供處理結果資料,可指定一路徑 -晶圓S、: / 3中的正確點遞送到該_14〇。例如, 115德卢理广理模組115之前及/或該晶圓已經於處理模组 _40。此外,可指定一1Μ二ίί 退订組預疋的量測,並提供一 民1于 在平均之前先經過濾並由該控制器120'使用^、'、。歹1如,該資 ^制器120可包含一個或一個以上過濾器( r 訊。雜訊過絲可驗移走隨機雜^ 外—i=rirage,,簡稱ewma)或一過遽器。此 上㈣Ϊί 〕過遽器可用於移走越出值,越出值在統計學 “有效且不應在晶圓量測之平均量測中被列入考慮。再者, 25 該控制具有依齡自於量測分析計算 遽位置之能力,例如,量測位置可依據警示過 被過濾,且此可發生於該位置量測計算伊統之誓示 於庫空間外,或當一位置位於庫空間的^緣,或基—位置位 δ亥控制态120可接收並利用回饋資斜。 可接收針對已經過處理之晶圓的舆圖資訊,’诚該^制器120 處理模型。 、s,並依據此資料調整該 該控制器120可傳送並接收通知錯 120可傳送並從尤其是一工薇層級控制器、、一^^’。該控制器 -設備層級控制器之中的裝置接收 ,及/或 Ϊ後’-通知™~路,^ 制③12G可餅模賴式tf算及/歧做賴圖及/祕 ^。^如’該控制H㈣可操作平行於實際處理 模 於此情形,該模擬動作可記錄於歷 不。 該控制器120可依翻人㈣心、不立卩彳取動作。 型。例如,該杵Γ月/ ,選擇處理輿圖及/或模 擇處理盥i 的材做態及處理配方,選 ===。_j器可包含驗證該系統削可計算有 數、輸入,尤可包括:針對前饋/回饋迴圈之時間常 尤里Ϊ 3 Ϊ二1讀步驟,及⑽偏置量。指示可包括 模^、係數二=度 '計算機指令、㈣收集方案,演算法、 兮被;^㈣日^配方。該細狀g可包括之:#訊,例如,來自於 ΐ:ΐ=1严置、晶圓、批、批次狀態)、輪®,及物理上或 ί-I模組物理的狀態可包括該模組及構件目前的或 消狀態’其將用於處理該晶圓邮小時、晶圓數目、 該處理狀態可包括來自於該處理環境之感應器 次已知量測狀態,包括追縱資料,及概要統計。 5亥控制益參财包括針對配方/控㈣設定點之前-次設定,及建 26 立m處理目標。 模組、-ίΐίί^於:?种’該操作軟體可包括:一組態 難排解槿組,戍並2種$ UI杈組、一錯誤管理模組,或一疑 幕可用於;置以任Ϊ組合。並且,組態GUI營 處理元件(即設備、模組部、決定針對該 營幕可用於決定欲收集資裝置L資料管理GUI 料如何及存放左付卢。s'土里及形式,並決定將該已收集的資 態通知-用戶^ & ’錯和理GUI螢幕可用於將錯誤狀 對今曰控制係在該晶圓到達該處理模組之前,使用 且資料由該控制器i2G接收。此等值可被比較, 為該所望的處理結果(例如,該所望的修整量)。接H 主的處理結果可被送到該控繼 = 配讀處_錄整)。__歧,1 副仙該新的 方案於120,藉由配置控制策略、控制 制之各』 =控刪。各 料來;控 如,-資料來賴後(_)值。例 ,施,。此外,其他娜源可為 為實際量測資料,例如’ CD_SEM資料 Μ及I數/值可 算:二自二-用戶可針對-目㈣ 卞开^果接者用於選擇執行哪一控制模式。 27 1351731 ,系統以名目配於存在於該設備之配方)起始。接著,加入來自於 之更新。—旦所树控制方案被執行(於該匹 配(matchmg)控制桌略),則最終配方被傳送至該設備。 該控制$丨2〇可操作為如同方參 的處f㈣、處理模型限制、處理目標,及處理參數限制,^ =ί數。雜制器12G財能力管理執行於同-_並受到單 哭1重處理模式。如果控制發生錯誤,該控制 °° 了配置為制抑備處理配方W目配方)、使用卵結 暫二整又1系統:制器120可配置為暫停該處理模組,或 圖4為-簡化的概要視圖, f理圖示。於該說明的實施例中,顯示貫Π可= 403可前饋至一量測元^ 418j紅兀件402,且晶圓狀態資訊 耦人於一機与亓株41Λ 頒不一判斷(decision)元件405,其 =^ k = TG件410中的2個處理 ς 括2個供烤單元414,复;^件422。冗微影元件410尚能包 統包括-量測單元418、,軍個3”416。當該微影系 。該量測單元㈣·0^416縣合於-量測模組 換資訊。該控制器於一:」個^控制器440交 使用來自於量測單元418旦 又重金屬鑲敗製程期間,可 示-些判斷⑽,)元件,用於里二貝例中,顯 同路徑。或者,可使用不同數目白可能採取的不 饋資料441給該微影單元 、处兀件該控制器440可回 及/或前饋資料442給―_:資_給該掃插單元42〇, 28 43〇,且_-4些410轉合於一第2晶圓狀態元件 445 460 影系統410。此晶圓狀ha '; 或回饋資訊444給該微 哕曰門虬^里測5又備或—cdsem設備。 統45=包^ 曰43()卿合於該侧系統__系 及-些f理痛元件452、—魏刻處理元件454, 控制器46^並元件452及456,可輕合於— H個ϋΐϊΐΐ 奐貢訊。該控制11偏可使用在 45^旦:=金屬鎮叙製程期間來自於量測元件452及 一此里'、員科。於该說明的實施例中,顯示一 4b判斷(「〇R 、 S目3^ί__可能採取_"者可it不 或處理元件β Μ控制器_可回饋資料⑷ ΐί 44?Γ1 ' 443 420, 貝枓442給—侧系統450。 傾 可包ίΪΐΐS 2,合於一清潔系統470。該清潔系統470 mi式及/或乾式處理。該清潔系統 彻。該量測元件可包括一 0DP系統、一 CD SEM系統、 糸統,及/或一聚焦離子束(FIB)系統(皆未顯示)。 示一重複元件490。該說明的處理,可於當一些晶圓需要 ^理時實施。此外,可使用不同組的步驟。例如,可使用較二 量測步驟。 理系統1〇〇可用於處理具有孤立狀及巢狀金屬鑲喪特徵 部之,圓,並且控制策略可用於定義該處理序列。於一孤立狀 狀的量測序列,該處理設備選用一 IM配方,並且可針對孤立狀及 巢狀結構,使用分別的!MM配方。各晶圓可針對各間距⑦化 結構,分別地量測。 例如,可將晶圓載入一整合式量測(IM)模紐;一脱配方可 29 1351731 亥二?組並儀應用程式鑛器_)配方可載人 兮“控W ρ° 久,可I測該晶圓,且將一 ODP配方載入於 "V n搜尋該資料庫,並 5 " 以上孤立結構。當量測孤立結構,可使用用於孤 立狀結構之IM、PAS,及ODP配方。 、 將另接一1M配方載入一整合式量測_模組,並可 i載入於該1M控制器。該晶圓可被量測或使用先 i^Si=DP配方载入於該職制器。然後, 巢搜哥該資料庫,並識別-個或-個以上 ί?置測巢狀結構,可使用用於巢狀結構之1Μ、PAS, 該量測序列可針對晶®上—個或-個以上不同位置 貝轭,且可將該晶圓卸載。 置 猶f於:ΐί例中’提供:一具有一第1間距之量測栅格,其盥 及技術之該孤立結構/特徵部—致;—具有一第] ;-ί 產品及技術之該巢狀結構/特徵 彻㈣細,額外的ί 為—簡化流程圖,說徹照本發明實施例之雙重金 屬鑲趴衣私。圖5A顯示一例示之雙重硬遗
歧。闕冗騎—^ (BARC)填充處理。於圖5D顯示— 二J Ξ雔=二,一例示之多層抗蝕劑處理。几於“顯示;:: 處=抗钱”魏理。圖5G顯示-例*之無機barc(du〇/sl綱 於其他的實施例’可使用可t周飾 慰材祕 30 1351731 及應變石夕(strained silicon)。 :嵌製程_ 表1顯示一例示之針對第1金屬Ml層之雙重金, 表1 完全先通孔處理 此、⑽> (無中間蝕刻停止層)敛述/評$
Ml Ml Low-k 沉藉 CVD(或 SOD) SiCOOjQ
f如為原位蝕刻則移灰化)= (非必須的)濕式清氣^7
Ml Post-蝕刻CD量測
曝光後烘烤 CD量測 Ml Low-¥^.1/^7k 灰化 — 後触刻清潔 $側清潔< CD量測 ^學缺點 C u阻隔 Cu電鍍 f Ml Cu回火 CMP 缺點量測___ 光學缺點j:^杏 li隔沉 非必須的 非必須的 1 般而言,為
Cu殘渣清除 動到後CMP) Cu /部分HM抛光 非必須的 於又重金屬鑲嵌製程期間,可執行與資祠\ ,,料關_控娜略。㈣ 處理元件例如:賴、_、龄、减絲、;案例I從 31 1351731 OES系統、ODP系統、SEM系統、TEM系統,及 得資料。 τ兄取 此外’β該資~料收集方案之選擇及起動尚能依據情況。DC方 J可,於提供資料用以將與該控制策略關連的應用程式進行輿 ,。該5方,決定收集什麼資料,如何收集該資料,及該資“ 存放於何處。该控制器能對於物理的模組自動產生資料收隼方安 及/或舆圖。-般而言,―資料收集方案可於—時間對—特 活化’及雜制n能選擇並使用匹配於該晶圓情況之—資料收集 方案。資料可包括追縱資料、處理日絲訊、配方f料 二
數益資料、ODP資料、0ES資料、電塵/電流探針(νπ>)資料 類比資料,或其2種或更多種的組合。量測裝置及域感應器可藉 由-pc方案而開始及停止。一 Dc方案尚能提供用於修整資料曰、 修剪資料,及處理突出(spike)資料及越出值之資訊。 ~ _此外,於收集資料之前、中及/或後,可分析資料,及可識別 警不/錯誤情況。尚能執行與一分析策略有關之該分析方案。此 外,判斷及/或介入方案可被執行。例如,當已收集該資料後,該 資料可被送到一判斷及/或介入方案,用以評估運作規則。錯誤^ 限可依據歷史資料而自動地計算,或依據該消費者的經驗或處理 知識人工輸入,或從電腦主機取得。該資料可與警告及控制 比較’及當違反運作細,可產生-警示’代表贿理 計學上的界限。 再者,當一分析策略被執行,晶圓資料輿圖、處理資料舆圖, 及/或模組資料興圖可被分析’及可識別警示/錯誤情況。此夕/, 當判斷及/或介入方案與輿圖應用程式有關連,該等可被執行。例 如,於-興圖已被紅之後’該額可使用運作規則評估技術被 分析。錯誤界限可依據歷史資料或依據該消費者的經驗或處理知 識人工輸入,而自動地計算,或從電腦主機取得。該輿圖可與警 告及控制界限比較’及當違反運作規則,可產生一I示,代 處理已超過統計學上的界限。 1351731 虽產^一警示’該控制器可實施通知或介入。通知可經由電 ΤΪ,或,由電子郵件戶斤啟動的傳呼器㈣㈣。此外,該控制器 貝把二入在5玄目前批的終點暫停該處理,或於該目前晶圓 f終點暫停該處理。該控制ϋ可翻導致產生該警示的該處理模 組0 -策略可包括—資料錯誤區,其可用於輸人/編輯該f料錯誤 也一-2如’虽—舆圖應用程式錯誤或一舆圖未能完成,可能發 J二貢料錯誤:當―資料發生錯誤’該系統回應可為擇自以下的 =項」(a)使用設備處理配方(名目配方):該軟體傳送該指示至該 備及使_設備處舰方之該處理設備;⑻减用處理配 配方)_錄體傳送與該晶關連之該虛無配方資訊至該處 i ^ 進出該腔室而不經處理;(c) ρμ暫停-暫停該處 朵ί'ϋίίϊ暫停-暫停該系統包括傳送系統。其他選項對於孰 心此項技《者應為顯而^知的。來自分析方案、躺方案, 入方案之結果,可前饋及倾至其 可使用此資料以計算其等的輸出。 心、他方木 制於操作依照本發明實施狀處理系統的流程圖 ,艾 > 數至一處理设備,例如處理設備110(圖此 統圓序列。該經下載之資料可包括處理配方、量 =方,m序列當所有在祕配控織略中被該 參考的該祕配方把被驗證,馳彻= | 理設備110,指示該系統配方驗说盔忐#里斗,该處 /或進來的批旦前處理資料可包括一個或一個以上參考二= 或-個以上里測輿圖、-個或一個以上預測舆圖,及/或二個或一 33 1351731 w二及創⑻公司之爛系統例如’ 理。ί任^15,可實施詢問以決定何時實施—前處理量測處 ΐ要施tj ’#該前處理資料包括該正確的量測資料,則不 刖處理I測處理。當該處理成熟(mature),該處理結果應 ~^應_有晶圓都需要該前處理量測處理。秋而,ΐ將 ,且可對於此_實施前處理量 !處理可被實^^圓且不; 程序J可 1Ιί==620,及當後處理量測處理不需要, :二 程、第2令屬禮㈣^ TFVL處理。可在第1金屬鑲歲製 處理4製蚊前,魏雜理量剩 wjtn,可’士—前處理量測。於一實施例,可執行-扣 =:女曰,建ΐ一前處理量测處理配方。當該晶圓位於-量; 測即ί,。當該晶圓目前未位於—量測設傷,it ,二於ί到1里》,組中,且可即時地進行量測。例如,‘曰曰曰 圓可:於,到一 1測設備,例如]ΜΜ140(圖1)。 μ曰曰 樹:案層上的,部, 前量測。可使用-個或-袖,、,二 无U刻處理實施 程式,或使用不_量_统I料收集讲)方案及/或興圖應用 34 1351731
r 圖7A - 7C顯示一依照本發明實施例之前處理量測舆圖之簡 化視圖。於該說明的實施例中,顯示125片晶片/晶粒,但在此^ 明中並非必要。或者,可顯示不同數目的晶片/晶粒。此外,該顯 示之圓形形狀僅為說明之用,並非對本發明為必要。例如,^ 該圓形的晶圓取代為非圓形的基板,且該晶片/晶粒可不為圓形形 狀0 ^ ^ 圖7A顯示一簡化視圖,為晶圓7〇〇A上之第i前處理量 圖720A,在該晶圓700A上包括多數晶片/晶粒71〇A。顯示之歹; (Row)及行(column)標以丨至12的數字,以便說明。此外、,12 晶片/晶粒73〇A標以(1Α-ΠΑ),且此等晶片/晶粒可用於定 所說明之祕理制麵72GA找制健。料,顯示I 線(701A及702A),此等線可用於在該晶Η 7〇〇A上 ^ (皿、驗,及舰)。或者,可在該前處 固= 立具=同形狀之不同數目的區域,且可在該晶圓上不3同位U 立不同數目的量測位置。當針對於一晶圓之一量測 於一個或一個以上晶圓區域,建立一個或一個以上旦ς := 如,當產生該量測方荦,不一定右所古固 里’、置。例 量測。㈣Μ + &在所有_7Α所示量測位置進行 圖7Β顯示一簡化視圖,為—晶7〇 θ 舆圖720Β,該晶圓7_包括多數ϋ弟2月」處理1測 標以〇至12之數以便說明。此外' 二 ° =之行及列 (1Β调,此等晶片/晶粒可用卜彳遣標以 720Β定義該量測位置之位置十;處理量測方案 此等線可崎在該㈣7_ ㈣及職), 術B)。或者,可在該前處理量醉圖37^(7_、遍,及 不同數目的區域,且不同數目有不同形狀之 晶圓區域建立一個或一個以上以浐::於:個或-個以上 案,不需要在H7B所示的所有量測位置7施進該量測方 35 1351731 —簡化視圖,為晶圓7GGc上第3前處理量測舆圖 〇 = i圓700C包括多數晶片/晶粒7ioc。顯示之行及列標以 Λ ^數以便說明。此夕卜,12個晶片/晶粒7挪標以(1C-12C), 晶粒可驗定義針對所朗之該前處理量測舆圖 置之位置。此外,顯示2條弧線⑽C及702C), 可用於在該晶圓歡上建立3個區域(蕭、裏c,及 之不該前處理量順® 72gc上建立具有不同形狀 數目的區域’且可在該晶圓上不同 = = =生:於 ^ 其他則^理置測方案及/或其他量測位置。 吏用 ㈣制存難史資料庫之資料,由半導 測$ ϋ曰曰圓i的二,導體製造商可歷史性地在進行s EM量 ..日日 的二位置,並將該量測資料從一整人弋|、、p丨 設物之麵。⑽造商^=! 上-;ί 的量測,,光學量測量測可於該晶圓 •ΗΜΊ4»**· - -fliBM- ±仃。於一實施例令,量測特徵部,例如: =7=障 前,圓上之週期j性結 如,一晶圓上之該特徵部能里^置^亍量測。例 製程用之鮮層巾。了^餘圖5^5(}和雙重金屬鑲嚴 該如處理畺測處理可能耗且㈣料^ ^ 量,製造商 ; 間極小化。該前處理量測方案:=里化費的時 之情況選擇不同的策略及/或方案。例如,有一個或 36 1351731 或該前處理量測處理可能使用包括在該前處理 里測方案中的次要組量測位置實施。 以實施例中,於該半導體處理開發,可產生—個或-個以 圖並儲存供之後使用。一參考量測舆圖可包括在與圖7A -7C所抑同之量測位置所制之·。或者,— 可使用同組的量測位置,或不需要—參考量測興圖。 ,參考麵翻可包括在無从· W所科同之量測 量Ϊ資料°或者’—參考預測舆圖可使用同組量測位 置或不需要一參考預測舆圖。
一參考信賴度輿圖可包括在與圖7A - 7C所示不同之詈 置之信賴度籠。或者’-參考信賴度麵可使用同組量測位置, 或不需要一參考信賴度舆圖。 該量測、預測’及/或信賴度輿圖,尤可包括:一個或 上適合度(GOF)興圖、一個或一個以上柵格厚度輿圖、一個或— 以上通孔相關之興圖、一個或一個以上臨界尺寸(CD)輿圖、—
或-個以上CD分布舆圖、-個或一個以上材料關連的舆圖、— 個或一個以上溝渠相關之舆圖、一個或一個以上側壁角興圖、— 個或一個以上差別的寬度舆圖,或該等前處理資料之組合 處理資料尚能包括位置結果資料、位置數目f料、CD量測旗= 料、量測位置數目資料、X座標資料,及γ座標資料。、不為 於一前處理量測處理期間,可以計算一個或一個以上 預測舆圖。圖8A-8C顯示前處理預測舆圖之一簡化視圖。 於圖8A,該行及列標以0至12之數以便說明。此外, r 晶片/晶粒830A標以(1A-12A),此等晶片/晶粒可用於定義 = 所說明之前處理預測興圖820A之該量測位置所在。此外,^於 個弧線(801A及802A),此等線可用於在該晶圓$⑻a上建立『2 區域(805A、806A,及807A)。再者,一參考側84〇A被顯示,= 可指示-凹口位置。或者,具有不同形狀之不同數目的區二 立於該前處理預測舆圖820A上’且不同數目的量測位置可建立= 37 1351731 該晶圓上不同的位置。 於圖8B ’該行及列標以〇至12之 晶片/晶粒830B標以(1B-12B),此等晶,/曰,立可== 外,12個 之前處理細m目咖絲該㈣對該說明 _、麵,二於=上建立3個區域 卡-w 口仞罢々土 參考側84〇Β被顯示,其可沪 ’、 置。或者,具有不同形狀之不同數目的區诚·5Γ〔;、4曰 於該前處理預測興圖_上’且不 =以建立 晶圓上不同位置。 j ^目的里測位置可建立在該 於圖8C,該行及列編以〇至12之 個晶片/晶粒830C標以(1C-12C),此等曰只/曰物可田a卜,12 820011*^^,;; 0 J^SOIC及802C),此等線可用於在該晶 3 = (B05C . 806C,^ S07C) 〇 , _^#J 84〇〇 ^ ^ =口位置。或者’具有不同形狀之不同數目的
圖㈣以,且不同數目的量測位置可建立於該S 射,曲線擬合(CUrVe_fltting)程序可被實施,以 1的該位置計算資料。或者,該預測舆圖可 使用表面減、表面擬合技術,或其他鮮技術決定。當針 晶圓產,預測舆圖,可於—個或—個以上晶圓區域建立一個或一 個以上里測位置’且此等量齡置可驗提供該經預測資料 精確之區,。例如,當產生該綱制,不必要在所有的該量測 位置進行量測。 一個或一個以上前處理方程式可使用來自於一個或一個以上 該量測位置之經量測資料決定。例如,—前處理方程式可使用來 ^於顯示於圖8A- 8C之-組該量測位置的經量測資料決定,且此 刖處理方程式可被使用及/或修改,以針對該晶圓上一個或一個以 上晶片/晶粒計算該預測值(期望量測資料)。或者,其他量測位置 38 1351731 了被使用以決定一前處理方程式。 ,某些情形,-完整的前處理 if ° ΞΓ «ί 及/或一經修改之版本,可借 可刖羼理方私式 值。,:4可二- ,算_值落於針對於該“建測_ 告一錯誤狀態。 句勺度界限之外,可宣 某些由輿圖應用程式產生之錯誤,可 能決定該處_統應如何對該錯誤他 该舆圖應用程式解決。 山愿具他錯誤可由 自於:個或一個以上額外的前處理方程式可使用來 圓上-個或-個版本’可料魅包括針對該晶 量測舆圖)當-額外之計算/預測值的額外的前處理 改,可宣告一錯處外理二適;r修 經&t本ί田該一個或一個以上額外的前處理方程式及/或 該部分$包預測針對—部分晶圓舆圖之值。例如, 使用其他輿圖或者歷史資料,與針對該“戶斤 圖=$處理i測處理期間,-個或-個以上前處理作賴产盥 度=9C為-例示視圖’說明依照本發明以 圖9A,,、'頁示簡化視圖,為-晶圓900A上之前處理信賴度舆 39 1351731 片/晶粒91GA、12個量測位置93()A(標以 如S 9A所-乡考側9佩’其能顯示於—晶81上之—凹口位置。 如圖9A所不,一信賴度輿圖在橫該 圓位準。該值「C1」可代表該資料落於針 圖92ΓΒίί簡H圖’為晶圓_上之另一前處理信賴度興 數晶片/晶粒91〇B、12個量測位置930B標以 此外^考側9備’其能指示於—晶圓上的—凹口位置。 此卜丄一弧線9⑽被顯示’此線可用於在該晶圓$麵上建立2 個區域(905B及906B)。該信賴度興圖可使用「Clj及「C2」值分 2個不__,且能針職不同的區猶立不同的值 或卜例如’ 2個區域可用於說明—中央區域以及一邊緣區 域之差/、。或者,可在該新的前處理信賴度輿圖上建立不同形狀 之不同數目的區域’且能於該晶圓上不同位置建立不同數目的作 賴度值。 σ 圖9C顯示一簡化視圖,為晶圓9〇〇c上另一前處理信賴度舆 圖920C,包括.多數晶片/晶粒9i〇c、12個量測位置930C(標以 1C-12C),及一參考側940c ,其能指示一晶圓上之一凹口位置。 • 此外’2條弧線901C及902C被顯示,此等線可用於在該晶圓9〇〇c 十建立3個區域(905C、906C,及907C)。該信賴度輿圖可使用 「Cl」、「C2」’及「C3」值分割為所示的3個不同區域,且可針 對^亥不同的區域,建立不同的值及/或規則。例如,3個區域可用 於說明在内的區域、中間區域及在外的區域之差異。或者,可在 Γ該新的前處理信賴度舆圖上,建立不同形狀之不同數目的區域, 且於該晶圓上不同位置建立及不同數目的信賴度值。 • 或者,對該完整的晶圓,信賴度值可不計算及/或不為必要, 一剷處理信賴度興圖可包括針對一個或一個以上晶片/晶粒之信賴 度資料。於其他實施例,可使用不同數目的區域及/或不同形狀的 =準:特:理腔室可具有可能影響該晶圓某些區域之信賴 建立之均勻度界關#料*别處理則觸及針對—產品線 圓的—個或—個上例如’―製造商可能容許在該晶 定ί勾里度―界Γ顧財及/賴咖'“,可朗雜規二i 較於近於-均勻度界限,該信賴度值可能相 於預測輿圖值未接近於均勻度界限時為低。 •=以i圓之不同晶片/晶粒及/或不同區域,將該信 C ί·ί ϊ —高信賴度權重可以指定給與該先前討 哪之-個或-個以上量測位置相_計算及/或資料。 上外=處理結果舆圖及/或信賴度與圖有關的—個或一個以 可個於計算針對m賴度卿。例如,來自 ;處理結果興圖及/或-信賴度興圖之值,可作為加權因子。 =務625 ’可實施-詢問’以決定何時依據該前處理資料建 先的位置。當需要-優先位置,程序_可分歧至任務 ’而當不需要-優先位置’程序_可分歧至任務645。 當該信賴度興圖中所有的值為高的,則不需要建立一新的優 ,位置。於其他實施例’當介於該預酿圖之間·異小,及/或 ^介於該前處理侧姻及-參考量測翻之差異小,則不需要 建立一新的優先位置。 此外,當=對一特定處理,在一信賴度舆圖之值皆為高,則 可建立-賴量财f,其使峻少數目之量測位置,此減少針 對各晶圓之處理時間。 當該信賴度興圖中一個或一個以上區域之一個或一個以上值 41 1351731 ,低,可於該等區域建立一個或一個以上新的優先位置。於其他 貫施例,當介於該預測舆圖之間的差異大,及域當介前^ 預測舆圖及—參考量職關之差異大,則可建立-個iU 上新的優先位置。g —處理被開發及/或被修改,可—敕 晶圓或一特定區域,例如:特定的半徑方向區域, «卜Q2、Q3 ’或Q4) ’建立新的優先位置。1 ^象限’ 當需要-優先位置,可以建立一個或一個以上新的優先位 0A顯示-簡化視圖,為在一晶圓1〇〇〇A上 _舆圖麵A,包括多數晶片/晶粒1G1GA、3個新的前^ 測位置1035A、12個量測位置1030A(標以1a_12a) 1〇佩,其指示於一晶圓上之一凹口位置。 及參考側
此外,2條弧線(1001八及1〇〇2A)被顯示,此等線可 晶圓1000A上建立3個區域(1005A、1〇〇6A,及則7A)。或了 可在該新的前處理量測輿圖上建立不同形狀之 P =該晶圓上不同位置建立不同數目的新的優先位置的㈡賴 低f’或於該晶圓的一個區域發生一錯誤,可於該區域建立 ,固以上優先位置以作為前處理量測位置。例如,告哕产 賴度值為低及/或於該在外的區域·A發生一錯誤,如圖^= ^ 個或-個以上新的優纽置1G35A建立於或接近該在 量'視圖,為一晶圓1G娜上另—新的前處理 里此、圖1020Β ’包括··多數晶片/晶粒1〇聰、3個 量測位置1035Β,前述12個量測位置删Β(標 〗^ 參考側10働,其可指示於-晶圓上之凹口丄置。)及一 曰弧線(1G⑽及1()G2B)被顯示,此等線可用於在該 曰曰^ 1000B上建立3個區域(屬B、1〇〇6B,及觀b) ί有不同數目的區域可建立於該新的前處理量測輿圖 i賴新的優先位置可建立於該晶圓上不同位置。^ …又:、、·、及/或於該晶圓之—區域發生錯誤,可以於該區域 42 1351731 ==域7個或一個以上新的優先位置1035B可建立於或接 圖10C顯示一簡化視圖,為一晶圓1〇〇〇c上另一 ,測輿圖麵C,包括:多數晶片/晶粒麵€、2個=:^ =位置l〇35C、U個量測位置删c(標以lc_ ,及 側1040C,其能指示在一晶圓上之一凹口位置。)及參考 此外,2 條弧線(i〇〇lc 及 1〇〇2c)被顯, 1000C 3 ^^(1005C . 1〇〇6C , ^ f〇〇7C) ^ ^ 5城之不随目的區域,可建立於簡的前處理量 目的新的優先位置可建立於該晶圓上不同的位置。、 ϋ心fi低的及/或於該晶圓之—區域發生錯誤,—個或-個 當該可建立於該區域’轉為前處理制位置。例如, 值低及/或於該在内的區域igg7c發生—錯誤,如圖 的區域。以上新的優先位置iG35c可建立於或接近該在= 當需要一新的前處理優先位置,可產生一新的俞步饰旦、丨 先位i3新方可用於指示該量測設傷於該-個或: 將該新的ί:=ί理ί測:ΐ該晶f不,量測模組中.,可 以上優先位置進行量^。或則模組在該-個或-個 該一個以上新的優先位置模組中,於 置。例如貫3二處理優先位置可擇自於—組預設位 個位置實施,、2 或處理驗證期間’量測可能在多於40 新的前上可被使用。或者,該 優先位置了以不3^自於一組預設的位置。 該晶圓何時制—優先位置量測 田而要在4先位置進行量測,程序_可分歧至任務 43 ^51731 。及§不f要在優先位置進行制,料_可分歧至任務 合兮,里測可於該—個或—個以上新的優先位置進行。 ϋ二2賴度輿圖計算的同時,該晶81位於該量測設備中, 二紹位置ί該額外的量測可以極小量的延遲被實施。當 。七J之計算係於該晶圓已離開該量測設備之後,該新的 且於該優紐置之鋪外的量測可以延遲一段 夠盘施例中’ #針對—優纽置之該量測資料被產生,能 ίίί::ΐ4==圖:之該資料比較。或者,當針對一優先位 之次產生’月匕夠儲存並於稍後與該前處理預測舆圖中 “立之Ϊ限=對料締由晶圓均勾度規 兮預ΐίί—優先位置之量·料接近於某—預測額之值,則 ==可用在該優先位置附近的區域。例如,當該一個或」 第1 位置位於該第1射艮,且該一或多個量測健近於該 可用::第ΓΪΓ之中的—或多個值’則第1前處理預測舆圖 #針,I先位置之I測資料不接近於-特定預測興圖之 ㈣可"i產生—新的测綱,且能該優綠置附近的區域。 個或—個以上優先位置位麟第1象限,且該二或 一新的前處理預測興圖,並使用於該第丨象 、生 賴度圖改變’可計算一新的信賴度興圖或一新的信 且不而要另-優先位置,程序600可分歧至任務645。 於任務645 ’可實施-詢問,以決定何時處理該晶圓。當需要 44 1351731 ί 理’程序_可分歧至任務65G,及#不需要晶圓處理,程 序600可分歧至任務685。 粒 =務65G’當至少-信賴度輿圖或—信賴度舆圖之至少一區 域洛於戎必要的界限内,則該晶圓可以被處理。於一 ΪΞΪ期,’ 2 1金屬鑲嵌製程實施後可以實施—第2金屬鑲 ^施—WTL處理。於其他實施例, 二貝鉍一 TFVL處理。一罝測處理可以在_第i金屬鑲嵌 :、中及/或後實施,及/或在一第2金屬鑲嵌製程之 、 貫施,及/或在2個金祕程之前、中及 中ϋ 需要一量測處理。 仪貝他,不 圖11Α為-簡化概要圖,說明依照本發明實施例之_術 3用職處理可包括一完全通孔處理,並且 如H1A3’該WTL處理議可包括—第1組微影步驟 :例如.,積、曝光、顯影、覆蓋(〇verlay},及量測 Γ 統i括一整合式量測模組’量測可藉由該1讀實 細且k擇性地,1測可使用一 CD SEM實施。 該微影步驟1102期間開發或得到之資訊 該蝕刻步驟1104。 乂則領UU3至 該VFTL處理1100尚能包括钱驟11〇4, 測步驟,視需要,可於該通孔 J潔步J ’以便從該晶圓移走處.視需=== 步驟之後及/或期間使用量測步驟Π10。 人’、 此外’該VFTL處理11〇〇可白杠.0 例如:沉積、曝光、^ 括·第組微影步驟1112, 該如糸統包括i合式制顧 : 選擇性地,量測可使用_ CD SEM實施。亥麵A ’且 45 1351731 於該第2組微影步驟1112期間發生及/或得到之資訊,可以前 饋1113至該溝渠独刻步驟1114。 VFTL處理1100尚能包括一第2組餘刻步驟ηι4,以姓刻一 或部*縣。量測步驟(細示)可視料搞溝渠侧步驟之 ί期間實施。其次,可實施清潔步驟1116,例如灰化及/或渴 步称,以便移走從該晶®移核理殘渣。量測步驟(未顯示) 可視需要使用於該清潔步驟之後及/或期間。 阳者,該雷L處理圏可包括額外_刻步驟1118,例如 =钱刻步驟,且IM量測112G可於鞠外的_步驟實施之後 =ΙΜ量測1120發生及/或得到之資訊,可以回饋1115仏 該溝回讀1117給該額外的餘刻步驟·。、·,。 通VtTL處理1100之中一個或一個 新的優先量測位置。該VPT〔處理二個H’ ^興圖及/或其他輿圖。例如,可使用舆=生量 圖且該新的優先量測位置可用於產生及/或更新一個
處理圖^:H—簡化枝目’ _錢本發日歸關之-TFVL 處理。於某些情形,該TFVL處理 ’W VL 且不使用一停止層。或者,可♦ 匕括·一完全溝渠處理, 或一個以上停止層。< A 为溝渠處理,並使用一個 曝光第1_步驟他,例如沉積、 合式量測模組“括-整 用一 CD SEM進行。 丄戶、鼽及選擇性地,量測可使 饋1153至該钱亥^步=52期間產生及/或得到之資訊,可以前 -亥TFVL處理lls〇尚能包括钱刻步驟⑽,以細完全或部 46 1351731 分溝渠。量測步驟115 6可視需要在該溝渠蝕刻步驟之後及/或期間 使用。於該蝕刻步驟1154及/或量測步驟ι156期間產生及/或得到 之資訊,可以回饋1157給該蝕刻步驟1154。其次,可使用清潔步 驟(未顯示),例如灰化及/或濕式清潔步驟,以便從該晶圓移走處 理殘渣。視需要,可於該清潔步驟之後及/或期間,使用量測步驟(未 顯示)。 此外,該TFVL處理1150可包括一第2組微影步驟1158,例 如沉積、曝光、顯影、覆蓋,及量測步驟。例如,當該微影系統 包括一整合式量測,模組量測可由該IMM實施,及選擇性地,量 測可使用一CD SEM.實施。 ' 於該第2組微影步驟1158期間發生及/或得到之資訊,可以前 饋1159至該通孔蝕刻步驟1160。 該TFVL處理1150尚能包括蝕刻步驟116〇,以於一溝渠 卜全部或部分通孔。視需要,可於該通·刻步驟 ,使用量測步驟(未顯示)。其次,可以實施清潔步 :杳料ΪΪ灰化及/或濕式清潔步驟’以便從該晶圓移走處理殘 ^)°。於該心步驟之後及/或顧,可視需要使用㈣步驟(未^ 阻隔HiTFVL處理115G可包括額外_辭驟_,例如 =钱刻步驟’且IM制1166可於該額外卿實施之後 於該IM量測1166期間發生及/或^^ ^ 給該通孔餘刻步驟116〇,並回饋1165έ4^= 了以回饋1167 於該TFVL處理⑽之一貝個個 1額上夕^刻步驟聰。 r 或使用新的優先制位置 〇 步驟,可以產生及/ 卜+戤,』 於該醫乙處理115〇之一個或一個以 上步驟,可使用量測期、_舆圖、Ί飞個以 3舆:及,或其他舆圖。例如,可使用舆圖::生ί:以 =圖且該新的優先量測位置可用於產生及/或更 47 1351731 ,12A-12C為-簡化的依照本發明之結果處理輿圖。於 金屬鑲歲製程實施之前、中,及/或之後,能產生—個或一個= 處理結果輿圖。於一實施例中,一處理《士果座 圖及/¾-虛理盥圖法二二^圖喊用一量測舆 或者…處理結杲棚可使用一處理模 圖12A顯示一簡化視圖,為在晶圓12〇〇a m〇A,包括··多數晶片/晶粒12舰、 1A_12A) ’及一參考側⑽A,其能指示一晶圓上之置—:=二 如圖12A所示,-處理結果朗可橫跨該完整的晶圓,
二要例t,「該「视1」值可代表橫跨該完整的晶t ^均勾的處理《。果。該「PR1」值可代表—經量測或模擬之值。或 不舄要對曰曰圓上所有该晶片/晶粒顯示處理結果資料。 圖12B顯示-簡化視圖,為在一晶圓12〇〇B上之另 ^圖’包括:多數晶片/晶粒12腦、12個量測位^ 1230Β(^ 1B-12B),及-參考側1240B,其能指示一晶圓上之一 凹=位置此外,顯示一弧線12〇1B,此線可用於在該晶圓12麵 上,立2個區域㈤㈣及腿的。該處理結果輿圖可使用「舰」 及PR2」值’分割為所不的2個不同區域’且可針對該不同區域 ,立?同之值及/或規則。例如’ 2個區域可用於說明介於中央區 ,及邊緣區域之間的處理相關差異。或者,具有不同形狀之不同 婁^目^區域可以建立於該處理結果翻,且㈣數目的處理結果 值可建立於該晶圓上不同位置。 圖12C顯不一簡化視圖,為一晶圓1200C上另一處理結果舆 圖122〇C ’包括·多數晶片/晶粒1210c、12個量測位置1230C(標 以1C-12C) ’及-參考側1240C,其能指示於一晶圓上之一凹口位 置。此外,2條弧線1201C及12〇2C被顯示,此等線可用於在該 晶圓1200C上建立3個區域(1205C、12〇6c,及12〇7Q。該處理 結果舆圖可以使用「謝」、「pR2」,及「爬」值分成所示的3個 不同區域,且可以針對該不同區域,建立不同的值及/或規則。例 48 1351731 如 Η固區域可用於說明在内的區域、中間區域及在外的區域間的 处相關差異。或者,具有不同形狀之不同數目的區域可以建立 於該處理結果輿圖,且不同數目的處理結果值可以在該晶圓上不 同位置建立。 於其他實施例’可使用不同數目的區域及/或不同形狀的區 特理腔室可具有可能影響該晶圓於某區域之信賴度 田當實施—雙重金屬鑲嵌製程,可使用一個或一個以上處理妗 果輿圖。一針對通孔蝕刻處理之處理結果舆圖,可包括:一通^ 開口之X及Y尺寸、該通孔開口以下之—個或—個以上層之層資 =一個或一個以上層之側壁角資料、該通孔之深度資訊:對 ^貝,孤立狀/巢狀龍,朋於將賴孔形狀定性之一歧 化形狀。 一 針對-溝渠侧處理之處聽果期, 資料、針對該溝渠深度之CD資料、針對該:開^ ίί二個以上層之層資訊、針對該—個或一個以上 料、孤立狀/巢狀資料,及用於將該溝渠 幵^/狀疋性之一些极型化形狀。 容忍值及/或界限可關連於該處理結果 或-個以上處理結果中可允許的變異 :針?:處理序列當中一個或-個以上處理建=因f ί處理:變ί賴度舆圖可能隨時間而變化’且可能回應於腔室清 及/或預、刻^理,控制策略可包括一個或一個以上興圖 式,其可_立以模型化該處理空間。於-實施例 中,可使用隨半控位置改變之預測方々 、、 於一情形中,v/VrA认分曰m、私式,例如(><卬)=取卬))。 、 外印)了專於該日日圓上於半徑位詈(V)之所穿卢採έ士 及乂⑽可寺於一與y_目關之處理參數(控制變數)。於[該』理)]空 49 1351731 .處理氣體流速掏至-修整量。例如,可使用 PR(rp) = |;A0[PR(rp)]n 其中’DV(rp)為一動態變數’ i盥 如2數&具有—正值、—負值及零值中至少之 J二叮 晰,該^階次多項式可被求解以決^於一實施 • 選擇性地,-反向方程式可藉由建立 該不同多項之係數而決定,多的多項式並找出 分中的處理變數(氣體流速)相=2 向處^空間之不同部 用一 N1次多項式。關處理結果(钱刻量)。例如,可使 DV(rp) = |;c [PR(rp)]m 為-要求m其可射彳讀物_ wrp;) 申至少之-。 數’具有一正值、一負值,及-零值之 f操作二個或及^,之形態’且此等控制器 擇性地,可建該 =指定給至少-步驟。選 ’ 於任務655,可會於叫^ :、/、中各術語被指定一參數值。 後何時執行後處物則金屬鑲絲程實施之 可分歧至任務660,者 田而要後處理I洌處理,則程序600 任務665。 田不而要後處理量測處理,程序600可分歧至 當由該處理系絲】Λ 料,其可用於決定㈣ ^^、’,處理系統可接收晶圓資 後爽里里都處理。例如,可使用晶圓 50 1351731 狀態資料。當該處理成孰, 每-晶圓進行該後處理:。3應=定’且不應需要對 熟,且該級^為,處理不成 於各種實施例,可執^理可被實施。 測處理配方。於-雙重金施=於建=後處理量 徵部之中,_,及孤立狀及/或巢狀溝渠特 1),於勝當雙重如,糊可送至—議刚(圖 使用0DP ‘ U屬鑲讀程已經於該晶圓上實施之後,可以 者,可使用一鬥里、=案化晶圓之該雙重金屬鑲截特徵部。或 ΐ測™不同的置測糸統。例如,可實施-而及/或』 ,用一個或一個以上舆圖應二式:且===上 置測輿圖。此外,可使用已儲存之量測興圖。I 戈個以上 圖。實施例之後處理量測舆圖的簡化視 Ξ非ΐί 顯示125個晶片/晶粒,但在此發明中 ^必要。或者,可顯示不同數目的晶片❻粒。此外, ίίί=ΐΓ對本發明並非必要。例如,該圓形晶圓可‘取 代為非m形基板,錢晶片/晶粒可能具有非圓形形狀。 圖13A顯示一簡化視圖,為一晶圓13〇〇A上之第 包括多數晶片/晶粒13·。行及列顯示為標以0 ^2之數以便說明。此外,12個晶片/晶粒133〇a(標以ια· 此#晶片/晶粒可用於定義該說明之後處理量測舆圖132〇α 測位置所在。此外,2條轉(·Α及13G2A)被顯示,此等= 用於在該晶圓1300Α上建立3個區域(1305Α、1306Α及1307Α)。 或者’具有不同形狀之不同數目的區域可以建立於 興圖1320A ’且不同數目的量酿置可以建立於該晶圓上不^位 51 1351731 =。當針對於一晶圓之一量測方案產生,一個或一個以上量 f可建立於—個或一個以上晶圓區域。例如,當產生該量測方尹立 里測不需要在所有於圖13Α所示之該量測位置1330Α進行。來’ 圖13Β顯示一簡化視圖,為一晶圓13〇〇Β之第2後處理息 ’、S 1320Β,其包括多數晶片/晶粒1310Β。行及列顯示為標以' 至,12之數以便說明。此外,12個晶片/晶粒1330Β標以 此等晶片/晶粒可用於定義該說明之後處理量測舆圖1320Β之哕旦 測位置所在。此外,2條弧線(13G1B及13G2B)被顯示,此等g 用於在該晶圓13〇〇b上建立3個區域(1305B、1306B,及13〇7的° 或者,具有不同形狀之不同數目的區域可建立於該後處理量邀 ,1320B,及不同數目的量測位置可建立於該晶圓上不同位了 當針對於一晶圓之一量測方案產生,一個或一個以上量測位^ 建立於一個或一個以上晶圓區域。例如,當產生該量測方案,I 測不需要在圖13Β所示之所有該量測位置1330Β進行。卞夏 、圖13C顯示一簡化視圖,為一晶圓i3〇〇c上之第3後产 測興圖1320C’包括多數晶片/晶粒i3i〇c。行及列顯示為標以^ 至^2之數以便說明。此外,個晶片/晶粒133〇c標以lc_uc, 此等晶片/晶粒可用於定義該說明之後處理量測輿圖丨32〇c之兮二 測位置所在。此外,2條弧線(13Q1C及㈣c)被顯示,= 用於在該晶圓1300C上建立3個區域(1305C、1306C,及1307(:)°。 或者,具有不同形狀之不同數目的區域,可以建立於該後户 測興圖132GC,且不同數目的量測位置可在該晶圓上不同=^ 建立。當針對一晶圓產生一後處理量測方案,一個或一個以上量 測位置可建立於一個或一個以上晶圓區域。例如,當產生該量^ 方案,量測不用在圖13C所示所有的該量測位置133〇(:進^^/ 二使用於一後處理量測方案之量测位置數目,可隨著該J造商 5亥處理現在產生高品質裝置且將繼續地產生高品質裝置更有俨心 而減少。或者,可使用其他後處理量測方案及/或其他量測位^。 一後處理量測方案可由一半導體製造商依據儲存於—歷史資 52 痛喊定。例如,—半導體商可能已歷史性地選擇 j j上的-些位置進行SEM量測’且希望_已制之資料從 .止^式量測設備關連到使用一 SEM設備量測之該資料。其 &商可能使用TEM及/或FIB資料。 當需要新的及/或額外的後處理量測資料,可在該 置進行光學制量測。於—實施例中,於一後處理 舰部,例如··週期性栅格、 ,可在如請·13C所示一_一個以丄量測:置 今眉二ttT 一晶圓上之該特徵部,可以為如圖5A_5G所示雙重 金屬鑲肷製程用之遮罩層。 更 〜ΐ後ΐ理量測處理可能費時且影響—處理系統之處理量。於 =—製造商希望使歸在量測—晶®之時間極小 t „_方案可視m定’且可依 “ 或方案。例如,-個或-個以上晶圓可能不量^ 次包__理制方案中之- -個sir种,於開發該半導體處理之期間,可產生一個或 可包括二5舆圖並儲存以備之後使用。-參考量測興圖 =圖:參考量測興圖可使用同組量測位置,可不需要—參考^ 例位署理Γΐ預測舆圖可包括可包括與在® i3A]3c所示量 測㈣,或者不需要—參考預測舆圖〔顆見、訂使用门組里 置不賴ΐ;” 13A-13C所示量測位 位置或者、:、灸,者’,考信賴度興圖可使用同組量測 议直,f不需要一參考信賴度輿圖。 人产或信賴度輿圖可包括:一個或一個以上適 σ又(OF)』、_個或一個以上栅格厚度舆圖、一個或一個以上 53 1351731 一個或一個以上臨界尺寸(CD)舆圖、一個或-個以上CD刀布輿圖、—個或一個以上材料相 、一彻 -個以上溝渠相關之舆圖、—個或—個 壁= :個以上差別的寬度興圖,或其組合。該前以圖尤 料料二量測旗標資料、量測位“i _=?不;=視圖,為一晶圓1400Α上之第1後處理預 /J/、圖1 20Α,其包括.多數晶片/晶粒141〇Α。行及 U之數以便說明。此外,12個晶片/晶粒Μ3〇α標以ΐΑ_^Α 等晶片/晶粒可祕絲綱讀處糊_目丨4 2 Q Α之該量 ,所在。此外’ 2條弧線(剛八及14〇2A)被顯示,此等線可用於 在该晶圓1400A上建立3個區域(14〇5A、14〇6八,及14〇7冉 者:一參考側1540A被顯示,其可指示一凹口位置。或者,具 不同形狀之不同數目的區域可建立於該後處理預測舆圖142〇八 上,且不同數目的量測位置可建立於該晶圓上不同位置。 、圖MB顯不一簡化視圖,為一晶圓1400B上之第2後處理預 測舆圖~1420B,包括多數晶片/晶粒14應。行及列標以〇至12之 數以便說明。此外,12個晶片/晶粒143〇B標以(1B_12B;),此等晶 片/晶粒可用於定義針對該說明之後處理預測舆圖〗4 2 〇B之該量測 位置所在。此外,2條弧線(1401B及1402B)被顯示,此等線可用 於在該晶圓1400B上建立3個區域(1405B、1406B,及1407B)。 再者,一參考側1440B被顯示,其可指示一凹口位置。或者,具 有不同开> 狀之不同數目的區域可建立於該後處理預測興圖 1420B,且不同數目的量測位置,可建立於該晶圓上不同位置。 圖14C顯示一簡化視圖,為一晶圓14〇〇c上之第3後處理預 測興圖1420C,包括:多數晶片/晶粒141〇c。行及列標以〇至12 之數以便說明。此外’ 12個晶片/晶粒〖430C標以(1C-12C)。此等 54 1351731 晶片/晶粒可用於定義針對該說明之後處理預測舆圖l42〇c之兮 量測位置所在。此外,2條弧線(1401C及1402C)被顯示,此等^ 可用於在該晶圓1400C上建立3個區域(14〇5C ' 1406C :及 1407C)。再者,一參考側1440C被顯示,其可指示—凹口位置。 或者,具有不同形狀之不同數目的區域可建立於該後處理預測盥 圖1420C上’及不同數目的量測位置,可建立於該晶圓上不同^立 於一些貫施例中 射 +去士曰Y —日门 (CUrVe_fltting)程序可被實施,以 測之·.上的該位置計算資料。或者,該預測舆圖可 使用表面推估、表面擬合麟,或其他數學技術決定。 ^圓產士預測舆圖,可於—個或—個以上晶圓區域建立Ί ^上,測位置’且此等量.置可用於提供該經制資料更 ==:]如,當產生該預測興圖’不必要在所有的該量測 -個或-舰上後處理絲式可使用來 該夏測位置之經量測資料決定。例如,— 之—組該量測位置的經量測^料決定二 此後處理方私式可被使用及域修改, =二算=:望式量。測“ 上後⑽ 及/或一經修改之版本,可使了 者,一後處理方程式 值。例如,該部分可包括—該晶圓—部分之 -後處理方程式可視需要 方向區域及/或象限。 測位置之經量測資料。當-後處理方&=或—個以上其他量 修改,可宣告一錯誤妝能。 私式無法被決定及/或適當地 或計算/預測值落於針對二兮s 一個或一個以上該量測值及/ 告-錯誤狀態。 該aa®建立之—均勻度界限之外,可宣 55 1351731 式產生之職,可傳送至該FDC純,該 該舆圖應Λΐϊί理祕應如何對該錯誤回應。其他錯誤可由 自於ϊί位後處理方程式可使用來 外祕二—貪料決^。—個或—個以上該額 圓上-ί或-本,可用於產生包括針對該晶 量測盥圖。該日日片/日日粒之計算/預測值的額外的後處理 改,可告一田^^卜能的後處理方^式不能決定及/或適當地被修 -供誤+ ®建之"'均句度界限之外,可宣告 該一個或—個以上額外的後處理方程式心或 括一個或一個以上半徑方向區域及/或象限。此外,-二其他興圖或者歷史資料’與針對該晶圓所 可被!^處^量測處理顧’―個或-個以上後處理信賴度與圖 後處理ί賴1^。15(:為—例示視圖,說明依照本發明實施例之 盥円H5A顯不一簡化視圖,為—晶圓15〇〇Α上之後處理作賴产 =,,該晶請0A包括多數晶片,晶粒15心 整的晶—後處理信賴度舆圖在橫跨該完 圓/、有均勻值「C1」。例如,u畜r 古「〇*! 代表針姆橫跨該完整晶圓 ^11 準。該佶「π 抖的預測值,為兩信賴度位 圖立之界限内。 =S:B),及一參考么==== 此外’弧線150叫皮顯示,此線可用於在該晶圓15_ 56 1351731 上建立2個區域(i5〇5B及1506B)。該信賴度舆圖可使用rCl」及 「C2」值分割成所示2個不同的區域,且能針對該不同的區域建 立不同的值及/或規則。例如,2個區域可用於說明一中央區域以 及一邊緣區域之差異或者,具有不同形狀之不同數目的區域可建 立於該新的後處理信賴度輿圖,及不同數目的信賴度值可 該晶圓上不同位置。 圖15C顯示一簡化視圖,為晶圓15〇〇c上另一後處理信賴产 舆圖1520C,包括多數晶片/晶粒1510C、:12個量測位置15300^® 以KM2Q,及-參考侧154〇c,其可以指示一晶圓上之一凹口(= 置。此外,2條弧線i501C及15〇2C被顯示,此等線可用於 日日圓l5〇OC上建立3個區域(i5〇5c、l5〇6C,及15〇7〇。哕德卢 理信賴度額可使用"Cl”、"C2”,及,,C3,,值分割為所示的^個^ 冋區域’且可針對該不同的區域,建立不同的值及/或規則。例如, 3個區域可用於說明在内的區域、巾間區域及在外的區域之差異。 街ί有不同形狀之不同數目的區域可建立於—新的後處理信 賴度輿圖,及獨數目的度值可建錄該晶圓上不同位置。 可祐Ϊί處2測ί理期間,一個或一個以上後處理信賴度輿圖 ΐ施例,可使用嫌目的區域及/或不同形狀 特=理腔室可具有可能影響該晶圓某些區域之信 播ΐίΓι度舆圖可介於2個後處理預測興圖之差異計算,或 ==算。例如,一製造商可能容許在該晶圓的: ί度=式及/_FDC _,可使贿務細以決定ί 當-預測輿圖之值接近於一均勻度界限,該信賴度值可能相 57 1351731 車父於一預測輿圖值未接近於均勻度界限時為低。 此外,可針對該晶圓之不同晶片/晶粒及/或不同區域,將該 J理仏,度值予以加權。—高鋪度權重可以指定給與該先前討 •細之一個或一個以上量測位置相關的計算及/或資料。 ,、老j外’與處理、结果舆圖及/或信賴度舆圖有關的一個或一個以 2 ,1使用於計异針對一晶圓之一後處理信賴度舆圖。例如, 來於-處理結果舆圖及/或一信賴度舆圖之值,可作為加權因子。 線擬合(curve姻ng)程序可被實施,以計算該晶圓上不 Hi?晶片/晶粒之信賴度資料及/或與其相關的預測資料。或 • 技術f度舆圖可使用表面推估、表面擬合技術,或其他數學 期間’可產生及/或修改不_型的信賴度興圖。-第 ;理信賴度觸可提供估計於該制資料巾之該信賴 。太it測邊完整的晶圓太久,故使用較小數目之量測位置,、 以日日幸乂夕位置或較大部分用於量測時得到的該資料。 期門理信賴度舆圖可提供於一雙重金屬鑲嵌製程 t 個以上處理的該信賴度評估。因為在處理 • ϊίϊίϊΐίίί®tBf^5 =重金屬鑲媒&顧貫施之該—個或—個以上處理已被正 3: 或該預測量測資料與該期望目標值 於減w 重金屬敎製程已經被正確地實施。 670,杂不需要-德卢優先位置,知序600可分歧至任務 , 理縣位置’酶序_可分歧至任務685。 昱小,及/¾合介;Λ先H 卜’當介於該預測舆圖之間的差 」及/h;丨於該後處理顧興圖及—參考量測興圖之差異 58 叫731 卜,不需要建立一新的優先位置。 則可t針對一特定處理,在後處理信賴度舆圖之值皆為古 此減J針對各晶圓之處理時間。-使用較^數目之里測位置, 當=信賴度輿圖中—個或—個以上區域之—個 2 ’可於該等區域建立—個或—個以上新的優先位置 ^量二,f域#介_後處理制興圖及二泉 ί域理=發及/或被修改,可針對該完整的晶圓或= 5 ·特定的半控方向區域,或-特絲限,⑼、Q2 Q3,或Q4),建立新的優先位置。 Q2、 =需要-優先位置’可以建立一個或一個以上 1600Α …、 匕括夕數日日片/日日粒161〇Α、3個新的後處理旦 12 163〇Α(^- 1Α^Α) ί- >考側1640A,其可以指示一晶圓上之—凹口位置。 JB 1600Α ^3(1Γ^αΖΑ)ί〇®Γ 圖有= 之===¾ 於該晶圓之-區域的信賴度值為低的,可於親域立^ -個以上優先位置作驗處理量職置。例如 ^ 所示在外“建= 圖16B顯示-簡化視圖,為一晶圓16_上之另 ^量測興® 1620B,包括多數晶片/晶粒16腿、3個新的後^ 1測位置1635B、前述12個量測位置163〇B(標以丨 參考側1640B,其可以指示一晶圓上之一凹口位置。 此外,2條弧線(1601B及16〇2B)被顯示,此等線可用於在該 59 1351731 晶圓1600B上建立3個區域⑽5B、祕B,及 具有不同形狀之不同數目的區域可建立於該 ^座 區域祕B的該信賴度佩,可如圖16 “S3 立-個或-她上新的優先位置1635B。 *射間£域建 ,16C顯7F-簡化視圖,為在一晶圓16〇〇(:上 處理量測輿圖1620C,包括多數曰以曰物、另新的後 理量測位置1635C 1?加曰數曰曰片/曰曰粒⑹叱、2個新的後處 理里職置1635C、12個罝測位置163〇c(標 考側1640C,其可以指示-晶圓上之-凹口位置。)及一夢 此外,2條弧線⑽lc及驗c)被顯示,此等線 晶圓1600C上建立3個區域(祕c、腦c,及16 。式^ J有之不同數目的區域可建立於該新的後處理^測興 域之信賴度值為⑽,可於該區域建Sit^ Ξ-的個該ί:值低'可如圖16C在該在内區域 域建^個或一個以上新的優先位置1635C。 方,ΪΪί:Ϊ’里優先位置’可產生—新的後處理量測配 =^新的配方可用於指示該量測設備於該—個.個以上優 仃撕的後處理量測。當該晶圓未位於該量測模組,該 -個Ξΐϊί可被儲存並可職使用於指示該量測模組該一個^ 於今一個^先f置進行量測。或者’當該晶圓位於該量測模組' 於茲個或—個以上新的優先位置之量測可以即時進行。 付署於2關中,該新的後處理優先位置可擇自於—組預設的 ’於處理開發及/或處理驗證過程’量測可能 奶個以上位置實施,对使用—個或—似上此等位置 ; s亥新的後處理優先位置可不選自於一组預設的位置。一 60 1351731
,後處理信賴度舆圖可為該經計算之後處理預測值中之該信 賴度量測,亦可為於驗量狀後處理麵巾之該 且後處理預測資料落於必要的規格内。 、1、J 當需要-新的後處理優纽置,可產生包括_新的後處理量 測配方的-新的控鄕略,且該新的配方可用於指示該量測 在該一個或一個以上優先位置進行額外的後處理量測。 該新的後處理優先位置可擇自於—组預設的位置。例如,於 設定及/或驗證過程,量測可能已在多於4G個位置進行,且或 ίΞΓΐ此f位置可被使用。或者,該新的後處理優先位置可以 不擇自於一組預設的位置。 於任務670,可實施-詢問,以決定何時使用該新的優先位置 1測该晶圓。當需要在該優先位置進行量測,程序咖可分歧至 H ’及當不需要在該優先位置進行量測,程序600可分歧至 任務685。 =玄^處理信賴度舆圖計算的同時,該晶圓位於 ,建立優歧置之該額外的量測可以極小量的延遲 該#紐舆圖之計算係在為®已離開該量測設備之後, 触tit例巾,#針對—優先位置之該量測㈣被產生,能 ㈡圖該資料比較。或者,當針對-優先位 f-ί:二枓ΐ產月匕夠儲存並於稍後與該後處理預測舆圖中 执^。§針對—優纽置之量測資料落於由晶圓均勻度規 才°建立之界限以外,可以宣告一錯誤狀態。 ㈣優先位置之量測資料接近二-特定預測興圖之值, 則_測侧可用在該敎位置附近_域。例如,當該 X,先位置位於該第1象限,且該一或多個量測值接近於 圖之中的一或多個值’則第1後處理預測興 61 例如,當該-個或—倘且月匕用於邊優先位置附近的區域。 一新的後處理多麵,則可產生 每當-後處理預測輿圖 ^ 圖或二新的後5理信賴度舆圖i分了l _後處_賴度輿 配方;:ϊ;ίί 3備=的後處理量測配方產生,該新的量測 進行量測例如,備在該—個或—個以上優先位置 晶圓。或者,該目,量測該次-晶圓或其他 處理量測配方可用於測設備内,且該新的後 圖或二的丄可新的後處理信賴度舆 於任_ _ ’或Q4),計算經平均之後處理預測舆圖。 另-=? 後處理資料建立 務,及,二 685 j如,當已鑑別一個或一個以上額外的優先位置,可於該二 個或-個M_L優纽置實施—後處理量赠理。 … ’可此實施—詢問以決定何時額外的晶圓需要處理。 當f二ίί’日日圓可以批或批次之形式被處理。當不需要 額外的日日圓處理’程序_可分歧至任務_ 圓處理,程序600可分歧至任務61〇。 而受韻外的日日 程序600可結束於690。 圖17A-17C為不同的處理方法,用於依照本發明實施 動態取樣。估算晶圓量測配方設定(針對量測之變數配應用 式,可以3種不_方法實施:該第i方法使用該量測分 62 UM731 $::/1二S)®、該* 2方法使用該設備處理控制系統 二):且該第3方法使用工廠主機。 产麻二’該說明的實施例中,個或—個以上動態取 可盘:二降里測分析系統藉由PAS控制器實施。於1A ’配 可、i日斤―起送到IM,且可使用ρι開始指令。於从, ^圖。於3^11至—PAS控制器,且可包括—選擇性晶圓 式。於4A,PAS控制器可呼叫一或多動態取樣(DS)應用程 於5 A,1 ^ λ ς 用程式可用於估算該晶圓舆圖位置位置調整。 = 數調整訊息至IM。於6A,謂 盤?、 先進處理控制(Advanced Pro㈣Co咖卜簡 送到IM :、且器實施。於1B,配方表可與晶圓情況一起 S 開始指令。於2B,該設備可傳送晶圓情況
^ °°且可包括一選擇性晶圓舆圖。於3Β,該APC
Ds ^4B - ^ ^ 哭可…Α·〇Ρ估"'該日日圓輿圖位置之位置調整。於5B ,該設備控制 iii數變數調整訊息。於6B,該設備控制^可 量測。°。3心至1Μ。於7Β,該1Μ可利用經修改的配方進行 樣應主’ -個或-個以上動態取 以與晶圓情況傳送至^且:表可 可傳送晶圓情況至—主機^ί用始t 2該設備
Ds庳用^ 田可呼1 一個或一個以上DS應用程式。於4C,、 制^h &式可用於估异該晶圓舆圖位置位置調整。於5C,主抑 ΐ:Ϊ^Γ^)可傳送變數調整訊息至該處理鄕。於6C Γ 送變數訊息至1Μ。於-,請可利用 63 1351731 復參照圖1,該控制器】2〇可佶田八 入狀態)及處理結果興圖(所望的狀態)量該^入的材料(輸 選擇,或計算-組處理參數,以 ,異,以預測、 從輸入狀態改㈣該所望的狀態。例如果’將該晶圓狀態 為配方之估雜,使胁處理參數可 估計值。 果興圖,並可用於更新該第i 該控制器120可依據一個或—個 -個以上處理模組特性舆圖,及 、-個或 該晶圓估算預測的狀態興圖,例如,Ϊ狀模型’針對 處理時間一起使用於估算巢狀 · *。卩蝕刻速率舆圖與 外,巢狀特徵部姓刻逮率舆_^^預1钱刻深度舆圖。此 徵部之預測_深度興圖,及::沉積算巢狀特 使用於估算針對旋轉塗覆及 之理時間—起 於決定針對-處理之㈣产儿積厚度興圖。 f圖。該必要的處理結果舆^包處理結果 量測資料舆圖之間的差異。所處t果舆^及該 :至r:;rf料比較。例如,ί所以果
壁角舆圖、-所望栅格厚度舆圖、度舆圖、—所望側 寬度興圖、—所望CD ϋ所職面積興圖、-所望CD 望修整量興圖、一所望差^的^二斤望特徵部分布輿圖、-所 —所望差別的寬度與Ϊ 4興圖、―所望均勻度舆圖,及 理變圖及/或資料,以使用處 r 賴度輿圖可以依據—個或一:以丄所=估算之處理信 理結果輿圖決定W卩对⑽if望處理結果舆圖及該實際處 :门侍到之一個或一個以上該後處理„衣矛王 中,該控制器120得到該必要的盥圖疋。於一情形 圖,及该控制器12〇使用—個 64 圖可峨狀態及該實際狀態之間的差 圖與一個或-個以上所望處量^實,理結果舆 理配方修正。例如,果綱進仃比較,域定對該處 部CD輿圖、:角盥V 舆圖可包括:上部CD舆圖、下 金,=個 個以上預測狀熊盥H;可彳于到針對該晶圓之一個或一 角舆圖,且可針GH: P CD翻、下部CD朗、側壁 域,^酬處理,及 及之後°例如卿更新可11由制監控晶圓之前 傷f特生母Ν處理小時之間隔更新。藉由隨時間更改設定, 巧查不_操作區域,該完整的操作空間可隨時確認,或者一 定運倾個監測關。該期更新可發生於該 i監^«及ϊΐϋ備,紅廠,允許該1縣㈣及/或管理 。亥控制态120可於處理序列之一個或一個以上的點更新舆 圖二。於一$形中,該控制器12〇可使用前饋資訊、模型化資訊y 及该回饋資訊,以決定是否要在運作該目前的晶圓之前、運作下 一晶圓之前,或運作下一批之前,改變一個或一個以上該目 用之舆圖。 當輿圖應用程式被實行時,舆圖之來源可能為重要的,且可 事先識別。例如,興圖可在外部產生,或產生於内部。外部產生 65 =圖可由該MES130提供。該内部產生的可使用計算值及 - GUI輪人赠立。此外,可提務酬以決跋用外 二斗的輿圖Ϊ一内部產生的興圖之時機。興圖在使用前,需先 ',二评估以及預先檢查合格。 人+雖述本發明之某一實施例,但是熟悉此項技藝之 =丄應瞭解在貫財偏離本發明之新驗就優關範圍内, 作許多修改。因此,所有此等修改意欲被包括於本發 明之範圍内。 明該ίϊ並不用於限制本發明,且敘述不意欲限制本發 之iif^發明組態'操作及動作,可作修飾及變更。綜言 範圍或意欲限定本發明’本發明之 【圖式簡單說明】 ϋ方’顯示依照本發明_實施例之處理系統; 處理=化的方塊® ’顯示依照本發明之—實施例之另一 統。圖3為-視圖例,顯示依照本發明之—實施例的光學量測系 處理^。4簡化的概要視圖,說明依照本發明之實施例之晶圓 重金賴化的流㈣,綱触本發日狀實施例之雙 作一賴,綱紐本發社實酬之用於操 量測If。巧㈣圖例’說明依照本發明之實施例之-前處理 理預%域_,綱舰本發日狀—實關之一前處 圖9A-9C為視圖例,說明依照本發明之實施例之一前處理信 66 1351731 賴度輿圖。 圖10A-10C為一視圖例,說明依照本發明之例之一新的 前處理量測輿圖。 ' 圖11A為一簡化的概要視圖,說明依照本 例之先 通孔後溝渠(VFTL)處理。 η μ 圖11B為一簡化的概要視圖 溝渠後通孔(TFVL)處理。 ’說明依照本發明之實施例之先
圖12A-12C為一簡化視圖 結果舆圖。 圖13A-13C為一簡化視圖 理量測舆圖。 圖14A-14C為一例示視圖 理預測舆圖。 圖15A-15C為一例示視圖 理信賴度興圖。 圖16A-16C為一例示視圖 處理量測輿圖。 顯示依照本發明實施例之一處理 ’顯示依照本發明實施例之一後處 ’顯示依照本發明實施例之一後處 ’顯示依照本發明實施例之一後處 ,顯示依照本發明實施例之新的後 圖17A-17C說明依照本發明實施例之用於實 同處理方法 施動態取樣的不
【主要元件符號說明】 Q1、Q2、Q3、Q4 象限 100 110 112 115 120 122 130 140 處理系統 處理設備 連結件 處理模組 控制器 連結件 製造設備系統(MES)(工廠系統;) 整合式量測模纽(IMM) 67 1351731
150 傳送系統 200 整合處理系統 210 處理系統 215 工廠界面控制器 220 群組層級(GL)控制器 225 設備層級控制器 230 量測分析控制器 235 量測模組 300 光學量測系統 310 量測系統 312 即時分量 314 非即時分量 320 光譜資料 330 iODP 庫 340 界面分量 342 顯示分量 344 儲存分量 401 輸入元件 402 晶圓狀態元件 403 晶圓狀態資訊 405 判斷元件 410 微影元件(微影單元、 412 處理7L件 412A 處理元件 412B 處理元件 414 烘烤單元 416 顯影單元 418 量測元件(量測單元、 420 掃描元件(掃描單元) 微影系統) 量測模組) 68 對準元件 曝光單元 第2晶圓狀態元件 控制器 資料 資料 資料 資訊 資訊 蝕刻系統 量測元件 钱刻處理元件 量測元件 控制器 清潔系統 量測元件 重複元件 程序 任務 任務 任務 任務 任務 任務 任務 任務 任務 任務 任務 69 1351731
660 665 670 675 685 690 700A 700B 700C 701A 701B 701C 702A 702B 702C 705A 705B 705C 706A 706B 706C 707A 707B 707C 710A 710B 710C 720A 720B 任務 任務 任務 任務 任務 任務 晶圓 晶圓 晶圓 弧線 弧線 弧線 孤線 弧線 弧線 區域 區域 區域 區域 區域 區域 區域 區域 區域 晶片/晶粒 晶片/晶粒 晶片/晶粒 前處理量測興圖 前處理量測興圖 1351731
720C 前處理量測舆圖 730A 晶片/晶粒 730B 晶片/晶粒(¾'測位置) 730C 晶片/晶粒(量測位置) 800A 晶圓 801B 晶圓 801C 弧線 801A 弧線 802B 弧線 802C 弧線 802A 孤線 805A 孤線 805B 區域 805C 區域 806A 弧線 806B 區域 806C 區域 807A 區域 807B 區域 807C '區域 820A 前處理預測興圖 820A 前處理預測興圖 820B 前處理預測舆圖 820C 前處理預測興圖___ 830A 晶片/晶粒 830B 晶片/晶粒 830C 晶片/晶粒 840A 參考側 840B 參考側 1351731
840C 參考側 900A 晶圓 900B 晶圓 900C 晶圓 901B 弧線 901C 弧線 902C 弧線 905B 區域 905C 區域 906B 區域 906C 區域 907C 區域 910A 晶片/晶粒 910B 晶片/晶粒 910C 晶片/晶粒 920A 前處理信賴度舆圖 920B 前處理信賴度興圖 920C 前處理信賴度輿圖 930A 量測位置 930B 量測位置 930C 量測位置 940A 參考側 940B 參考側 940C 參考側 1000A 晶圓 ΙΟΟΟΒ 晶圓 lOOOC 晶圓 1001A 弧線 1001B 弧線 72 1351731 1001C 弧線 1002A 弧線 1002B 弧線 1002C 弧線 1005A 區域 1005B 區域 1005C 區域 1006A 區域 1006B 區域 1006C 區域 1006B 區域 1007A 區域 1007B 區域 1007C 區域 1007C 區域 1010A 晶片/晶粒 1010B 晶片/晶粒 1010C 晶片/晶粒 1020A 前處理量測舆圖 1020B 前處理量測舆圖 1020C 前處理量測輿圖 1030A 量測位置 1030B 量測位置 1030C 量測位置 1035A 前處理量測位置(優先位置) 1035B 前處理量測位置 1035C 前處理量測位置(優先位置) 1040A 參考側 1040B 參考側 1351731 1040C 參考側 1100 VFTL 處理 1102 微影步驟 1103 前饋 1104 蝕刻步驟 1106 量測步驟 1108 清潔步驟 1110 量測步驟 1112 第2組微影步驟
1113 前饋 1114 溝渠蝕刻步驟(第2組蝕刻步驟) 1115 回饋 1116 清潔步驟 1117 回饋 1118 蝕刻步驟 1120 IM量測 1150 TFVL 處理 1152 第1組微影步驟 1153 前饋 1154 蝕刻步驟 1154 蝕刻步驟 1156 量測步驟 1157 回饋 1158 第2組微影步驟 1159 前饋 1160 通孔蝕刻步驟 1162 清潔步驟 1164 蝕刻步驟 1165 回饋 74 1351731
1166 IM量測 1167 回饋 1200A 晶圓 1200B 晶圓 1200B 晶圓 1200C 晶圓 1201B 弧線 1201C 弧線 1202C 孤線 1205B 區域 1205C 區域 1206B 區域 1206C 區域 1207C 區域 1210A 晶片/晶粒 1210B 晶片/晶粒 1210C 晶片/晶粒 1220A 結果舆圖 1220B 處理結果輿圖 1220C 處理結果舆圖 1230A 量測位置 1230B 量測位置 1230C 量測位置 1240A 參考側 1240B 參考側 1240C 參考側 1300A 晶圓 1300B 晶圓 1301A 弧線 75 1351731
1301C 弧線 1301B 弧線 1302A 弧線 1302C 弧線 1302B 弧線 1305A 區域 1305B 區域 1305C 區域 1306A 區域 1306B 區域 1306C 區域 1307A 區域 1307B 區域 1307C 區域 1310A 晶片/晶粒 1310B 晶片/晶粒 1310C 晶片/晶粒 1320A 後處理量測興圖 1320B 後處理量測輿圖 1320C 後處理量測興圖 1330A 晶片/晶粒(置測位置) 1330B 晶片/晶粒(置測位置) 1330C 晶片/晶粒(1測位置) 1400A 晶圓 1400B 晶圓 1400C 晶圓 1401A 孤線 1401B 弧線 1401C 弧線 76 1351731 1402A 弧線 1402B 1402C 1405A 弓瓜線 弧線 區域 1405B 區域 1405C 區域 1406A 區域 1406B 區域 1406C 區域 1407A 區域 1407B 區域 1407C 區域 1410A 晶片/晶粒 1410B 晶片/晶粒 1410C 1420A 1420B 1420C 晶片/晶粒 後處理預測輿圖 後處理預測舆圖 後處理預測興圖 1430A 晶片/晶粒 1430B 晶片/晶粒 1430C 1440B 1440C 1500A 晶片/晶粒 參考側 參考側 晶圓 1500B 晶圓 * 1500C 1501B 晶圓 孤線 1501C 1502C 弧線 弧線 77 1351731 1505B 區域 1505C 區域 1506B 區域 1506C 區域 1507C 區域 1510A 晶片/晶粒 1510B 晶片/晶粒 1510C 晶片/晶粒 1520A 後處理信賴度興圖 1520B 後處理信賴度輿圖 1520C 後處理信賴度興圖 1530A 量測位置 1530B 量測位置 1530C 量測位置 1540A 參考侧 1540B 參考側 1540C 參考側 1600A 晶圓 1600B 晶圓 1600C 晶圓 1601A 弧線 1601B 弧線 1601C 弧線 1602A 弧線 1602B 弧線 1602C 弧線 1605A 區域 1605A 區域 1605B 區域 78 1351731 1605C 區域 1606A 區域 1606B 區域 1606C 區域 1606B 區域 1607A 區域 1607B 區域 1607C 區域 1607C 區域 1610 A 晶片/晶粒 1610B 晶片/晶粒 1610C 晶片/晶粒 1620A 後處理量測舆圖 1620B 後處理量測興圖 1620C 後處理量測輿圖 1630A 量測位置 1630B 量測位置 1630C 量測位置 1635A 後處理量測位置(優先位置) 1635B 後處理量測位置(優先位置) 1635C 後處理量測位置(優先位置) 1640A 參考側 1640B 參考側 1640C 參考側 79

Claims (1)

  1. 十、申請專利範圍: 1. 一種用於監控雙重金屬鑲嵌製程之方法, 一此圓’其中該晶圓包含多數晶粒㈣及 屬鑲散層,且於至少-量測位置具有—週:3一圖案化的金 針對一金屬鑲嵌製程,計算一前處理作 信賴針對該晶圓上一組晶粒之‘賴以;該-處理 全屬it處理信賴度翻中—個或—細上之值未落於針射 立一擴張的前處理量測配方;其中,屬S製程’建 量測位置數;及 而"加針對該金屬鑲嵌製程之 屬鑲二個ί:個以上之值落於針對該金 一減縮的前處理量測配方;复中,_由十=金屬鑲嵌製程,建立 除至少一非優先前處理量測位^該金屬鑲嵌製程消 量測位置數。 而減夕針對該金屬鑲嵌製程之 包含!®第1項之’監控雙重金屬職触之方法,更 前處圓藉由針對該金屬做製程之該擴張的 處理蔓:;,資料產生針對該金屬鑲输之-擴張的前 前處理量^礙料’储存針_金屬職製程之擴張的 3.如申請專利範圍 更 包含以下步驟: 、用於‘控雙重金屬鑲嵌製程之方法, 該金屬鑲嵌製程之該減縮的前處理量測配方·另 屬鑲:輿圖中-個或-個以上之值落於針‘八 、L之L賴度極限内,則實施該金屬鑲嵌製程。W主 1 # 3金聽錢程之方法,更 該第2前處理信之-第2前處理信賴度輿圖, 賴度資料;員度”圖包括針對該晶圓上一第2組晶粒之該信 當該第2前處理信賴廑盅 程建立=¾ 方,其中藉峨 鑲嵌製程之制位置處⑪耻置,增加針對該第2金屬 Μ ΐΐϊ 2前處理信賴度舆圖中-個戋-個以w〜 5亥弟2金屬鑲嵌製程建立 惘次個以上之值洛於針對 嵌製程建立-減縮的前處理針對該第2金屬鑲 金屬鑲嵌製程之至少一非優奏&态,、中轉由建立消除該第2 金屬鑲嵌製程之量測位置數。則处理量測位置,減少針對該第2 5.如申請專利範圍第4項之 包含以下步驟: 控雙重金屬鑲嵌製程之方法,更 當需要新的量測資料,藉由 ▲ ^ 張的前處理量測配方量測該^圓叶對該第2金屬鑲嵌製程之該擴 當不需要新的量測資料,儲 張的前處理量測配方。 子針對該第2金屬鑲嵌製程之擴 6.如申請專利範圍第4項之用於陡 包含以下步驟·· 、视控雙重金屬鑲嵌製程之方法,更 及儲存針對該第2金屬鑲嵌製程之該減縮的珂處理量測配方; 命楚=5玄第2前處理信賴度輿圖中一個或〆個以上之值落於針對 ^製程金屬鑲嵌製程建立之信賴度極限内,則實施該第2金屬鑲 範圍第6項之用於監控雙重金屬镶嵌製程之方法,更 算針對一第2金屬鑲嵌製程之一第2後處理信賴度蛊® 處理信賴度興圖包括針對該晶圓上一第3组晶粒以度 對該輿圖中-個或-個以上之值未落於針 =製程建立—擴張的後處 2金屬 鑲嵌J程之量測。處理一位置’增加針對該第2金屬 續笛第i後處理信賴度興圖中一個或—個以卜少 ϊ掣rif鑲ΐ製程建立之信賴度極限内,則針對哕第ίίΐ對 製程之至少—非優先後處^測2由,立消除該第2 金屬鑲嵌製程之量測位置數。 ,減少針對該第2 =申清專利範圍第7項之用於監控雙重金 包含以下步驟: 屬鑲敗製程之方法,更 f需要新的量測資料’藉由針對該第2 張的,處理量測配方量測該晶圓; 金屬銕嵌製程之該擴 當不需要新的量測資料,儲存針對 張的後處理量測配方。 2金屬鑲嵌製程之擴 82 1351731 乾圍第7項之用於監控雙重金屬鑲嵌製程之方法,更 對該第2金屬鑲嵌製程之該減縮的後處理量測配方; β楚^ "it2後處理信賴度舆圖中一個或一個以上之值落於針對 第 1保持程建立之信賴度極限内’則將該晶圓遞送到一 料後處理_度期巾-鐵—個以上之值未落於斜 -ΐ 2保in嵌縣建立之信驗極_,觸該晶圓遞送到 法 圍第3項之用於監控雙重金屬镶後製程之方 信賴㈡=賴度舆圖,該後處理 當該後處理:二8圖龄 =的製;;=二 :置=先後處理量測位置:=== 當該前處理信賴度舆圖中一個或一 -減細的後處•泰方’其中藉㈣除針對於 = 之量 測位置數 11·如申請專纖圍帛1G項之用於監控 法,更包含以下步驟: 金屬鑲肷衣耘之方 當需要新的量測資料,藉由針對該令^ 後處理量灌方量測㈣® ;及從—製程之該擴張的 83 後處dti的量測資料’儲存針對該金屬鑲嵌製程之擴張的 更包含以1G項之用於監控雙重金屬鑲嵌製程之方法 當該後後處理量測配方;及 和入衣1建立之信賴度極限内,則實施一第2金屬鎮甘=程亥。至 S"0更申12歡祕監㈣重金屬敎製程之方 處理金屬鑲嵌製程之—後處理信賴度輿圖,該後 當·括針對該晶圓上一第3級晶粒之信賴度資料; 製程建立彳5賴度極限内’麟對該第2金屬鑲嵌 嵌製程處理量測位置,增加針對該第2金屬鑲 2金屬田銲③::員度輿圖中-個或-個以上之值落於針對該第 建立一、、建之信賴度極限内,則針對該第2金屬鑲嵌製程 後處理量測配方,其帽由建立消除該第2金屬鑲 嵌;;之量先後處理量測位置’減少針對該第2金屬鑲 ^如^?糊範_ 13項之祕監控雙重金屬触製程之方 法,更包含以下步驟: 要新的量測¥料’藉由針對該第2金屬鑲嵌製程之該擴 張的ί處;量測配方量測該晶圓;及 當不需要新的量測資料,儲存針對該第2金屬鑲嵌製程之擴 84 1351731 張的後處理量測配方。 15. 如申請專利範圍第14項之用於監控雙重金屬鑲嵌製程之方 法,更包含以下步驟: 儲存針對該第2金屬鑲嵌製程之該減縮的後處理量測配方; 當該後處理信賴度舆圖中一個或一個以上之值落於針對該第 2金屬鑲嵌製程建立之信賴度極限内,則將該晶圓遞送到一第1 保持區域,及 當該後處理信賴度輿圖中一個或一個以上之值未落於針對該 第2金屬鑲嵌製程建立之信賴度極限内,則將該晶圓遞送到一第2 保持區域。 16. 如申請專利範圍第1項之用於監控雙重金屬鑲嵌製程之方法, 其中該前處理信賴度舆圖之計算,更包含以下步驟: 計算針對該晶圓之一前處理預測舆圖,其中該前處理預測舆 圖係藉由一前處理輿圖、一後處理舆圖、一參考舆圖、一處理舆 圖,一處理結果興圖或一歷史輿圖,或其2種或更多種之組合而 決定; 計算針對該金屬鑲嵌製程之該前處理信賴度舆圖,該前處理 信賴度舆圖包括針對該晶圓上一第1組晶粒之信賴度資料,其中 該信賴度輿圖藉由用一介於該前處理預測輿圖及歷史資料之間的 差異而決定。 17. 如申請專利範圍第1項之用於監控雙重金屬鑲嵌製程之方法, 其中該前處理信賴度輿圖之計算更包含以下步驟: 計算該第1前處理信賴度舆圖,此係藉由一前處理舆圖、一 後處理舆圖、一參考輿圖、一處理輿圖、一處理結果舆圖或一歷 史興圖,或其2種或更多種之組合為之。 85 1351731 is.,申請專概圍第丨項之用於監 其中^前處理信賴度輿圖之計算更包含以下H减A之方法, ,由針對該晶圓上至少—量測位置之 該晶?一第1區域之-前處理量測輿圖;’、貝厂’生針對 該晶域之㈣處理量職圖,而計算針對 對該晶圓第i區域中ff處理預測興圖包括斜 鳙 藉由介於該前處理預圖’此係 屬鑲嵌製程之方法, 群7項之用於監贿4金屬職做之方法, 包含第2金屬1 紐製程之該後處理信賴度興圖,更 圖係之―後處理預_®,其中該後處理預測邀 l了處理結果興圖或—歷_,或其2種ί更Ϊ種之f且= 處理戶第2金屬鑲|製程之該後處理信賴度輿圖,令後 料ί=ϊ包括針對該晶圓上之-第2組晶粒的 歷^ίίίί=圖係藉由-介於該後處理預測舆J 包含 以下步騾 S6 a十异έ亥後處理信賴度座闻 如 理興圖、-參考輿圖—’此健由—前處理舆圖 圖 後處 Ϊ其種處理结果興圖ί-歷ίϊ 27監控雙重金魏錢程之方法, 包含以下步驟:κ 土屬鑲敗製程之該錢理信賴度輿圖,更 藉由針對該晶圓上至少_旦、目丨丨Α罢+ y Θ 對該晶圓之第i區域之一後處;=,爛資料,而產生斜 該晶域之該贼理動j綱,而計算針對 對該fin處理預測額,後處理_興圖包括針 蕤:十ί針對該第2金屬鑲嵌製程之該後處理i賴;1$’,此係 藉由—介於職㈣酬侧及社此係 監控ί重金屬鑲嵌製程之方法 圍第1項之用於監控雙重金屬鑲嵌製程之方法, 射法於=::ί=置’量測該週期性結構,此係藉由偏光反 技術^ r ]儀、反射法,及/或光學數位輪廓量測(咖) 晶粒針近於該量測位置中至少之一的至少—第1組 屬鑲嵌製程之方法, 項繼纖重金 87 ⑶ 1731 於該晶圓上一刻晝線,配置至少一該量測位置。 26. 如申請專利範圍第1項之用於監控雙重金屬鑲嵌製程之方法, 其中與該晶圓上該第1組晶粒關連的信賴度值,高於針對該晶圓 上其他晶粒之信賴度值。 27. 如申請專利範圍第1項之用於監控雙重金屬鑲嵌製程之方法, 其中針對於該晶圓之該前處理信賴度舆圖,係於一量測模組接到 該晶圓時即時地產生。 28·如申請專利範圍第1項之用於監控雙重金屬鑲嵌製程之方法, 其中該晶圓資料包括:臨界尺寸(CD)資料、深度資料、側壁資料、 側壁角資料'厚度資料、材料資料、溝渠資料、通孔資料,或η 及k值資料’或其2種或更多種的任意組合。 29·如申請專利範圍第i項之用於監控犛重会屬鑪盎盤裎之方法,
    且該 ΊΓί rL· ^ =*= ^ . ^ ^
    32.如申請專利範圍第31 、圖、一第2前處理預測興圖或一經平 •個或一個以上均勻度界限進行比較。 項之用於監控雙重金屬鑲嵌製程之方 88 1351731 法,更包含以下步驟: 藉由一第1前處理方程式以計算針對該晶圓之該第1前處理 預測輿圖,該第1前處理方程式藉由來自於位於一第1方向之2 個或2個以上量測位置的量測資料而決定;及 藉由一第2前處理方程式以計算針對該晶圓之該第1前處理 預測興圖,該第2前處理方程式藉由來自於位於一第2方向之2 個或2個以上量測位置的量測資料而決定。 33.如申請專利範圍第31項之用於監控雙重金屬鑲嵌製程之方 法,更包含以下步驟: 藉由一第1前處理表面,以計算針對該晶圓之該第1前處理 預測興圖,該第1前處理表面係藉由來自位於一第1半徑方向之2 個或2個以上量測位置之量測資料而決定;及 藉由一第2前處理表面,以計算針對該晶圓之該第2前處理 預測舆圖,該第2前處理表面係藉由來自位於一第2半徑方向之2 個或2個以上量測位置之量測資料而決定。 十一、圖式:
    89
TW096110722A 2006-03-28 2007-03-28 Dynamic metrology sampling for a dual damascene pr TWI351731B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/390,412 US7502709B2 (en) 2006-03-28 2006-03-28 Dynamic metrology sampling for a dual damascene process

Publications (2)

Publication Number Publication Date
TW200811976A TW200811976A (en) 2008-03-01
TWI351731B true TWI351731B (en) 2011-11-01

Family

ID=38559635

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096110722A TWI351731B (en) 2006-03-28 2007-03-28 Dynamic metrology sampling for a dual damascene pr

Country Status (3)

Country Link
US (1) US7502709B2 (zh)
TW (1) TWI351731B (zh)
WO (1) WO2007117736A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10394683B2 (en) 2016-04-15 2019-08-27 Canon Kabushiki Kaisha Data transmission method, non-transitory storage medium, data transmission device, lithography apparatus, and method of manufacturing product

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7324193B2 (en) * 2006-03-30 2008-01-29 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7623978B2 (en) * 2006-03-30 2009-11-24 Tokyo Electron Limited Damage assessment of a wafer using optical metrology
TWI315054B (en) * 2006-05-10 2009-09-21 Nat Cheng Kung Universit Method for evaluating reliance level of a virtual metrology system in product manufacturing
US8145337B2 (en) * 2007-05-04 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology to enable wafer result prediction of semiconductor wafer batch processing equipment
TWI338916B (en) * 2007-06-08 2011-03-11 Univ Nat Cheng Kung Dual-phase virtual metrology method
US7962234B2 (en) * 2008-06-09 2011-06-14 International Business Machines Corporation Multidimensional process window optimization in semiconductor manufacturing
NL2003919A (en) * 2008-12-24 2010-06-28 Asml Netherlands Bv An optimization method and a lithographic cell.
US8954184B2 (en) * 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
KR102003326B1 (ko) * 2011-08-01 2019-07-24 노바 메주어링 인스트루먼츠 엘티디. 패턴처리 구조의 측정치 확인용 모니터링 시스템 및 방법
WO2013028196A1 (en) 2011-08-25 2013-02-28 Alliance For Sustainable Energy, Llc On-line, continuous monitoring in solar cell and fuel cell manufacturing using spectral reflectance imaging
US20130226330A1 (en) * 2012-02-24 2013-08-29 Alliance For Sustainable Energy, Llc Optical techniques for monitoring continuous manufacturing of proton exchange membrane fuel cell components
JP6239294B2 (ja) * 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
US10885002B2 (en) * 2013-10-14 2021-01-05 Aveva Software, Llc Recipe management system
JP6310866B2 (ja) * 2015-01-30 2018-04-11 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法並びに解析方法
US9824940B2 (en) * 2015-09-30 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Intelligent metrology based on module knowledge
US9977325B2 (en) * 2015-10-20 2018-05-22 International Business Machines Corporation Modifying design layer of integrated circuit (IC)
US10707107B2 (en) * 2015-12-16 2020-07-07 Kla-Tencor Corporation Adaptive alignment methods and systems
US10480935B2 (en) 2016-12-02 2019-11-19 Alliance For Sustainable Energy, Llc Thickness mapping using multispectral imaging
JP6875224B2 (ja) 2017-08-08 2021-05-19 株式会社日立ハイテク プラズマ処理装置及び半導体装置製造システム
US20230012173A1 (en) * 2021-07-08 2023-01-12 Hitachi High-Tech Corporation Process recipe search apparatus, etching recipe search method and semiconductor device manufacturing system

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6881665B1 (en) * 2000-08-09 2005-04-19 Advanced Micro Devices, Inc. Depth of focus (DOF) for trench-first-via-last (TFVL) damascene processing with hard mask and low viscosity photoresist
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7069104B2 (en) 2002-04-30 2006-06-27 Canon Kabushiki Kaisha Management system, management apparatus, management method, and device manufacturing method
US6804005B2 (en) * 2002-05-02 2004-10-12 Timbre Technologies, Inc. Overlay measurements using zero-order cross polarization measurements
AU2003274370A1 (en) 2002-06-07 2003-12-22 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
CN100407215C (zh) 2002-09-30 2008-07-30 东京毅力科创株式会社 用于监视和控制半导体生产过程的方法和装置
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7158851B2 (en) 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
US7289864B2 (en) 2004-07-12 2007-10-30 International Business Machines Corporation Feature dimension deviation correction system, method and program product
US7451011B2 (en) 2004-08-27 2008-11-11 Tokyo Electron Limited Process control using physical modules and virtual modules
US7212878B2 (en) 2004-08-27 2007-05-01 Tokyo Electron Limited Wafer-to-wafer control using virtual modules
US7209798B2 (en) 2004-09-20 2007-04-24 Tokyo Electron Limited Iso/nested cascading trim control with model feedback updates

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10394683B2 (en) 2016-04-15 2019-08-27 Canon Kabushiki Kaisha Data transmission method, non-transitory storage medium, data transmission device, lithography apparatus, and method of manufacturing product

Also Published As

Publication number Publication date
TW200811976A (en) 2008-03-01
WO2007117736A3 (en) 2007-11-29
US7502709B2 (en) 2009-03-10
US20070231930A1 (en) 2007-10-04
WO2007117736A2 (en) 2007-10-18

Similar Documents

Publication Publication Date Title
TWI351731B (en) Dynamic metrology sampling for a dual damascene pr
TWI351716B (en) Dynamic metrology sampling with wafer uniformity c
TWI357120B (en) Damage assessment of a wafer using optical metrolo
TWI342595B (en) Measuring a damaged structure formed on a wafer using optical metrology
TWI393169B (zh) 施行晶圓均勻度控制之動態量測取樣
TWI304613B (en) Iso/nested cascading trim control with model feedback updates
TWI363281B (en) Method and apparatus for optimizing a gate channel
TWI364808B (en) Creating a library for measuring a damaged structure formed on a wafer using optical metrology
US7292906B2 (en) Formula-based run-to-run control
JP4723513B2 (ja) 化学的酸化物除去(ChemicalOxideRemoval)システムの操作方法
US6829056B1 (en) Monitoring dimensions of features at different locations in the processing of substrates
TW201005568A (en) Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
JP5808347B2 (ja) プロセスツールの補正値を与える方法及びシステム
TW200405184A (en) Characterization and reduction of variation for integrated circuits
TWI300182B (en) An iso/nested controlling method for soft mask processing applied in operating a semiconductor processing system and a computer readable medium recording relevant instructions
KR20130095262A (ko) 프로세스 툴 정정치를 제공하기 위한 방법 및 시스템
US20070229806A1 (en) Measuring a damaged structure formed on a wafer using optical metrology
TWI658337B (zh) 改變蝕刻參數的方法及電腦程式產品
KR20160142354A (ko) 마스크들을 위한 고밀도 레지스트레이션 맵들을 생성하기 위한 방법, 시스템 및 컴퓨터 프로그램 제품
Travaly et al. On a more accurate assessment of scaled copper/low-k interconnects performance
Frommer et al. Overlay mark performance: a simulation study
Lin et al. Design space exploration for minimizing multi-project wafer production cost
Choi et al. The optimization of CD uniformity and measurement on mask and wafer

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees