TW200405184A - Characterization and reduction of variation for integrated circuits - Google Patents

Characterization and reduction of variation for integrated circuits Download PDF

Info

Publication number
TW200405184A
TW200405184A TW092114879A TW92114879A TW200405184A TW 200405184 A TW200405184 A TW 200405184A TW 092114879 A TW092114879 A TW 092114879A TW 92114879 A TW92114879 A TW 92114879A TW 200405184 A TW200405184 A TW 200405184A
Authority
TW
Taiwan
Prior art keywords
scope
item
patent application
dummy
pattern
Prior art date
Application number
TW092114879A
Other languages
Chinese (zh)
Other versions
TWI306567B (en
Inventor
Taber H Smith
David White
Wikas Mehrotra
Original Assignee
Praesagus Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/164,847 external-priority patent/US7152215B2/en
Priority claimed from US10/165,214 external-priority patent/US7393755B2/en
Priority claimed from US10/164,842 external-priority patent/US20030229875A1/en
Priority claimed from US10/164,844 external-priority patent/US7124386B2/en
Application filed by Praesagus Inc filed Critical Praesagus Inc
Publication of TW200405184A publication Critical patent/TW200405184A/en
Application granted granted Critical
Publication of TWI306567B publication Critical patent/TWI306567B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

A method and system are described to reduce process variation as a result of the semiconductor processing of films in integrated circuit manufacturing processes. The described methods use process variation and electrical impact to modify the design and manufacture of integrated circuits.

Description

200405184200405184

發明所屬之技術領域·· 本發明係有關於_種積體電路之特性分析 性。在積體電路之製造中,佈局圖案(如材料密:低.楚異 寬、與線間距)之變異會影響内連線層之厚度均ς線 陷(dishing )以及侵蝕(er〇si〇n )等問題。、碟 面通常會影響後續製程能力與製程整合。本發明=的表 置上之結構位置以電容與電阻之變異而影響裝置之特2袭 先前技術: 為幾類Technical field to which the invention belongs ... The present invention relates to the analysis of characteristics of various integrated circuits. In the manufacture of integrated circuits, variations in layout patterns (such as material density: low. Chu different width, and line spacing) will affect the thickness of the interconnect layer, line fishing (dishing), and erosion (eroso). )And other issues. The disk surface usually affects subsequent process capabilities and process integration. The structure position on the table of the present invention affects the characteristics of the device by the variation of capacitance and resistance. Previous technology: For several categories

馨在化學機械式研磨(CMP)中薄膜厚度可區分 組成:批對批(lot-to-lot )、晶圓對晶圓 (wafer_to-wafer)、晶圓層級對晶粒層級 (wafer-level to die_level ) 〇CMp 造成之氧化戶 變f主因來自於晶片上佈局圖案的差異。通常最明曰顯予的又组 成是圖案或晶粒層級的組成。一般而言,在平括化曰片上 所有區域後才會開始研磨氧化層。然而,即使利用^?可 區域性地將氧化層平坦化,下層金屬圖案之 後續CMP氧化層厚度。 ” u曰〜曰Xin's film thickness can be distinguished in chemical mechanical polishing (CMP): lot-to-lot, wafer-to-wafer, wafer-level to wafer-level to die_level) 〇CMp caused the main reason for the change of the user f comes from the difference in layout patterns on the wafer. Often the most obvious is the composition of the pattern or grain level. In general, the oxide layer does not begin to grind until all areas on the wafer have been flattened. However, even if the oxide layer can be planarized regionally, the subsequent CMP oxide layer thickness of the underlying metal pattern. U said ~

對研磨氧化層而言,晶粒圖案之密度為發生變異之主 3。全區域面積中氧化層升高面積所佔之比率定義為圖案 密度。此區域可為如平坦化長度為正方之區域。平坦化長 度通常由製程參數來決定,如研磨塾之型式、CMP工具、 研磨液(s lurry )之化學成分等。利用過濾(f i i ter )已 設計過的佈局密度可對晶粒上每—區域計算出有效的圖案For the abrasive oxide layer, the density of the grain pattern is the main variation 3. The ratio of the rising area of the oxide layer in the entire area is defined as the pattern density. This area may be, for example, a flattened area. The flattening length is usually determined by process parameters, such as the type of grinding mill, CMP tools, and chemical composition of the slurry. Using filter (f i i ter) the designed layout density can calculate an effective pattern for each area on the die

200405184 五、發明說明(2) 密度,一般會利用各類二維密度濾波器對欲分析區域進行 分析。 對溝槽結構(damascene)之CMP金屬研磨製程而言, 仍會有其他的物理佈局效應,如線寬與線間距。碟陷與侵 蝕兩種效應會在金屬溝槽結構之CMP時發生。導線之邊緣 與中心之金屬厚度差異可量測得碟陷現象。金屬導線上方 氧化層之厚度差異則定義為侵蝕現象,尤其是鄰近未圖案 化區域之量測型導線中。第2 A圖顯示氧化區4中具銅特徵 1、2與對應晶圓表面3之溝槽製程。第2B圖則顯示溝槽CMP _程中佈局圖案對金屬層厚度變化之效應,其中預期之晶 圓表面5與實際的晶圓表面6並不吻合。第2B圖中顯示在細 間距導線(fine pitch line)陣列中銅CMP所形成之碟陷 7與侵蝕8之效應。此圖顯示在溝槽製程中除圖案密度外, 還需預測其他物理性佈局參數之變異情形。 加入虛設填入結構(dummy fill structure)可改進 製程之平坦度。因金屬圖案密度之定義為區域面積中金屬 所佔之比率,故增加金屬的虛設填入結構可增加圖案之密 度。相反地’加入虛設的氧化層可移除部分的銅導線, j低圖案密度。此填入之操作亦可改變如線寬盥線門篝 m他參數。若虛設金屬層置入兩平行導線之間:: 兩導線之線間距。若以額外的虛設填入結構調整 ^又支 局,物理性參數,例如圖案密度、線寬、以:子佈 被改變。因CMP所形成之不平坦金屬或氧化層厚間距則會 物理性參數而變化,不論加入或移除金屬比又依此類 增S會改變佈局200405184 V. Description of the invention (2) Density, generally use various two-dimensional density filters to analyze the area to be analyzed. For the CMP metal polishing process of the trench structure (damascene), there are still other physical layout effects, such as line width and line spacing. Both dishing and erosion effects occur during the CMP of metal trench structures. The difference in metal thickness between the edge of the wire and the center can be measured to measure the dishing phenomenon. The difference in the thickness of the oxide layer above the metal wire is defined as the phenomenon of erosion, especially in measurement-type wires adjacent to unpatterned areas. Figure 2A shows the trench process with copper features 1, 2 and corresponding wafer surface 3 in the oxidized area 4. Figure 2B shows the effect of the layout pattern on the thickness change of the metal layer during the CMP process. The expected wafer surface 5 does not match the actual wafer surface 6. Figure 2B shows the effects of dishing 7 and erosion 8 formed by copper CMP in a fine pitch line array. This figure shows that in addition to the pattern density in the trench process, it is necessary to predict the variation of other physical layout parameters. Adding a dummy fill structure can improve the flatness of the process. Since the density of a metal pattern is defined as the ratio of the metal in the area, increasing the dummy filling structure of the metal can increase the density of the pattern. Conversely, adding a dummy oxide layer can remove a part of the copper wire, and j has a low pattern density. This filling operation can also change other parameters such as line width and line door. If a dummy metal layer is placed between two parallel wires:: The line spacing between the two wires. If the structure adjustment is filled with additional dummy, the physical parameters, such as pattern density, line width, and sub-cloth, are changed. Due to the uneven spacing of the uneven metal or oxide layer formed by CMP, the physical parameters will change, regardless of the metal ratio added or removed, and S will increase the layout.

1057-5667-PF(Nl).ptd1057-5667-PF (Nl) .ptd

咖 加 象 切}王付性。因 M此,依據f)招^ 入金屬咬4 /μ既^士 ^ ^ ^3 。萄次虱化層填入之處理' 型(process model )而: 減緩薄膜厚度不均勻之現 虛設填入結構利用 作為改善薄膜戶* μ ^ "加或移除積體電路中之現存結構 〇 /寸賊:/予!均勻祕 氧化層之虛設填入結椹)方法。下列二圖為顯示金屬與 構。第2C圖則頻干^ #第2圖係顯示金屬虛設填入結 中央區則可= —序列金屬導線1〇包圍之 .各處達到均勻之圖案密声,=。虛設填入之一目的為使 •薄膜厚度。此類區域;可::積與研磨製程可導致平坦 別是此類區域與電性=取作為虛設填入之用。特 人對電容值之影響。在第2Dm:,且可降低虛設填 置於氧化區13中,用以^中,金屬虛設填入結構14已 填入結構仍與圍繞:= 此金屬虛設 第3圖係顯示將氧化卢 °°达維持電性隔絕。 在㈣圖中,金屬區:化中虛二填^ 填入結構。在第3Β圖中,將氧 層虛設 示為金屬槽)18加入金屬區17,: 亦可標 c此區域之研磨均句度(降低薄膜厚度:變H 叹填入區^指加入虛設填入結構之區域,而^虛 結構」則為嵌入此區域之標的。 座卩又真入 虛$又填入結構之形成可採用 設填入結構之圖案,第4A圖係顯示對稱型填-入^^常Coffee plus elephant cut} Wang Fuxing. Therefore, according to f), the metal bite 4 / μ is obtained ^ ^ ^ ^ 3. The process model of filling the pallidization layer is: The existing dummy filling structure to reduce the uneven thickness of the film is used to improve the film user * μ ^ " Add or remove the existing structure in the integrated circuit. / Inch thief: / yo! Uniform secret filling method of dummy oxide layer. The following two figures show the metal and structure. Figure 2C is frequency dry ^ #Figure 2 shows that the metal is filled into the knot in the center. The central area can be =-surrounded by a sequence of metal wires 10. A uniform pattern of dense sound is achieved everywhere, =. One purpose of the dummy filling is to make the film thickness. Such areas; can be :: accumulation and grinding processes can lead to flatness, especially such areas and electrical properties = taken as a dummy fill. The influence of special people on the capacitance value. In the 2Dm :, and the dummy filling can be reduced and placed in the oxidized area 13 to be used, the metal dummy filling structure 14 is still filled and surrounded by the structure: = This metal dummy is shown in Figure 3. Up to maintain electrical isolation. In the diagram, the metal area: imaginary two fills in the structure. In Fig. 3B, the oxygen layer is shown as a metal slot in dummy) 18 is added to the metal area 17: The grinding uniformity of this area can also be marked (reducing the thickness of the film: change the thickness of the filled area ^ means adding a dummy filling The area of the structure, and the "virtual structure" is the target embedded in this area. The structure of the seat is filled with the virtual $ and the structure can be filled. The pattern of the filled structure can be used. Figure 4A shows a symmetrical fill-in ^ ^ Often

200405184200405184

五、發明說明(4) 使用於金屬中置入虛設氧化層。第4β與4〇圖係顯示非對稱 圖案’通常使用於絕緣材質(如氧化層)中置入虛設金屬 層(亦即導電材質)。非對稱圖案抑制相鄰信號線間誘發 電谷效應之此力較對稱圖案佳,並可降低串音效應 (cross-talk noise)。電路設計者期望虚設填入結構的 加入不會改變預期的電性表現。然而,虛設結構的加入可 月匕會無思間影響電性並降低晶片的效能。有效的虛設填入 處理必須考慮許多重要的因素。這些因素包括製程影響、 電性影響、以及置入的影響。V. Description of the invention (4) Use in placing dummy oxide layer in metal. Figures 4β and 40 show an asymmetric pattern, which is usually used to place a dummy metal layer (that is, a conductive material) in an insulating material (such as an oxide layer). The asymmetric pattern suppresses the induced valley effect between adjacent signal lines. This force is better than the symmetrical pattern and reduces cross-talk noise. Circuit designers expect that the addition of dummy fill structures will not alter the expected electrical performance. However, the addition of the dummy structure can inadvertently affect the electrical properties and reduce the performance of the chip. There are many important factors that must be considered for effective dummy fill processing. These factors include process impact, electrical impact, and impact of placement.

® 電路之電性效此可由内連線(interconnection)之 能設計之一限制因f、 電容值。電路效能言f ,如信號延遲、時 應等為内連線之電阳 為佈線電阻、金屬層 。内連線之電容值貝, 線間距、及佈線間轉 此需注意的是内連麟 填入結構或溝槽之伯 或溝槽等,亦會影麵 » 特性而決定,而内連線通常為高效 此類電性參數包括内連線電阻值與 (circuit performance metrics ) 偏移(clock skew)、以及串音效 與電容值之函數。内連線之電阻值 度、内連線長度、以及線寬之函數 金屬層厚度、内連線長度、線寬、 體(氧化層)之介電常數之函數。 g結構會影響電性。因此,如虛設 ,何變化,例如置入虛設填入結構 性效能之量測。 置入虛設填入結構可能會引起不預期之電性效應。 入虛設結構會改變有效的圖案密度與線間距。而移^鈐^ (氧化填入結構)會改變有效的圖案密度與線間距。^入The electrical performance of the ® circuit can be limited by f, the capacitance value, which can be one of the interconnection design. Circuit performance f, such as signal delay, time should be the internal connection of the anode is the wiring resistance, metal layer. The capacitance value of the interconnects, the line spacing, and the routing between the wiring. Note that the interconnector fills in the structure or trench or the trench, etc., which will also be determined by the characteristics of the », and the interconnect is usually To be effective, such electrical parameters include the function of the interconnect resistance value and the circuit performance metrics clock skew, the crosstalk effect, and the capacitance value. Function of the resistance value of the interconnect, the length of the interconnect, and the line width as a function of the thickness of the metal layer, the length of the interconnect, the line width, and the dielectric constant of the body (oxide layer). g structure affects electrical properties. Therefore, if it is false, how does it change, such as placing a dummy to fill in the measurement of structural performance. Placing a dummy filling structure may cause unexpected electrical effects. Entering a dummy structure will change the effective pattern density and line spacing. And shifting ^ 钤 ^ (oxidized filling structure) will change the effective pattern density and line spacing. ^ Into

200405184 五、發明說明(5) ' " 之影響依鄰近填入結構(對金屬虛設結構而言)之内連線 結構或内連線結構本身(對氧化層虛設結構而言)而定。 加入金屬填入結構會改變鄰近内連線之耦合電容值(C )。加入氧化虛設結構會改變耦合電容值(C )與内連線 ,阻值(R )。此相對應之影響係依内連線結構之尺寸而 定。R與C之變異程度決定電路如何受到影響。 建立設計準則(Design Ruie)使内連線“變異之變 2誤差為可接受之程度。此外,設計準則可允許電路效能 ^測f數,如信號延遲、時脈位移、或串音效應等維持^ 讎一誤差範圍。此效能量測參數一般為内連線RC之函。 整體内連線電容值幾乎完全依靠鄰近結構而定。此 可視,標準型之設定,物件或物件等級可由製程變異關 $:斂设定(如線寬、線間距、或密度)予以單獨判 才示準化。因此,除此類特徵相關之製程平坦規格之 = 性效應會受虛設填入之策略而影響。 電 第5與6圖係顯示利用ECD與CMp完成溝槽製程之 Ϊ…第q5A圖顯示步驟1 ’利用微影與蝕刻於氧化層“且:200405184 V. Description of the invention (5) The influence of "" depends on the interconnect structure of the adjacent filling structure (for the metal dummy structure) or the interconnect structure itself (for the oxide dummy structure). Adding metal to fill the structure will change the coupling capacitance (C) of the adjacent interconnects. Adding an oxidized dummy structure will change the value of the coupling capacitance (C) and the interconnect, and the resistance (R). The corresponding effect depends on the size of the interconnect structure. The degree of variation in R and C determines how the circuit is affected. Establish a design rule (Design Ruie) to make the internal connection "variation 2 error to an acceptable level. In addition, the design rule allows circuit performance to be measured, such as signal delay, clock shift, or crosstalk effects. ^ 雠 One error range. This performance measurement parameter is generally a function of the interconnected RC. The overall interconnected capacitance value is almost completely determined by the adjacent structure. This is a standard setting, and the object or object level can be controlled by process variation. $: Convergent settings (such as line width, line spacing, or density) are judged separately before they are normalized. Therefore, in addition to the flatness of the process related to such features, the sex effect will be affected by the strategy of dummy filling. Figures 5 and 6 show the completion of the trench process using ECD and CMP ... Figure q5A shows step 1 'Using lithography and etching on the oxide layer' and:

f槽19以作為内連線結構。第5B圖係顯示步 期J 讀,利用電鍍填入場氧化層2〇中之溝槽23, 狀: ’鍍層21至時間T2形成電 顯〇形成 ,表面2…步驟2以電鍍方式於填入第』A氧圖 :二時間T f 溝槽27。第6B圖顯示如何利用cmp g n 曰 之 與場氧化層29。 利用CMP移除銅並平坦化溝槽28 因具銅沈積,故需予以移除此區域上方所有㈣4The f-slot 19 is used as an interconnect structure. Figure 5B shows the step J read. The trench 23 in the field oxide layer 20 is filled by electroplating, which reads: 'Plating layer 21 to time T2 forms an electrical display. Surface 2 ... Step 2 is filled by plating No. A Oxygen diagram: Two time T f groove 27. Figure 6B shows how to use cmp g n and field oxide layer 29. Use CMP to remove copper and planarize the trenches. 28 Due to the copper deposition, all ㈣4 above this area need to be removed.

1057-5667-PF(Nl).ptd 第8頁 200405184 五、發明說明(6) 半導體製程中,CMP為移除銅且平坦化之主流方法。 I J I 日白 片上,因不同的結構及周邊會導致不同的研磨速率。 證=連線間不會發生短路,需過度研磨(over_polishing ):氧化層上方之銅以完全地去除。此舉將會造成金屬層 厚度的變異。(請參閱第4圖)。虛設填入結構之另一類:: 運用為調整内連線結構與周邊以降低變異。此可藉由將金 屬虛設結構填入内連線區域或將現存内連線移除的方式達 成。加入額外的圖案(金屬虛設填入)或移除現存圖案區 (具氧化層虛設填入之溝槽)將會改變佈局之原始設計。 舉雖可改善製程之平坦化’但卻影響晶片之電性。因 此,保留原始設計電路之功能並降低製程之變異性為目 填入佈局之一重要目的。 八 ’ ' 在製造積體電路時,内連線結構因佈局圖案之變化商 異(如材料密度、線寬、以及線間距)。非平坦化之南 會引發後續製程能力與製程整合的問題。圖案之獨立性於 70件上之結構位置所造成之電容值與電阻值變化會影塑 件之特性。 ”曰 微影光罩之製造與形成係於薄膜上以一預設對焦深产 蠓圍完成投影。然而,IC製造之製程與形成圖案之二: ,附屬(pattern dependency)通常在製程薄膜表面產生 明顯的厚度變異,導致採用此類光罩之圖案的積體電路 (ICs )的結構尺寸(如線寬)發生變異。當連續地對非 平坦的材料層進行沈積與研磨時,上述變異情況將更加嚴 重。因内連線與高層導線負載電源至晶片的部分,此類變1057-5667-PF (Nl) .ptd Page 8 200405184 V. Description of the Invention (6) In the semiconductor process, CMP is the mainstream method for removing copper and flattening. I J I Japanese white film, due to different structures and peripherals will lead to different polishing rates. Proof = there will be no short circuit between the wires, over-polishing is needed: the copper above the oxide layer is completely removed. This will cause variations in the thickness of the metal layer. (See Figure 4). Another type of dummy filling structure :: Used to adjust the interconnect structure and surroundings to reduce variation. This can be achieved by filling metal interconnects with dummy structures or removing existing interconnects. Adding extra patterns (metal dummy fills) or removing existing pattern areas (grooves with oxide dummy fills) will change the original design of the layout. Although it can improve the planarization of the process, it affects the electrical properties of the chip. Therefore, retaining the function of the original design circuit and reducing the variability of the process is an important purpose of filling in the layout. In the manufacture of integrated circuits, the interconnection structure is different due to changes in layout patterns (such as material density, line width, and line spacing). The non-flattened south will raise the issue of subsequent process capability and process integration. The independence of the pattern is due to the change in capacitance and resistance caused by the structural position on 70 pieces, which will affect the characteristics of the plastic part. "The fabrication and formation of the lithographic mask is performed on the film with a preset focus to produce projections. However, the second process of IC manufacturing and pattern formation is: pattern dependency usually occurs on the surface of the process film. Significant thickness variations result in variations in the structural dimensions (such as line widths) of integrated circuits (ICs) using patterns of such masks. When non-planar material layers are continuously deposited and ground, the above variation will More serious. This type of change is caused by the interconnection and high-level wires load the power to the chip.

200405184 五、發明說明(7) 異將會增加薄膜電阻值(sheet resistance),進而影響 晶片之電源效益。 因在沈積、蝕刻、平坦化、研磨製程中形成之圖案附 屬導致結構厚度與寬度變異之特徵可用以產生元件中每一 層電路結構之全二度空間模型。此模型可助於預測内連線 層之電性。内連線結構之厚度與寬度變異會影響電路之時 序、傳遞延遲、以及功率特性。 降低傳遞延遲的手段之一為在較長之内連佈線中採用 中介緩衝器(intermediate buffer)(即所謂中繼器 馨repea ter ))。然而,因難以預估所需緩衝器之數目與 尺寸,故设計者經常過度使用緩衝器而增加功率消耗。 降低製造晶片之變異的手段之一為在具原始設計元件 ί,造f f上進行物理量測,並利用此物理量測結果調整 Η索2 : 道ΐ⑽降低變異的方☆包括可判$並確認出因 圖案化結構導致次波長誤差(subwavelength distort 1〇ns )之光學誤差校正(〇pc )方法。 特徵化製造晶片之、變里 段。度量係指石夕晶ί =的:法之-為透過度量的手 邊式中:線上操作為ι方法,例如,在三種操作 胃in-si tu)操作為///程步驟間進行晶圓量測;同室 為將晶圓移除f 進行晶圓量測;以及離線操作 構尺寸中,線並進行量測。在降低積體電路結 手段。度量亦是改ΐ i=材質、製程與結構時之重要操作 可將製程與製程工:t產線上良率之重要方法。度量 /、 生之變異做較佳的特徵化處理, 1057-5667-PF(Nl).ptd 第10頁 200405184 五、發明說明(8) 進而縮短上市時間並降低生產成本 量測通常在積體電路製程中進行, 流程是否會產生欲預設之積體電路。戶旦1裏程或製程 晶圓上的物理量測工且,指 —珂係指在產品 進行量測的策略。量測策略包括針之何鲁 置群、晶圓上之特定圖案、或晶片中 =^之特定位 測。在製程步驟間之量測可在量寺2行量 題之特定步驟與結構予以分離,接著嘗儘早將有問 驟中診斷出引發問題的原因。 忒於20或30製程步 決定在特定晶片或晶方之量 上複數晶方中選取晶方等步驟,需幾1,如何從晶圓 測會延遲晶圓後續之製程,故直接^參數。過多量 率。過多量測亦會使製程工程師::產出與製程良 過多的數據。 斷糸統必須即時分祈 如第101Α圖所示,有時測試結構 : 於晶圓上晶片電路以外的區域,咸置1 6025曰形成 ^ 6023中,度量的執行則集常在^切割道或切線 被絕緣之測試結構1 6025並未敕人至7 5式結構或裝置上。 • 02? ’藉以避免承擔不確;:變異=刪4之結構 若存在圖案附屬,諸如宗许 、 引發電性變異,故必須量測邊線==會 上,如具類比、邏輯、Ι/0、Λ^2地聚集於單一晶片: 晶片(SGC)16G24,上述考量=件之混合式系統單 〜巧里將越驅重要。200405184 V. Description of the invention (7) The difference will increase the sheet resistance, which will affect the power efficiency of the chip. The characteristics of variation in thickness and width of the structure due to pattern attachment in the deposition, etching, planarization, and grinding processes can be used to generate a full two-dimensional space model of the circuit structure of each layer in the component. This model can help predict the electrical properties of the interconnect layer. Variations in the thickness and width of the interconnect structure will affect the timing, propagation delay, and power characteristics of the circuit. One of the means to reduce the transmission delay is to use an intermediate buffer (so-called repeater terpe) in a long interconnect wiring. However, because it is difficult to estimate the number and size of buffers required, designers often overuse buffers and increase power consumption. One of the ways to reduce the variation of manufacturing wafers is to perform physical measurement on the original design element, and to use this physical measurement result to adjust the search method 2: How to reduce the variation ☆ Include a verdict and confirm An optical error correction (0pc) method for subwavelength distort 10ns caused by a patterned structure is presented. The characterization of the manufacturing wafer, the variable segment. Measurement refers to Shi Xijing. =: Method of hand-for-transmission measurement: On-line operation is ι method, for example, the three operations of stomach in-si tu) operation is // wafer volume between steps In the same room, wafer measurement is performed to remove the wafer f; and in offline operation, the dimensions are measured in parallel. Means of reducing integrated circuit junction. Measurement is also an important operation when i = material, process and structure can be modified. Process and process can be an important method of yield on the production line. Measure /, the variation of birth to do a better characterization, 1057-5667-PF (Nl) .ptd Page 10, 200405184 V. Description of the invention (8) Further shorten the time to market and reduce production costs During the manufacturing process, whether the process will generate the integrated circuit to be preset. 1 mile or process Physical measurement on wafers, and refers to-Ke refers to the strategy of measuring in products. The measurement strategy includes the needle placement group, the specific pattern on the wafer, or the specific position measurement in the wafer. The measurement between the process steps can be separated from the specific steps and structures of the two-line measurement problem, and then try to diagnose the cause of the problem as soon as possible.忒 At the 20 or 30 process steps, it is necessary to determine the number of steps for selecting a crystal cube from a plurality of crystal cubes on a specific wafer or crystal cube amount. How many steps is required? How to measure from the wafer will delay the subsequent wafer processing, so directly ^ parameters. Excessive rate. Excessive measurement will also make the process engineer: output and process good too much data. The system must be instantaneously divided as shown in Figure 101A. Sometimes the test structure is: In the area outside the wafer circuit on the wafer, it is set to 1 6025 to form ^ 6023. The execution of the measurement is often set in the ^ cutting path or The tangent-insulated test structure 1 6025 is not stubborn to a 75-type structure or device. • 02? 'By avoiding inaccurate commitment ;: Variation = delete the structure of 4 if there are pattern attachments, such as Zong Xu, causing electrical variation, so you must measure the edge = = meeting, such as analogy, logic, I / 0 And ^^ 2 are gathered on a single chip: chip (SGC) 16G24, the above consideration = the mixed system of pieces ~ the more important the Qiaoli will be.

200405184 五、發明說明(9) 積體電路 製造出在物理 來自於並非所 出之電路之效 因此,為 層利用電子設 於晶圓電路上 全程製程中對 性。所得之資 鲁製程設定, 設計之規格。 力,同時會影 此循環之 中每一步驟所 取數個製程設 而言,在裝置 完成此類實驗 行特定實驗設 «適合設計I C 中重複操作 因於多個製程 法可靠地生產 昂貴且耗時。 之製造 性與電 有設言十 能如予頁 完成製 计自動 形成圖 此裝置 訊用以 直到此 每一設 響新裝 製造部 需之製 定,將 試產( 與後續 定時, 之標準 。在製 步驟, 出晶片 基本上遵循設計與製造的循環, 性上欲設計之裝置。大多旦到 之電路皆可被製造,且並非所Ά 期設計或模擬一樣。 造電路,必須執行重複性的製程、逐 化(EDA )軟體、以微影技術之光罩 案、以及一系列昂責之製造步驟。在 進行量測,最後特徵化此電路之電 分類裝置通常需數個循環以調整設計 裝置之效能達可接受之範圍,戋達到 計-製造循環需耗費昂貴之人力盘物 置上市之時程。 、 分通常需要實驗設計與每一製程流程 法(recipe )。通常實驗設計用以選 特定I C裝置之特定工具特徵化。一般 tape-out )以及執行前述製程步驟後 製程最佳化工作。所以,當對晶圓進 量測出物理性與電性之特徵,並選擇 之製程設定。此舉將在流程之每一步 程的某些問題點上,製程變異通常導 並無製程設定可決定達到目標,故無 。對決定已知設計之製造能力將更為 製造的可能性並非純然靠事後推知。設計規則中常導200405184 V. Description of the invention (9) Integrated circuits are manufactured to be physically derived from circuits that are not out of the circuit. Therefore, the use of electrons for the layers is provided on the wafer circuit. Proceeds set the process and design specifications. In addition, it will affect the number of process equipments in each step of this cycle. As for the completion of such experiments in the equipment, the specific experiment equipment «suitable for designing ICs for repeated operations due to multiple process methods is reliable and expensive and expensive Time. The manufacturability and electricity have been set to ten. If the page is completed, the plan can be automatically formed. This device is used until the establishment of the new equipment manufacturing department. It will be trial-produced (and subsequent timing). The manufacturing steps, the chip out basically follow the cycle of design and manufacturing, and the device you want to design. Most of the circuits can be manufactured, and it is not the same as the design or simulation. To build a circuit, you must perform a repetitive process. , EDA software, lithography with lithography technology, and a series of responsible manufacturing steps. After measurement, the electrical classification device that finally characterizes this circuit usually requires several cycles to adjust the design device. The efficiency reaches an acceptable range, and it does not reach the time limit for the calculation-manufacturing cycle that requires expensive labor to place the product on the market. It usually requires experimental design and recipe for each process. Usually experimental design is used to select specific IC devices. Special tool characterization (typically tape-out) and process optimization after performing the aforementioned process steps. Therefore, when measuring the physical and electrical characteristics of the wafer, select the process settings. This will be at some point of each step of the process. Process variation usually leads to no process setting to determine the goal, so no. The possibility of deciding that the manufacturing capacity of a known design will be more manufactured is not purely inferred after the fact. Guides in design rules

l〇57-5667-PF(Nl).ptd 第12頁 200405184 五、發明說明(10) 新S il t ΐ : t經:與知識,防止此類狀況在投產與製造 Jf 1 # ^ = 於“而,新式裝置仍須重複設計與造之循 獲得之製造經驗,…=常係根據習知裝置與技術所 估對後續於完整製程流』c綜合考量並進-步評 法,將單-或多組製或非線性最佳化方 而,Ψ 士、土* t、, 少驟上之變異影響予以特徵化。然 題亦益’本於/充分地解決伴隨產生原始設計規則之問 nrt!設計階段頻估其生產的可能性。 製程以達到合理品質;的得導入新 ΑΟΤΡ Λ M tz 一 了狂大巾田縮短。先進電路設計(如 體電路(1C)結:Ϊ 3::::地f響成本,5新積 維持調程項目以縮短循-時’、’然而,IC製程設計會 诚俨广 偈%時耘(cycle times )並降低非 循壞(n〇n-reeurring)卫程之成本。 降低非 ,程整合係自始至終分級地對應製程 件決定所有製程流程與個別的製程處二i 所採用已知或已了解之裝置結構 匕 化(⑽tom),或因新式裝置 以 ίΐι二模型,取得製程流程與處方而有所差異 %16β〇2^·Ν·ΐ所不揭不一種由上至下分級的顯示圖,^ 統1 6 6 0 2设計成階展媪七,τ 承 次Πββ〇4-^ηβ 需再砰細地描述更低操作層 )。然而,設計者需讓高層次操作之頻 ”低層二人操作一 &。整纟與模擬工具不I需轉化、l〇57-5667-PF (Nl) .ptd Page 12, 200405184 V. Description of the invention (10) New Sil t ΐ: t jing: and knowledge to prevent such situations in production and manufacturing Jf 1 # ^ = in " However, the new type of device still needs to repeat the design and manufacturing experience gained .... = It is often based on the conventional device and technology to evaluate the follow-up to the complete process flow. C Comprehensive consideration of the step-by-step evaluation method will Organizational or non-linear optimization methods, such as the influence of variation on the number of soldiers, soil, t, and less, are characterized. However, the problem is also beneficial to the problem of nrt! Design, which is based on / adequately accompany the original design rules. The stage frequently estimates the possibility of its production. The process is to achieve reasonable quality; the new ΑΟΤΡ Λ M tz has to be introduced to shorten the crazy field. Advanced circuit design (such as the body circuit (1C) knot: Ϊ 3 :::: ground f cost, 5 new products to maintain the scheduling project to shorten cycle-time ',' However, IC process design will sincerely improve the cycle time and reduce non-recurring Reduce the cost of non-process integration. Process integration is based on the process parts to determine all process flow and individual The process structure of the second office adopts a known or known device structure (⑽tom), or it is different due to the new device using the two models to obtain the process flow and prescription.% 16β〇2 ^ · Ν · It is not a display chart that is ranked from top to bottom, ^ system 16 6 0 2 is designed as a step display, τ inheritance Πββ〇4- ^ ηβ need to describe the lower operating layer in detail). Designers need to let the high-level operation frequency "low-level two people operate one &. Tricky and simulation tools do not require conversion,

200405184 五、發明說明(11) (translate )規格,更需管理限制條件與衝突以全面地 解決製程製程流程問題。在此背景下,製造流程可定義成 為三個研磨步驟:體蜇研磨(bu丨k p〇丨i sh )、終點測試 (endpoint)、以及陴障層移除(barrierrem〇val )。 製程流程亦可包括製造I C時所有的蝕刻、微影、沈積、與 研磨步驟。在此方法中,整合(synthesis )係用於將規 格(speci f icat ion )自高層次操作轉化至下一符合此規 格之下層次操作中。200405184 V. Description of Invention (11) (translate) specifications, it is necessary to manage constraints and conflicts in order to comprehensively solve the problem of manufacturing process. In this context, the manufacturing process can be defined as three grinding steps: bulk grinding (bu 丨 k p〇 丨 ish), endpoint testing (pointer), and barrier barrier removal (barrierremval). The process flow can also include all of the etching, lithography, deposition, and polishing steps during IC manufacturing. In this method, synthesis is used to transform the specification from a high-level operation to the next-level operation that meets this specification.

0明内容: 根據本發明之一目的,本發明提供一種方法,包括下 列步驟:根據一化學機械研磨製程之電性影響分析與一圖 案附屬模型,於該化學機械研磨製程中產生虛設填入配置 之一桌略;以及利用該圖案附屬模型與該電性影響分析以 评估置入該虛设填入之預期結果;該模型與該電性影響分 析之利用係包含於產生虛設填入配置之該策略中。Contents of the Invention: According to an object of the present invention, the present invention provides a method including the following steps: According to an electrical impact analysis of a chemical mechanical polishing process and a pattern attachment model, a dummy filling configuration is generated in the chemical mechanical polishing process. A table strategy; and the use of the pattern attachment model and the electrical impact analysis to evaluate the expected results of placing the dummy fill; the use of the model and the electrical impact analysis is included in the In strategy.

根據本發明之另一目的’本發明提供一種方法一種方 法,包括:根據一化學機械研磨製程之電性影響分析與〆 ^案附屬模型,於該化學機械研磨製程中產生虛設填入配 胃之一策略;以及利用該圖案附屬模型與該電性影響分析 以評估置入該虚設填入之預期結果;該所產生之策略所適 用之製造製程包含一氧化化學機械研磨製程以外之製造製 程。 根據本發明之另一目的,本發明提供_種方法,包According to another object of the present invention, the present invention provides a method and a method, which include: generating a dummy filling into the stomach with the chemical mechanical polishing process according to an electrical impact analysis and a subsidiary model of the chemical mechanical polishing process; A strategy; and using the pattern attachment model and the electrical impact analysis to evaluate the expected results of placing the dummy fill; the manufacturing process to which the generated strategy is applicable includes manufacturing processes other than a chemical mechanical polishing process. According to another object of the present invention, the present invention provides a method, including

mm

1057-5667-PF(Nl).ptd 第14頁 200405184 操作一伺服 ’以及一使 )開發該虛 器位於該使 者可遠端控 •分析已應 整該設計; 調整設計所 參數。 心包括兩組 段包括在單 五、發明說明(12) 括.根據一化學機 學機械研磨製程中 該圖案附屬模型以 產生之策略所適用 根據本發明之 括:根據一化學機 學機械研磨製程中 該圖案附屬模型以 產生之策略所適用 •中可移除一種以 其中還包括: 生虛設填入之功能 一客戶端(client 其中,該伺服 其中,該使用 其中,還包括 計;根據該分析調 以及確認根據該已 j設之物理與電性 其中,該兩階 其中,該兩階 械研磨製程之一圖 產生虛設填入配置 評估置入該虛設填 之製造製程包含一 另一目的,本發明 械研磨製程之一圖 產生虛設填入配置 評估置入該虛設填 之製造製程包含一 上的材料。 案附屬模蜇,於該化 之一策略;以及利用 入之預期結果;該所 或多個製造階段。 提供一種方法,包 案附屬模蜇,於該化 之一策略;以及利用 入之預期結果;該所 研磨或平坦化製程, 器’為一半導體設計提供產 用者可經由一網路瀏覽器於 設填入配置之策略。 用者附近。 制該伺服器。 用該虛設填入策略之一設 重複該分析與該調整步驟; 製造之一積體電路符合複數 或更多組製程。 一製程中兩組或更多組步 其中,該兩階與& 、丄 .. > — 权包括沈積與化學氣相沈積。 其中,該策略之方 %〈產生包括產生複數組虛設填入之規1057-5667-PF (Nl) .ptd Page 14 200405184 Operation of a servo ′ and a) Develop the virtual machine located at the ambassador can be remotely controlled • Analyze the design should be adjusted; adjust the design parameters. Include two sets of segments included in the single fifth, the description of the invention (12) brackets. According to the strategy of the pattern attached model to generate in a chemical-mechanical mechanical grinding process Applicable according to the present invention: according to a chemical-mechanical mechanical grinding process The pattern attached to the pattern is applicable to the generated strategy. • One of them can be removed, which also includes: a function of a virtual reality, a client (client, where the server is used, which is used, and also included; according to the analysis Adjust and confirm according to the physical and electrical properties that have been set. Among the two steps, one of the two-step mechanical grinding process generates a dummy fill configuration. Evaluate the manufacturing process of putting the dummy fill into another purpose. A diagram of one of the invention's mechanical grinding processes generates a dummy filling configuration to evaluate the manufacturing process of placing the dummy filling into the materials included in the case. A subsidiary model of the project, a strategy in the transformation; and the expected results of the utilization; the institute or more Provides a method, including the subsidiary mold, a strategy for the transformation; and the expected results of the utilization; The flattening process provides a strategy for a semiconductor design. The user can fill in the configuration through a web browser. Near the user. Make the server. Use one of the dummy filling strategies to repeat the analysis. And the adjustment step; manufacturing one integrated circuit conforms to plural or more sets of processes. In a process, there are two or more sets of steps, wherein the two steps and &, .. > Facies deposition. Among them, the strategy of %% generation includes the rules of generating complex arrays of dummy filling.

第15頁 200405184 五、發明說明(13) 則。 其中還包括:定義用以虛設填入之一組複數分級單元 配置(hierarchical cell placements);以及利用該等 分級單元配置加入虛設填入以減少一電子佈局檔案之大 小 〇 其中,一使用者係經由一網路瀏覽器與一網路伺服器 執行該虛設填入產生作業。 其中,該伺服器位於該使用者附近。 其中,該使用者可遠端控制該伺服器。 ^ 其中,該製程包括溝槽製程(damascene process 其中,該配置虛設填入之策略包括決定虛設填入之尺 寸與配置。 其中,該製造製程包括形成一具低介電值之介電間層 (interlayer ) 〇 其中,該製造製程包括化學氣相沈積或旋塗 (spin-on)具低介電值之介電材質。 其中,該虛設填入策略之產生包括將一半導體設計分 f成複數格(g r i d s )。 其中,該虛設填入策略之產生包括擷取一半導體設計 之每一該等格中區域圖案之密度。 其中,該虛設填入策略之產生包括擷取一半導體設什 之每一該等格中區域線寬。 其中,該虛設填入策略之產生包括擷取一半導體没片Page 15 200405184 V. Description of Invention (13). It also includes: defining a group of multiple hierarchical cell placements for dummy filling; and using these hierarchical unit configurations to add dummy filling to reduce the size of an electronic layout file. Among them, a user is A web browser and a web server perform the dummy fill generation operation. The server is located near the user. The user can remotely control the server. ^ The process includes a trench process (damascene process, where the strategy of configuring dummy filling includes determining the size and configuration of the dummy filling. Among them, the manufacturing process includes forming a dielectric interlayer with a low dielectric value ( interlayer) 〇 Wherein, the manufacturing process includes chemical vapor deposition or spin-on dielectric materials with a low dielectric value. The generation of the dummy filling strategy includes dividing a semiconductor design into a plurality of cells. (Grids). Wherein, the generation of the dummy filling strategy includes capturing the density of the area patterns in each of the cells of a semiconductor design. Wherein, the generation of the dummy filling strategy includes capturing each of the semiconductor devices. The line width of the area in the grid. Among them, the generation of the dummy filling strategy includes capturing a semiconductor chip.

1057-5667-PF(Nl).ptd 第16頁 200405184 五、發明說明(14) 之每一該等格中區域線間距。 其中’該虛設填入策略之產生包括計算每一格之一有 效圖案密度。 其中’亦包括利用複數模型對應產生虛設填入策略之 一半導體設計,計算出薄膜厚度之非平坦度 (non-uni formi ty ) 〇 其中,亦包括計算薄膜厚度之變異。 其中’亦包括取得每一該等格中所有物件之座標。1057-5667-PF (Nl) .ptd Page 16 200405184 V. Description of the invention (14) The line spacing in each of the divisions. Among them, the generation of the dummy filling strategy includes calculating an effective pattern density of each cell. Among them, ′ also includes a semiconductor design that uses a complex model to generate a dummy filling strategy, and calculates the non-uniformity of the film thickness. Among them, it also includes calculating the variation in film thickness. It also includes getting the coordinates of all the objects in each grid.

其中’亦包括對應每一該等物件產生至少一組線寬、 鲁間距、長度 '以及接合區塊(bounding Box )。 其中,該虛設填入策略包括於每一該等格之複數空區 (emptyareas)力口入虛設填入。 其中,該虛設填入包括於複數物件中之複數溝槽 (slots ) 〇 其中,包括在加入虛設填入後重新計算一區域密度。 其中,亦包括在加入虛設填入後重新計算每一該等格 中一有效圖案密度。 其中,該虛設填入策略係根據下述規則中至少一類電 f參數變異公差(tolerance):電容值與電阻值、薄膜 I阻值、輸出延遲、偏態(skew )、壓降、驅動電流損: 耗、介電常數、或串擾雜訊(crosstalk noise)。 其中,係根據一研磨製程平坦化長度計算出該有效圖 案密度。 其中,係根據橢圓形加權窗(e 1 1 i p t i c a 1 1 yIt also includes generating at least one set of line width, pitch, length, and bounding box for each of these objects. Among them, the dummy filling strategy includes dummy filling in the plural empty areas of each of the cells. Wherein, the dummy filling includes a plurality of slots in a plurality of objects, which includes recalculating a region density after adding the dummy filling. Among them, it also includes recalculating a valid pattern density in each grid after adding dummy filling. The dummy filling strategy is based on at least one type of electrical f-parameter variation tolerance in the following rules: capacitance value and resistance value, film I resistance value, output delay, skew, voltage drop, and drive current loss. : Power dissipation, dielectric constant, or crosstalk noise. The effective pattern density is calculated according to a flattening length of a grinding process. Where is based on the elliptical weighting window (e 1 1 i p t i c a 1 1 y

1057-5667-PF(Nl).ptd 第17頁 200405184 五、發明說明(15) f wind°w)或其他濾波器計算出該有效圖案密 其中’根據電子設計方針 % 或複數設計參數而動態地產生複數虛5又填人規則隨技術 而改變。 其中 其中 其中 其中 屬。 其中 密度。 其中’-有效圖案密度係動態地隨一製程平坦化長度 該製造製程包括微影製程。 該製造製程包括電化學式沈積。 該製造製程包括銅化學機械式研磨。 亦包括自-半導體佈局中擷取出複數圖案附 線寬、或線 該等佈局附屬包括對應線間距、 其中亦包括:利用已圖案化 導體裝置之方法,對應-預選工且曰圓或測試複數半 丄 乂汉很爆干等體製程之一圖奉阱屬揲刑姦 生製程中配置虛設填入之該策略。 ㈡累附屬杈至產 其中亦包括:利用一已校準夕m安 ^附屬特徵(features )與複數曰:陴r ^模型以比對圖 螓厚度、薄膜厚度變異、碟:數參數’如最終薄 數,如薄膜電阻值、電阻值、電 =後數電性參 其中亦包括:根據該圖案 該圖案附屬模型產生製程中配置 ,吊數,以及根據 苴由介A k .丨…名 ^夏虛6又填入之該策略。 附屬模型產生製程中配置虛1057-5667-PF (Nl) .ptd Page 17 200405184 V. Description of the invention (15) f wind ° w) or other filters to calculate the effective pattern density, which is' dynamically based on electronic design guidelines% or complex design parameters The rule of generating a complex number of imaginary 5s and filling them varies with technology. Where of which which belong to. Where density. The '-effective pattern density is dynamically flattened with a process. The manufacturing process includes a lithography process. The manufacturing process includes electrochemical deposition. The manufacturing process includes copper chemical mechanical grinding. It also includes extracting multiple patterns from a semiconductor layout with line width, or lines. These layouts include the corresponding line spacing, which also includes: using a patterned conductor device, corresponding to-pre-selection and circle or test plural half. One of the system procedures of the Han Dynasty is very explosive. The strategy is to configure a dummy entry in the prisoner rape process. The accumulation of auxiliary branches to production also includes: the use of a calibrated feature and features: ^ r ^ model to compare the map 、 thickness, film thickness variation, dish: number parameters' such as the final thin Number, such as film resistance value, resistance value, electrical = post-digit electrical parameters. It also includes: according to the pattern, the pattern attached to the pattern generated in the production process, hanging number, and according to 苴 由 介 A k. 丨 ... Name ^ 夏 虚 6 Then fill in the strategy. Configuration virtual in the auxiliary model generation process

1057-5667-PF(Nl).ptd 第18頁 200405184 五、發明說明(16) 設填入之該策略;以及利用 )評估在晶圓階段之製程與 調整所造成之影響。 其中亦包括··根據結合 程中配置虛設填入之一策略 虛設填入對製程變異之影響 其中亦包括根據結合多 中配置虛設填入之一策略, 電性參數。 φ 其中亦包括根據已預測 數,產生複數虛設填入規則 虛設填入之配置。 其中該等虛設填入規則 其中該等虛設填入規則 其中該等虛設填入規則 與管理。 其中亦包括:提供複數 入策略;以及利用該等功能 GDS電子佈局擋案。 其中亦包括··在一網路 之一半導體裝置之一佈局檔 案之複數虛設填入調整;以 檔案傳回該客戶端。 其中亦包括··在該伺服 一成本函數(cost function 電性參數變異而施行虛設填入 多組圖案附屬模型產生於一製 •,以及預測依該策略產生之該 〇 組圖案附屬模型產生於一製程 最佳化整體晶片之晶圓階段與 或已模擬之晶圓階段與電性參 ,並用於一半導體製造製程中 包括虛設填入之尺寸。 包括虛設填入之配置。 包括虛設填入分級單元之形成 虛設填入功能以產生該虛設填 自動地調整一半導體裝置之複 伺服裔上接收自一客戶端傳至 案;在該伺服器產生該佈局槽 及將該已調整虛設填入之佈^ 器上提供一服務,使一使用者1057-5667-PF (Nl) .ptd Page 18 200405184 V. Description of the Invention (16) This strategy is provided; and) is used to evaluate the impact of the process and adjustment at the wafer stage. It also includes a strategy based on the configuration of dummy filling in the combination process. The effect of dummy filling on the process variation. It also includes a strategy of configuring a dummy filling in the combination process. Electrical parameters. φ It also includes the configuration of generating a plurality of dummy filling rules based on the predicted data. These dummy entry rules include these dummy entry rules and management. It also includes: providing multiple access strategies; and using these features to GDS electronic layout files. It also includes: · a plurality of dummy fill-in adjustments for a layout file of a semiconductor device in a network; return to the client as a file. It also includes: · The servo function of a cost function (variation of electrical parameters and the implementation of dummy filling of multiple sets of pattern sub-models generated from one system), and the prediction of the set of pattern sub-models generated according to the strategy. The wafer stage and the simulated wafer stage and electrical parameters are optimized in a process and used in a semiconductor manufacturing process to include dimensions of dummy filling. Configuration including dummy filling. Including dummy filling The formation of the dummy unit of the grading unit is used to generate the dummy unit that automatically adjusts a complex server of a semiconductor device and receives it from a client; the server generates the layout slot and fills the adjusted dummy unit. A service is provided on the server to enable a user

200405184 五、發明說明(17) 可與在該伺服器上執行之一虛設填入應用進行互動式之設 定(configure);以及該使用者可利用該虛設填入應用 產生虛設填入資訊。 其中亦包括:一使用者可於一網路上確認對應一半導 體設計與一製造製程之虚設填入資訊。 其中,該被確認之虛設填入資訊至少包括一虛設填入 圖案、一虛設填入策略、或一虛設填入表現其中之一。 其中,被確認之虛設填入資訊係對應該半導體設計之 一單獨内連線層。 •I 其中,被確認之虛設填入資訊係對應該半導體設計之 複數單獨内連線層。 其中,亦包括比對複數虛設填入標的之尺寸,旅為該 半導體設計之單一或複數内連線層產生該等標的之一虚設 填入圖案。 其中,該虛設填入資訊包括複數虛設填入規則。 其中,該圖案包括複數氧化或金屬虛設填入標的。 其中,該虛設填入圖案之該等標的係配置以最小化全 晶片薄膜厚度之變異。 其中,該虛設填入圖案之該等標的係配置以最小化全 %片於複數電性參數之變異。 以 其中,該等電性參數至少包括薄膜電阻值、電陴值 電容值、串擾雜訊、壓降、驅動電流損耗、介電常數、 及有效介電常數其中之一。 其中,該GDS檔案係被調整以改進該半導體裝置之平200405184 V. Description of the invention (17) Interactive configuration can be performed with a dummy filling application executed on the server; and the user can use the dummy filling application to generate dummy filling information. It also includes: a user can confirm the dummy input information corresponding to half of the conductor design and a manufacturing process on a network. Among them, the confirmed dummy filling information includes at least one of a dummy filling pattern, a dummy filling strategy, or a dummy filling performance. Among them, the confirmed dummy filling information is a separate interconnect layer corresponding to the semiconductor design. • I Among them, the confirmed filled-in information is a plurality of separate interconnect layers corresponding to the semiconductor design. Among them, it also includes comparing the dimensions of a plurality of dummy fill-in targets, and a dummy or fill-in pattern of one of the targets is generated for a single or multiple interconnect layer of the semiconductor design. The dummy filling information includes a plurality of dummy filling rules. Among them, the pattern includes plural oxidation or metal dummy filling in the target. Among them, the target systems of the dummy fill patterns are configured to minimize variations in the thickness of the whole wafer film. Among them, the target system configuration of the dummy filling pattern is to minimize the variation of the full electric power parameter in the complex electrical parameters. Among them, the electrical parameters include at least one of a thin film resistance value, an electrical threshold value, a capacitance value, crosstalk noise, a voltage drop, a driving current loss, a dielectric constant, and an effective dielectric constant. The GDS file is adjusted to improve the level of the semiconductor device.

1057-5667-PF(Nl).ptd 第20頁 200405184 五、發明說明(18) 坦性與電性效能。 其中,該製程包括溝槽製程流程。 其中亦包括:一使用者可使用一網路上含複數網路服 務之一網路應用,確認對應一半導體設計與一製造製程之 虛設填入資訊。 其中,該虛設填入配置策略包括利用複數虛設填入標 的改善低介電電常數之介電結構之結構積體性 (structure integrity )。 其中,該虛設填入配置策略包括利用複數虛設填入標 •維持或改善具低介電電常數之介電結構之介電常數。 其中,在一溝槽製程流程之所有步驟中維持該有效介 電常數。 其中,該虛設填入配置策略包括於一溝槽製程流程中 使用複數虛設填入標的以促成複數低介電係數介電材料之 積體性。 其中亦包括·維持一半導體虛設填入資訊之資料庫 (1 ibrary );連結該資料庫並用以產生複數虛設填入配 置規格;以及改變虛設填入資訊以更新該資料庫。 其中亦包括:依據至少下列之一儲存校準資訊:複數 $程工具、複數配方、以及複數流程;以及更新該校準資 訊以反應該等製程工具、該等配方、或該等流程之變化。 其中亦包括利用該校準資訊產生一虛設填入策略。 中tT包括根據欲得之複數虛設填入特性之校準資料 庫中選擇出複數製程工具、複數配方、以及複數流程。、1057-5667-PF (Nl) .ptd Page 20 200405184 V. Description of the invention (18) Frankness and electrical performance. The manufacturing process includes a trench manufacturing process. It also includes: a user can use a network application with a plurality of network services on a network to confirm the dummy filling information corresponding to a semiconductor design and a manufacturing process. Among them, the dummy filling configuration strategy includes using a plurality of dummy filling targets to improve the structural integrity of a low-dielectric constant dielectric structure. Among them, the dummy filling configuration strategy includes using a plurality of dummy filling standards to maintain or improve the dielectric constant of a dielectric structure having a low dielectric constant. The effective dielectric constant is maintained in all steps of a trench process flow. The dummy filling configuration strategy includes using a plurality of dummy filling targets in a trench manufacturing process to facilitate the integration of a plurality of low-k dielectric materials. It also includes maintaining a database of semiconductor dummy filling information (1 ibrary); linking the database to generate multiple dummy filling configuration specifications; and changing dummy filling information to update the database. It also includes: storing calibration information based on at least one of the following: multiple $ process tools, multiple recipes, and multiple processes; and updating the calibration information to reflect changes in the process tools, the recipes, or the processes. It also includes using the calibration information to generate a dummy filling strategy. The middle tT includes selecting a plurality of process tools, a plurality of formulas, and a plurality of processes from a calibration database of a plurality of dummy filling characteristics. ,

第21頁 200405184 五、發明說明(19) 其中亦包括·· ~使用者可透過一使用者介面 使用者介面,利用:單擊(single click)操作取士: 導體設計所需之一虛設填入策略。 于 牛 其中亦包括:一使用者可於該網路 務取得-半導體料所需之—虛設填人策^讀網路服 根據本發明之另-目的,本發明 下列步驟··產生配置虛今搐人夕一坌^ ^ /ίΓ包枯 化學沈積或電化學“ 真 朿略,用以補償在一電 根據本發明之另〜a μ ^ ^ Υ之稷數圖案附屬。 列步驟:根據-電化”接f :明提供-種方法,包括 之電性影響分析與〜^;積t 學機械沈積製造製程 -策略;μ用該圖奉二麗、:屬型’產生配置虛設填入: 配置之虛言史填入之=屬^與該電性景多響分冑,評估被 略之一部分 根據本發明之另 與該電性影響分C期結果;以及將該圖案附屬模ί 略之一部八 使用加入成為產生該虚設填入配置采 包枯 下列步驟:根據一電^的’本發明提供一種方法择 之電性影響分析與一干沈積或電化學機械沈積製邊於之 一策略;以及利用、:圖案附屬模型,產生配置虛設填入辞 t被配置之虛設填h圖案附屬模型與該電性影響分析’ 根據本發日ί之=之該等預期結果。 々抟 下列步驟:根據—〜目的,本發明提供一種方法,= 之電性影響分析與,化學沈積或電化學機械沈積製造製I 一策略;以及利用1圖案附屬模型,產生配置虛設填入评 4圖案附屬模型與該電性影響分析’、 1057-5667-PF(Nl).ptd 第22頁 200405184 五、發明說明(20) 估被配置之虛設填入之該等預期結果。 其中還包括:操作一伺服器,為一半導體設計提供產 生虛設填入之功能;以及一使用者可經由〆網路劉覽器於 一客戶端(c 1 i e n t )開發該虛設填入配置之策略。 其中,該伺服器位於該使用者附近。 其中,該使用者可遠端控制該伺服器。 其中還包括:分析已應用該虛設填入策略之一設計; 根據該分析調整該設計;重複該分析與該調整步驟;以及 確認根據該已調整設計所製造之一積體電路符合複數預設 物理與電性參數。 其中,該兩階段包括兩組或更多組製程。 其中,該兩階段包括在單一製程中兩組或更多組步 驟。 其中,該兩階段包括沈積與化學氣相沈積。 其中,該策略之產生包括產生複數組虚設填入之規 則0 組複數分級單元 你以及利用該等 伟局檔案之大 與-網路词服器· 其中還包括:定義用以虛設填入之一 配置(hierarchical cell placements) «八級單元配置加入虛設填入以減少一電子 〇 其中,一使用者係經由一網路瀏覽器 執行該虛設填入產生作業。 ° 其中,該伺服器位於該使用者附延。 其中,該使用者可遠端控制該伺服器Page 21, 200405184 V. Description of the invention (19) It also includes ... The user can use a single user interface through a user interface to use: single click operation to gain a reward: one of the dummy required for the conductor design is filled in Strategy. Yu Niu also includes: a user can get in the network service-what is needed for semiconductor materials-a dummy filling strategy人 一一 ^^ ^ / 包 包 枯 或 chemical deposition or electrochemical "True strategy, to compensate for the number of patterns attached to a power according to the present invention ~ a μ ^ ^ 附属 The number of steps attached: List-according to-electrochemical ”F: provides a method, including electrical impact analysis and ~ ^; t t mechanical mechanical deposition manufacturing process-strategy; μ use this picture Feng Erli ,: belong to the type to generate a configuration dummy fill in: configuration of The history of false words is filled with 属 and the electrical scene is too loud, evaluate the omitted part according to the present invention, and the electrical impact is divided into C-phase results; and the pattern is attached to the outline part Eight uses the following steps to generate the dummy fill configuration: the invention provides a method of electrical impact analysis and a method of dry deposition or electrochemical mechanical deposition based on a method according to the present invention; and Utilize :, pattern attached models to generate configuration dummy fills Disposing a dummy speech is filled t h subsidiary model pattern with the influence of the electrical analysis' according to the present invention such expected date = ί of the results. 々 抟 The following steps: According to the purpose of the present invention, the present invention provides a method, an electrical impact analysis and manufacturing strategy, chemical deposition or electrochemical mechanical deposition manufacturing, and a strategy; and the use of a pattern attached model to generate a dummy configuration for evaluation. 4 Pattern attached model and the electrical impact analysis', 1057-5667-PF (Nl) .ptd Page 22, 200405184 V. Description of the invention (20) Estimate the expected results that are configured by the dummy filling. It also includes: operating a server to provide a function for generating a dummy fill for a semiconductor design; and a user can develop a strategy for the dummy fill configuration on a client (c 1 ient) via a network browser. . The server is located near the user. The user can remotely control the server. It also includes: analyzing a design to which the dummy filling strategy has been applied; adjusting the design according to the analysis; repeating the analysis and the adjustment steps; and confirming that a integrated circuit manufactured according to the adjusted design conforms to a plurality of preset physics And electrical parameters. The two phases include two or more processes. Among them, the two phases include two or more sets of steps in a single process. The two phases include deposition and chemical vapor deposition. Among them, the generation of this strategy includes the rules of generating complex arrays of dummy filling. 0 sets of plural hierarchical units and you use the big-and-so word server of these great bureau files. It also includes: the definition of dummy filling A configuration (hierarchical cell placements) «Eight-level cell configuration adds dummy filling to reduce one electron. Among them, a user executes the dummy filling generation operation through a web browser. ° Where the server is located in the user's extension. The user can remotely control the server

1057-5667-PF(Nl).ptd 第23頁 200405184 五、發明說明(21) 其中,該製程包括一電化學機械沈積製程。。 其中,該配置虚設填入之策略包括決定虛設填入之尺 寸與配置。 其中,該製造製程包括形成一具低介電值之介電間層 (interlayer ) 〇 其中,該製造製程包括化學氣相沈積或旋塗 (spin-on)具低介電值之介電材質。 、 其中,該虛設填入策略之產生包括將一半導體設計分 9 割成複數格(grids ) 。 ^ > φ 其中,該虛設填入策略之產生包括擷取一半導體設計 之每一該等格中區域圖案之密度。 其中,該虛設填入策略之產生包括擷取一半導體設計 之每一該等格中區域線寬。 其中,該虛設填入策略之產生包括擷取一半導體設^ 之每一該等格中區域線間距。 其中,該虛設填入策略之產生包括計算每一格之一有 效圖案密度。 其中亦包括利用複數模型對應產生虛設填入策略之一1057-5667-PF (Nl) .ptd Page 23 200405184 V. Description of the Invention (21) The process includes an electrochemical mechanical deposition process. . Among them, the strategy for the configuration of the dummy filling includes determining the size and configuration of the dummy filling. The manufacturing process includes forming a dielectric interlayer with a low dielectric value. Among them, the manufacturing process includes chemical vapor deposition or spin-on a dielectric material with a low dielectric value. The generation of the dummy filling strategy includes dividing a semiconductor design into a plurality of grids. ^ > φ wherein the generation of the dummy filling strategy includes capturing the density of the area pattern in each of the cells of a semiconductor design. Wherein, the generation of the dummy filling strategy includes capturing a line width of a region in each grid of a semiconductor design. Wherein, the generation of the dummy filling strategy includes retrieving an area line distance in each grid of a semiconductor device. The generation of the dummy filling strategy includes calculating an effective pattern density of each cell. It also includes one of the methods of generating dummy filling using the plural model correspondingly.

2導體設計,計算出薄膜厚度之非平坦度 胃non-uniformity )。 其中亦包括計算薄膜厚度之變異。 其中亦包括取得每一該等格中所有物件之座標。 其中亦包括對應每一該等物件產生至少一組線寬、線 間距、長度、以及接合區塊(bounding Box)。2 conductor design, calculate the non-uniformity of the thickness of the film. It also includes calculations of variations in film thickness. It also includes getting the coordinates of all the objects in each grid. It also includes generating at least one set of line width, line spacing, length, and bounding box for each such object.

1057-5667-PF(Nl).ptd 第24頁 200405184 五、發明說明(22) 其中,該虛設填入策略包括於每一該等格之複數空區 (empty areas)加入虛設填入。 其中,該虛設填入包括於複數物件中之複數溝槽 (slots )。 其中,包括在加入虛設填入後重新計算一區域密度。 其中,亦包括在加入虛設填入後重新計算每一該等格 中一有效圖案密度。 其中,該虚設填入策略係根據下述規則中至少一類電 性參數變異公差(tolerance ):電容值與電阻值、薄膜 麵P阻值、輸出延遲、偏態(skew )、壓降、驅動電流損 耗、介電常數、或串擾雜訊(crosstalk noise)。 其中,係根據一研磨製程平坦化長度計算出該有效圖 案密度。 其中,係根據橢圓形加權窗(e 1 1 i p t i c a 1 1 y we i ght ed w i ndow )或其他渡波器計算出該有效圖案密 度。 其中,根據電子設計方針之複數虛設填入規則隨技術 或複數設計參數而動悲地產生。 其中,一有效圖案密度係動態地隨一製程平坦化長度 餐改變。 其中,該製造製程包括微影製程。 其中,該製造製程包括電化學式沈積。 其中,該製造製程包括銅化學機械式研磨。 其中亦包括自一半導體佈局中擷取出複數圖案附屬。1057-5667-PF (Nl) .ptd Page 24 200405184 V. Description of the Invention (22) Wherein, the dummy filling strategy includes adding dummy filling to the plural empty areas of each grid. Wherein, the dummy fills a plurality of slots included in the plurality of objects. This includes recalculating a region density after adding a dummy fill. Among them, it also includes recalculating a valid pattern density in each grid after adding dummy filling. Among them, the dummy filling strategy is based on at least one type of electrical parameter variation tolerance in the following rules: capacitance value and resistance value, film surface P resistance value, output delay, skew, voltage drop, drive Current loss, dielectric constant, or crosstalk noise. The effective pattern density is calculated according to a flattening length of a grinding process. Among them, the effective pattern density is calculated according to an elliptical weighted window (e 1 1 i p t i c a 1 1 y we i ght ed w indow) or other wavelet. Among them, the plural dummy filling rules according to the electronic design policy are generated sadly with the technical or plural design parameters. Among them, an effective pattern density changes dynamically with the flattening length of a process. The manufacturing process includes a lithography process. The manufacturing process includes electrochemical deposition. The manufacturing process includes copper chemical mechanical polishing. It also includes extracting a plurality of pattern attachments from a semiconductor layout.

1057-5667-PF(Nl).ptd 第25頁 2004051841057-5667-PF (Nl) .ptd Page 25 200405184

其中’該等佈局附屬包括對應線間距、線寬、或線 密度。 其中亦包括:利用已圖案化之測試晶圓或測試複數半 導體裝置之方法,對應一預選工具或製程配方以校準一圖 案附屬模型;以及根據一半導體製程之一圖案附屬模型產 生製程中配置虛設填入之該策略。 其中亦包括:利用一已校準之圖案附屬模型以比對圖 案附屬特徵(f e a t u r e s )與複數晶圓階段參數,如最終薄 膜厚度、薄膜厚度變異、碟陷、侵蝕,以及複數電性參Among them, these layout attachments include corresponding line spacing, line width, or line density. It also includes: using a patterned test wafer or testing a plurality of semiconductor devices, corresponding to a preselected tool or process recipe to calibrate a pattern auxiliary model; and generating dummy fills in the production process according to a pattern auxiliary model of a semiconductor process Into the strategy. It also includes: using a calibrated pattern accessory model to compare pattern accessory features (f e a t u r e s) with multiple wafer stage parameters, such as final film thickness, film thickness variation, dishing, erosion, and multiple electrical parameters

如薄膜電阻值、電阻值、電容值、串擾雜訊、壓降、 驅動電流損耗、介電常數、以及有效介電常數;以及根據 該圖案附屬模型產生製程中配置虛設填入之該策略。 其中亦包括··根據該圖案附屬模型產生製程中配置虛 設填入之該策略;以及利用一成本函數(cost function )評估在晶圓階段之製程與電性參數變異而施行虛設填入 調整所造成之影響。Such as film resistance value, resistance value, capacitance value, crosstalk noise, voltage drop, driving current loss, dielectric constant, and effective dielectric constant; and the strategy of dummy filling in the production process according to the attached model of the pattern. It also includes the strategy of placing dummy fills in the production process based on the attached model of the pattern; and the use of a cost function to evaluate process and electrical parameter variations at the wafer stage and perform dummy fill adjustments Influence.

其中亦包括:根據結合多組圖案附屬模型產生於一製 程中配置虛設填入之一策略;以及預測依該策略產生之該 虛設填入對製程變異之影響。 • 其中亦包括根據結合多組圖案附屬模型產生於一製程 中配置虛設填入之一策略,最佳化整體晶片之晶圓階段與 電性參數。 其中亦包括根據已預測或已模擬之晶圓階段與電性參 數,產生複數虛設填入規則,並用於一半導體製造製程中It also includes: a strategy for configuring a dummy fill to be generated in a process based on a combination of multiple patterns of auxiliary models; and predicting the impact of the dummy fill generated on the strategy on process variation. • It also includes a strategy of arranging dummy filling in a process based on combining multiple sets of pattern auxiliary models to optimize the wafer stage and electrical parameters of the overall wafer. It also includes generating dummy filling rules based on predicted or simulated wafer stages and electrical parameters, and used in a semiconductor manufacturing process.

1057-5667-PF(Nl).ptd 第26頁 200405184 五、發明說明(24) 虛設填入之配置。 其中’該等虛設填入規則包括虛設填入之尺寸。 其中’该4虛設填入規則包括虛設填入之配置。 其中’该等虛設填入規則包括虛設填入分級單元之形 成與管理。 ' 其中亦包括:提供複數虛設填入功能以產生該虛設填 入策略;以及利用該等功能自動地調整一半導體裝置之複 數GDS電子佈局檔案。 其中亦包括:在一網路伺服器上接收自一客戶端傳至 看私一半導體裝置之一佈局檔案;在該伺服器產生該佈局檔 案之複數虛設填入調整;以及將該已調整虛設填入之佈局 檔案傳回該客戶端。 其中亦包括:在該伺服器上提供一服務,使一使用者 可與在該伺服器上執行之一虛設填入應用進行立動式之設 定(configure);以及該使用者可利用該虛設填入應用 產生虛設填入資訊。 其中,該伺服器包括一網路伺服器。 其中,該使用者位於一遙控該網路伺服器之位置。 其中亦包括:一使用者可於一網路上確認對應一半導 %設計與一製造製程之虛設填入資訊。 其中,該被確認之虛設填入資訊至少包括一虛設填入 圖案、一虛設填入策略、或一虚設填入表現其中之一。 其中,被確認之虛設填入資訊係對應該半導體設計之 一單獨内連線層。1057-5667-PF (Nl) .ptd Page 26 200405184 V. Description of the invention (24) The configuration of dummy filling. Among them, these dummy filling rules include the size of dummy filling. Among them, the 4 dummy filling rules include the configuration of dummy filling. Among them, these dummy filling rules include the formation and management of dummy filling grade units. 'It also includes: providing a plurality of dummy filling functions to generate the dummy filling strategy; and using these functions to automatically adjust a plurality of GDS electronic layout files of a semiconductor device. It also includes: receiving a layout file transmitted from a client to a private semiconductor device on a network server; generating a plurality of dummy filling of the layout file in the server; and filling the adjusted dummy filling The imported layout file is returned to the client. It also includes: providing a service on the server so that a user can perform a vertical configuration with a dummy fill application running on the server; and the user can use the dummy fill The input application generates dummy filling information. The server includes a network server. The user is located at a location remotely controlling the web server. It also includes: a user can confirm the dummy entry information corresponding to half of the design and a manufacturing process on a network. Among them, the confirmed dummy filling information includes at least one of a dummy filling pattern, a dummy filling strategy, or a dummy filling performance. Among them, the confirmed dummy filling information is a separate interconnect layer corresponding to the semiconductor design.

1057-5667-PF(Nl).ptd 第27頁 200405184 五、發明說明(25) 其中,被確認之虛設填入資訊係對應該半導體設計之 複數單獨内連線層。 _ 其中亦包括比對複數虛設填入標的之尺寸’並為該半 導體設計之單一或複數内連線層產生該等標的之一虛設填 入圖案。 其中,該虛設填入資訊包括複數虛設填入規則。 其中,該圖案包括複數氧化或金屬虛設填入標的。 其中,該虛設填入圖案之該等標的係配置以最小化全 晶片薄膜厚度之變異。1057-5667-PF (Nl) .ptd Page 27 200405184 V. Description of the Invention (25) Among them, the confirmed dummy filling information is a plurality of separate interconnect layers corresponding to the semiconductor design. _ It also includes comparing the size of a plurality of dummy fill-in targets' and generating a dummy fill pattern for one or more of the targets for a single or multiple interconnect layer designed for the semiconductor. The dummy filling information includes a plurality of dummy filling rules. Among them, the pattern includes plural oxidation or metal dummy filling in the target. Among them, the target systems of the dummy fill patterns are configured to minimize variations in the thickness of the whole wafer film.

φ 其中,該虛設填入圖案之該等標的係配置以最小化全 晶片於複數電性參數之變異。 其中,該等電性參數至少包括薄膜電阻值、電阻值、 電容值、串擾雜訊、壓降、驅動電流損耗、介電常數、以 及有效介電常數其中之一。 其中,該GDS檔案係被調整以改進該半導體裝置之平 坦性與電性效能。 其中’該製程包括溝槽製程流程。φ Among them, the target system configuration of the dummy filling pattern is to minimize the variation of the whole chip in complex electrical parameters. The electrical parameters include at least one of a thin film resistance value, a resistance value, a capacitance value, crosstalk noise, a voltage drop, a driving current loss, a dielectric constant, and an effective dielectric constant. The GDS file is adjusted to improve the flatness and electrical performance of the semiconductor device. Among them, the process includes a trench process.

其中亦包括:一使用者可使用一網路上含複數網路服 4::網ΐ應用’確認對應—半導體設計與-製造製程之 %自又填入資訊。 其中’該網路為一内部網路、一外部網路、或一網際It also includes: a user can use a network with multiple network services 4 :: net application to confirm the correspondence-semiconductor design and-manufacturing process% self-filled information. Where ‘the network is an internal network, an external network, or the Internet

1057-5667-PF(Nl).ptd 第28頁 200405184 五、發明說明(26) (structure integrity ) ° 其中,該虛設填入配置策略包括利用複數虛設填 的維持或改善具低介電電常數之介電結構之介電常數^才% 立中,在一溝槽製程流程之所有步驟中維持該有^ 、 a攻介 雷常數。 要又 其中,該虡設填入配置策略包括於一溝槽製程流_ 使用複數虛設填入標的以促成複數低介電係數介電“: 積體性。 其中,亦包括:維持一半導體虛設填入資訊之資料虚 ||1 ibrary );連結該資料庫〃並用以產生複數虛設填入配 置規格;以及改變虚設填入資訊以更新該資料庫。 其中亦包括··依據至少下列之一儲存校準資訊:複 製程工具、複數配方、以及複數流程;以及更新該校準次 訊以反應該等製程工具^該等配方、或該等流程之變化^ 其中亦包括利用該校準資訊產生一虛設填入策略。 ° 其中亦包栝根據欲得之複數虛設填入特性之校準資 庫中選擇出複數製程工具、複數配方、以及複數户々貝;斗 其中亦包括卜使用者可透過-使用者介=之— I用者介面,利用一單擊(single Click )操作取得— 胃體設計所需之一虚設填入策略。 千 根據本發明之另一目的,本發明提供一種方法,包 下列步驟:根據含一或複數步驟之製造製程流程之電彳^ ^ 響分析與一圖案附屬模型,於該製程中產生虛設填入配= 之一策略;以及利用該圖案附屬模型與該電性影響分析以1057-5667-PF (Nl) .ptd Page 28, 200405184 V. Description of the invention (26) (structure integrity) ° Wherein, the dummy filling configuration strategy includes using a plurality of dummy filling to maintain or improve the dielectric with a low dielectric constant. The dielectric constant of the electrical structure is only 5%, and the dielectric constant is maintained at all steps in a trench manufacturing process. To another, the dummy fill configuration strategy includes the use of a dummy dummy fill in the target to facilitate the complex low dielectric constant dielectric. "Integrity. It also includes: maintaining a semiconductor dummy fill 1 ibrary); linking the database and using it to generate multiple dummy filling configuration specifications; and changing the dummy filling information to update the database. It also includes storing according to at least one of the following: Calibration information: copy process tools, multiple recipes, and multiple processes; and update the calibration message to reflect the process tools ^ the recipes, or changes to the processes ^ including the use of the calibration information to generate a dummy fill Strategies. ° It also includes the selection of multiple process tools, multiple recipes, and multiple households in the calibration database based on the desired number of dummy fill-in characteristics; the bucket also includes user-accessible- No. — I user interface, obtained with a single click operation — a dummy filling strategy required for gastric body design. According to another object of the present invention The present invention provides a method including the following steps: generating a dummy fill-in strategy in the process according to an electrical analysis of a manufacturing process flow including one or more steps and a pattern attachment model; and using the Pattern attachment model and the electrical impact analysis

1057-5667-PF(Nl).ptd 第29頁 200405184 五、發明說明(27) -- 泎估置入該虛設填入之預期結果;該模型與該電性影響分 析之利用係包含於產生虛設填入配置之該策略中。 ,根據本發明之另一目的,本發明提供一種方法,包括 :=1步驟··根據含一或複數步驟之製造製程流程之電性影 ‘ 2 ί與了圖案附屬模型,於該製程中產生虛設填入配置 1^略,以及利用該圖案附屬模型與該電性影響分析以 i製造設填入之預期結* ;該所產4之策略所適用 程包含一氧化化學機械研磨製程以外之製造製 發明之另一目的,本發明提供一種方法,包 型:於1C步驟之製造製程流程之-圖案附屬模 圖案附屬 =:=1%真=之-策略;以及利用^ 生之籃略邮t平置亥虛填入之預期結果;該所產 包個製造階段。 型,於“程驟之製造製程流程之-圖案附屬模 模型以評估置入該虛設填:之二:;及= 生之朿略所楠田 > 也丨Μ 〈頂功結果,該所產 舞可移除-種以ΐίί 包含一研磨或平坦化製程’其 裡Μ上的材料。 生虛操作一伺服器’為-半導體設計提供產 一客戶端(c】力此、,以及一使用者可經由一網路瀏覽器於 其中〉^^討)開發該虛設填入配置之策略。 為伺服器位於該使用者附近。 1057-5667-PF(Nl).ptd 第30頁 200405184 五、發明說明(28) 其中,該使用者可遠端控制該伺服器。 其中還包括:分析已應用該虛設填入策略之一 μ ^ · 根據該分析調整該設計;重複該分析與該調整步驟冲’ 確認根據該已調整設計所製造之一積體電路您入%二1及 之物理與t性參數。 付合複數預設 其中,該兩階段包括兩組或更多組製程。 其中,該兩階段包括在單一製程中兩組或更多組+ 驟。 乂 其中’該兩階段包括沈積與化學氣相沈積。 則 配 分 小 執 其中’遠策略之產生包括產生複數組虛設填入之規 其中還包括^義用以虛設填入之一址複數分 置(hierarchical cell placements);以及利 級單元配置加入虛設填入以減少一電子佈局權案之=寺 Ο 其中,-使用者係經由一網路瀏覽器與 行該虛設填入產生作業。 服°° 其中,該伺服器位於該使用者附近。 _ 其中 &其中 其中 寸與配置 其中 (interlayer « 該使用者可遠端控制該伺服器。 該製程包括一電化學機械沈積製程。。 該配置虛設填入之策略包括決定虛設填入之尺 該製造製程包括形成一具低介電值之介電間層1057-5667-PF (Nl) .ptd Page 29, 200405184 V. Description of the Invention (27)-Estimate the expected result of putting the dummy fill in; estimate the use of the model and the electrical impact analysis are included in generating the dummy Fill in the configured policy. According to another object of the present invention, the present invention provides a method, which includes: = 1 step. According to the electric shadow of the manufacturing process flow including one or more steps, the auxiliary model of the pattern is generated in the manufacturing process. The dummy filling configuration 1 is omitted, and the expected result filled in by the manufacturing facility using the pattern auxiliary model and the electrical impact analysis is filled in; the process of the produced 4 strategy includes manufacturing other than the chemical mechanical polishing process Another object of the present invention is to provide a method for forming a package: a pattern auxiliary mold in a 1C manufacturing process, a pattern auxiliary mold === 1% true = of-strategy; and using a basket of health Set the expected result filled in by Haixu; the produced package has a manufacturing stage. Model, in the "manufacturing process of the manufacturing process-the pattern attached mold model to evaluate the dummy filling: two :; and = sheng zhi luo suo nan tian" also Μ 〈top results, the wu dance Removable-a material that includes a grinding or planarization process 'inside M. The virtual operation of a server' provides a client for semiconductor design (c), and a user can ^^^ through a web browser to develop the strategy of the dummy filling configuration. The server is located near the user. 1057-5667-PF (Nl) .ptd Page 30 200405184 V. Description of the invention ( 28) Among them, the user can remotely control the server. It also includes: analyzing that one of the dummy filling strategies has been applied μ ^ · adjusting the design based on the analysis; repeating the analysis and the adjustment steps to confirm the basis The physical and t-parameters of the integrated circuit manufactured by the adjusted design are included. The complex number is preset, and the two stages include two or more processes. Among them, the two stages include the Two or more groups + steps in a single process. Among them, the two stages include deposition and chemical vapor deposition. Then the allocation of small instructions, the production of the long-distance strategy includes generating complex arrays of dummy filling rules, which also includes complex division of virtual addresses for dummy filling. cell placements); and dummy cell configuration to add dummy filling to reduce the number of electronic layout rights = temple 0 where,-the user generates the dummy filling operation through a web browser and the service. ° ° Where, The server is located near the user. _ Where & where the size and configuration (interlayer «the user can remotely control the server. The process includes an electrochemical mechanical deposition process ... the configuration is filled in by default Strategies include determining the dummy fill rule. The manufacturing process includes forming a dielectric interlayer with a low dielectric value.

200405184 五、發明說明(29) 其中’該製造製程包括化學氣相沈積或旋塗 (spin-on )具低介電值之介電材質。 其中,該虛設填入策略之產生包括將一半導體設計分 割成複數格(g r i d s )。 其中’該虛設填入策略之產生包括擷取一半導體設計 之每一該等格中區域圖案之密度。 其中,該虛設填入策略之產生包括擷取一半導體設計 之每一該等格中區域線寬。 其中,該虛設填入策略之產生包括擷取一半導體設計 每一該等格中區域線間距。 有 其中,該虛設填入策略之產生包括計算每一格之 效圖案密度。 虛設填入策略之 度 其中亦包括利用複數模型對應產生 半導體設計,計算出薄膜厚度之非平坦 (non-uni f ormi ty ) o 其中亦包括計算薄膜厚度之變異。200405184 V. Description of the invention (29) Wherein, the manufacturing process includes chemical vapor deposition or spin-on dielectric material with low dielectric value. Wherein, the generation of the dummy filling strategy includes dividing a semiconductor design into a plurality of cells (g r i d s). Among them, the generation of the dummy filling strategy includes capturing the density of the area pattern in each of the cells of a semiconductor design. Wherein, the generation of the dummy filling strategy includes capturing a line width of a region in each grid of a semiconductor design. Wherein, the generation of the dummy filling strategy includes extracting a region line spacing in each grid of a semiconductor design. Among them, the generation of the dummy filling strategy includes calculating the effective pattern density of each grid. The degree of the dummy filling strategy also includes the use of a complex model to generate a semiconductor design and calculate the non-uniform thickness of the film thickness. This also includes calculating the variation in film thickness.

Box ) 〇 該等格之複數空區 其中亦包括取得每一該等格中所有物件之座標。 其中亦包括對應每一該等物件產生至少一組線寬、線 間距、長度、以及接合區塊(bounding 其中,該虛設填入策略包括於每 (empty areas )加入虛設填入。 其中,該虛設填入包括於複數物件中之複數溝槽 (slots ) 0 其中,包括在加入虛設填入後重新計算一區域密度。Box) 〇 Multiple empty spaces of the grids This also includes obtaining the coordinates of all objects in each grid. It also includes generating at least a set of line width, line spacing, length, and bounding for each of these objects. The dummy filling strategy includes adding dummy filling to each (empty areas). Among them, the dummy Filling the plurality of slots included in the plurality of objects 0, which includes recalculating a region density after adding dummy filling.

1057-5667-PF(Nl).ptd 第32頁 200405184 五、發明說明(30) 其中亦包括在加入虛設填入後重新計算每一該等格中一有 效圖案密度。 其中,該虛設填入策略係根據下述規則中至少一類電 性參數變異公差(t ο 1 e r a n c e ) ·•電容值與電阻值、薄膜 電阻值、輸出延遲、偏態(s k e w )、壓降、驅動電流損 耗、介電常數、或串擾雜訊(crosstalk noise)。 其中,係根據一研磨製程平坦化長度計算出該有效圖 案密度。 其中,係根據擴圓形加權窗(elliptically 麵ighted window )或其他濾波器計算出該有效圖案密 度。 々少ί^據電子設計方針之複數虛設填入規則隨技術 或稷數卩又计參數而動態地產生。 =中 有效圖案密度係動態地隨一製程平坦化長度 而改變。 其中,該製造製程包括微影製程。 ίΐ ’ :亥製造製程包括電化學式沈積。 i I違製造製程包括銅化學機械式研磨。 令。’、 亦包括自一半導體佈局中擷取出複數圖案附 其中’該等佈局附屬包括對應線間距、'線寬、或線 利用已圖案化之測試晶圓或測試複數半 對應一預選工具或製程配方以校準一圖1057-5667-PF (Nl) .ptd Page 32 200405184 V. Description of the Invention (30) It also includes recalculating a valid pattern density in each grid after adding dummy filling. Among them, the dummy filling strategy is based on at least one type of electrical parameter variation tolerance (t ο 1 erance) in the following rules: • capacitance value and resistance value, film resistance value, output delay, skew, voltage drop, Drive current loss, dielectric constant, or crosstalk noise. The effective pattern density is calculated according to a flattening length of a grinding process. Among them, the effective pattern density is calculated according to an elliptically plane ighted window or other filters. 々 少 ί ^ According to the electronic design guidelines, the plural dummy filling rules are dynamically generated according to the technology or the number of parameters. = Medium The effective pattern density changes dynamically with the flattening length of a process. The manufacturing process includes a lithography process. ίΐ ′: The manufacturing process of Hai includes electrochemical deposition. The manufacturing process includes copper chemical mechanical grinding. make. ', Also includes extracting a plurality of patterns from a semiconductor layout including' these layout attachments include corresponding line spacing, 'line width, or lines using a patterned test wafer or test plural half corresponding to a pre-selected tool or process recipe To calibrate a picture

其中亦包括 導體裝置之方法It also includes methods of conducting devices

200405184 五、發明說明(31) 案附屬模型;以及根據一半導體製程之一圖案附屬模型產 生製程中配置虛設填入之該策略。 其中亦包括:利用一已校準之圖案附屬模型以比對圖 案附屬特徵(f e a t u r e s )與複數晶圓階段參數,如最終薄 膜厚度、薄膜厚度變異、碟陷、侵蝕,以及複數電性參 數,如薄膜電阻值、電阻值、電容值、串擾雜訊、壓降、 驅動電流損耗、介電常數、以及有效介電常數;以及根據 該圖案附屬模型產生製程中配置虛設填入之該策略。 其中亦包括:根據該圖案附屬模型產生製程中配置虛 •填入之該策略;以及利用一成本函數(cost functi ο η )評估在晶圓階段之製程與電性參數變異而施行虛設填入 調整所造成之影響。 其中亦包括:根據結合多組圖案附屬模型產生於一製 程中配置虛設填入之一策略;以及預測依該策略產生之該 虛設填入對製程變異之影響。 其中亦包括根據結合多組圖案附屬模型產生於一製程 中配置虛設填入之一策略,最佳化整體晶片之晶圓階段與 電性參數。 其中亦包括根據已預測或已模擬之晶圓階段與電性參 %,產生複數虛設填入規則,並用於一半導體製造製程中 虛設填入之配置。 其中,該等虛設填入規則包括虛設填入之尺寸。 其中,該等虛設填入規則包括虛設填入之配置。 其中,該等虛設填入規則包括虛設填入分級單元之形200405184 V. Description of the invention (31) Affiliated model; and the strategy of configuring dummy filling in the production process according to a pattern auxiliary model of a semiconductor process. It also includes: using a calibrated pattern satellite model to compare pattern features with multiple wafer stage parameters, such as final film thickness, film thickness variation, dishing, erosion, and multiple electrical parameters, such as film Resistance value, resistance value, capacitance value, crosstalk noise, voltage drop, drive current loss, dielectric constant, and effective dielectric constant; and the strategy of generating dummy filling in the manufacturing process according to the attached model of the pattern. It also includes: the strategy of generating dummy and filling in the process according to the pattern auxiliary model generation; and using a cost function (cost functi ο η) to evaluate the process and electrical parameter variation at the wafer stage and perform dummy filling adjustment The impact. It also includes: a strategy for configuring a dummy fill to be generated in a process based on a combination of multiple patterns of auxiliary models; and predicting the impact of the dummy fill generated on the strategy on process variation. It also includes a strategy of arranging dummy fills in a process based on combining multiple sets of pattern attachment models to optimize the wafer stage and electrical parameters of the overall wafer. This also includes generating dummy fill rules based on predicted or simulated wafer stages and electrical parameters, and used in a dummy fill configuration in a semiconductor manufacturing process. Among them, these dummy filling rules include the size of dummy filling. Among them, these dummy filling rules include the configuration of dummy filling. Among them, these dummy filling rules include the form of dummy filling in the classification unit.

1057-5667-PF(Nl).ptd 第34頁 200405184 五、發明說明(32) 成與管理。 —其中亦包括··提供複數虛設填入 ) 入策略;以及利用該等功能 & a功能以產生該虛設填 數GDS電子佈局檔案。 地調整一半導體裝置之複 其中亦包括:在一網路飼 之一半導體裝置之一佈局檔案;二接收自一客戶端傳至 案之複數虛設填入調整;以及 ;;伺服器產生該佈局檔 檔案傳回該客戶端。 μ调整虛設填入之佈局 二::亦包括:在該伺服器上提供 ,使一使用者 麵與在該伺服器上執行之一虛設填入應用進行互動式之設 定(configure);以及該使用者可利用該虛設填入應用 產生虛設填入資訊。 其中亦包括:一使用者可於一網路上確認對應一半導 體設計與一製造製程之虛設填入資訊。 其中,該被確認之虛設填入資訊至少包栝一虚設填入 圖案、一虛設填入策略、或一虛設填入表現其中之一。 其中,被確認之虛設填入資訊係對應該率導體設計之 一單獨内連線層。 其中,被確認之虛設填入資訊係對應該半導體設计之 亀數單獨内連線層。 、、,本 其中亦包括比對複數虛設填入標的之尺寸’ 為2半 導體設計之單一或複數内連線層產生該等標的之一虛設填 入圖案。 其中,該虛設填入資訊包括複數虛設填入規則°1057-5667-PF (Nl) .ptd Page 34 200405184 V. Description of Invention (32) Formation and Management. -This also includes providing a plurality of dummy fill-in strategies; and using these functions & a to generate the dummy fill-in GDS electronic layout file. Local adjustment of a semiconductor device also includes: a layout file of a semiconductor device in an Internet feed; two dummy input filling adjustments received from a client to the case; and; the server generates the layout file The file is returned to the client. μ Adjusting the layout of dummy filling 2: It also includes: providing on the server to enable a user interface to interact with a dummy filling application running on the server for configure (configure); and the use of The person can use the dummy filling application to generate dummy filling information. It also includes: a user can confirm the dummy input information corresponding to half of the conductor design and a manufacturing process on a network. Among them, the confirmed dummy filling information includes at least one of a dummy filling pattern, a dummy filling strategy, or a dummy filling performance. Among them, the confirmed filled-in information is a separate interconnect layer corresponding to the design of the rate conductor. Among them, the confirmed filled-in information is a separate interconnect layer corresponding to the number of semiconductor designs. ,, 本本 It also includes comparing the size of a plurality of dummy fill-in targets 'to a single or multiple interconnected layer of a 2 semiconductor design to generate one of these targets' dummy fill-in patterns. Among them, the dummy filling information includes plural dummy filling rules °

I麵I side

1057-5667-PF(Nl).ptd 第35頁 200405184 五、發明說明(33) 其中’戎圖案包括複數氧化或金屬虛設填入構的。 其中,該虛設填入圖案之該等配置化全 晶片薄膜厚度之變異。 宁、配里 其中’該虛設填入圖案之該等標的係配置以最小化全 晶片於複數電性參數之變異。 一 其中,該等電性參數至少包括薄m 電隊值、 以 電容值、串擾雜訊、壓降、驅動電流損耗、 常數、广 及有效介電常數其中之一。 # 其中’該GDS槽案係被調整m文 平 性與電性效能。 千导瓶' 其中’該網路為一内部網路、一 ^ 外部網路、或 η 其中,该製程包括溝槽製程流程 網際 網路 用者 其中亦包括:在該伺服器上提供一服使一使用々 可與在該祠服器上執行 <一虛設填入應用^行互動式之設 定(configure),以及該使用者可應用 產生虛設填入資訊。 用4虛。又填 白勺改ί I丄:ί : Ϊ入配置策略包括利用複數虛設填入標 ,加cture integrity)電構之結構積體性 其中,該虛設填入配置策略句姑 的維持或改善具低介電電常;之;= : = ;填入標 其中,在-溝槽製程流程之所有 吊數。 電常數。 驟中維持該有效介1057-5667-PF (Nl) .ptd Page 35 200405184 V. Description of the Invention (33) Wherein the 戎 pattern includes complex oxidation or metal dummy filling structure. Among them, the variation of the thickness of the configured full wafer film of the dummy fill pattern. Ning, Peili Among them, the target system configuration of the dummy filling pattern is to minimize the variation of the whole chip in complex electrical parameters. Among them, the electrical parameters include at least one of a thin-m value, a capacitance value, a crosstalk noise, a voltage drop, a driving current loss, a constant, a wide, and an effective dielectric constant. # Where ‘the GDS slot is adjusted for m-level and electrical performance. Thousands of guide bottles 'where' the network is an internal network, an external network, or η where the process includes the trench process flow Internet users also includes: providing a server on the server Using 々 can interact with < perform a dummy fill application ^ configure on the temple server, and the user can apply to generate dummy fill information. Use 4 virtual. I also fill in the change I I: :: The configuration strategy includes the use of a plurality of dummy filling standards, and the structural integrity of the structure is included, and the maintenance or improvement of the configuration strategy is low. Dielectric constant; of; =: =; Fill in the standard, all the hanging numbers in the -trench process. Electrical constant. To maintain the effective medium

200405184200405184

其中,該虛設填入配置策略包括於一溝槽製程济 使用複數虛設填入標的以促成複數低介電係數介棱中 積體性。 何料之 其中亦 (library 〕 置規格;以 其中亦 製程工具、 訊以反應該 ^ 其中亦 其中亦 庫中選擇出 其中亦 使用者介面 導體設計所 其中亦 務取得一半 生之策略所 根據本 列步驟: 之介電層間 案附屬模型 根據本 下列步驟: 包括·維持一半導體虛設填入資訊之資料 ;連結該資料庫並用以產生複數虛設填入 及改變虛設填入資訊以更新該資料庫。 -己 包括:依據至少下列之一儲存校準資訊; 複數配方、以及複數流程;以及更新該校^ 等製程工具、該等配方、或該等流程之變化貝 包括利用該校準資訊產生一虛設填入策略。。 包括根據欲得之複數虛設填入特性之校準 $數製程工具、複數配方、以及複數流程:’ 匕括:一使用者可透過一使用者介面裝置之— 兩利用一單擊(s i ng 1 e c i i ck )操作取得一斗 需之一虛設填入策略。 H : 一使用者可於該網路上利用複數網路服 ::設計所需之一虛設填入策略;以及該所產 ^用之製造製程包含一或多個製造階段。 在f之另一目的’本發明提供一種方法,包括 屉?化學機械沈積或化學氣相沈積低介電常數 ,或塗佈低介電常數之介電層間時採用 珥預測。 ::之另一㈣,本發明提供一種方法,包招 乂據一化學機械研磨製程之電性影響分析與一Among them, the dummy filling configuration strategy includes using a plurality of dummy filling targets in a trench process to facilitate the integration of complex low dielectric constant dielectric edges. What's in it is to set the specifications; it also uses the process tools and information to reflect the ^ which is also selected from the library, which is also the user interface conductor design institute, and also the strategy to obtain half life. Based on this column Steps: The auxiliary model of the dielectric interlayer case is based on the following steps: Including: maintaining a semiconductor dummy fill information; linking the database and generating multiple dummy fills and changing dummy fill information to update the database- It includes: storing calibration information according to at least one of the following; multiple recipes and multiple processes; and updating process tools such as the school ^, these recipes, or changes to these processes, including using the calibration information to generate a dummy filling strategy … Including calibration tools, plural recipes, and plural processes based on the desired number of dummy fill-in characteristics: 'Dagger: A user can use a user interface device — two uses and one click (si ng 1 ecii ck) operation to obtain a dummy fill strategy required by the bucket. H: a user can use on the network Network Services :: a dummy filling strategy required for the design; and the manufacturing process used for the production includes one or more manufacturing stages. Another purpose of f 'is that the present invention provides a method, including a drawer? Prediction is used when mechanically or chemically vapor-depositing a low dielectric constant, or when coating a low dielectric constant between dielectric layers. :: Another aspect, the present invention provides a method that includes a chemical mechanical polishing process Electrical impact analysis and first

200405184 五、發明說明(35) 圖案附屬模型,於一半導體製造製程中產生虛設填入配置 之一策略,以及利用讜圖案附屬模型與該電性影響分析以 评估置入該虛設填入之預期結果; 該模型與該電性影響分析之利用係包含於產生虛設填 入配置之該策略中。 根據本發明之另一目的,本發明提供一種方法,包括 下列步驟:根據一化學機械研磨製程之電性影響分盥一 圖案附屬模型,於一半導體製造製程中產生虛^入配 之一策略,以及利用该圖案附屬模型與該電性影變八沬 •I估置入該虛設填入之預期結果;該所產生之策ςς:以 之製造製程包含一氧化化學機械研磨製程以外之、&、用 程。 表k製 根據本發明之另一目的,本發明提供一種方法, 下列步驟:根據一化學機械研磨製程之一圖案附屬^包括 於一半導體製造製程中產生虛設填入配置之一策略莫型’ 利用該圖案附屬模型以評估置入該虛設填入之箱如’以及 該所產生之策略所適用之製造製程包含一或多個果’ 段。 表k階 其中,每一階段包括一製程配方。 其中,每一階段包括一製程型式。 其中,每一階段包括一製程流程。 根據本發明之另一目的,本發明提供一種方 下列步驟:根據一化學機械研磨製程之一圖案附屬 I括 於一半導體製造製程中產生虛設填入配置之一笛政果型’ 來%;以及200405184 V. Description of the invention (35) A pattern auxiliary model, a strategy for generating a dummy filling configuration in a semiconductor manufacturing process, and the use of a tritium pattern auxiliary model and the electrical impact analysis to evaluate the expected result of placing the dummy filling The use of the model and the electrical impact analysis is included in the strategy of generating dummy fill configurations. According to another object of the present invention, the present invention provides a method including the following steps: according to the electrical influence of a chemical mechanical polishing process, dividing a pattern auxiliary model, and generating a strategy for a dummy match in a semiconductor manufacturing process, And the use of the auxiliary model of the pattern and the electric shadowing 沬 估 I estimate the expected result of the dummy filling; the resulting strategy: the manufacturing process includes the oxidation chemical mechanical polishing process, and & Use. According to another object of the present invention, the present invention provides a method, the following steps: according to a pattern attached to a chemical mechanical polishing process, including a strategy to generate a dummy filling configuration in a semiconductor manufacturing process. The pattern auxiliary model is used to evaluate the placement of the dummy-filled box such as 'and the manufacturing process applicable to the generated strategy includes one or more results'. Table k Stages, where each stage includes a process recipe. Among them, each stage includes a process type. Among them, each stage includes a process flow. According to another object of the present invention, the present invention provides a method according to one of the following steps: a pattern attached according to a chemical mechanical polishing process is included in a semiconductor manufacturing process to generate a dummy filling configuration, a flute fruit type; and%; and

1057-5667-PF(Nl).ptd1057-5667-PF (Nl) .ptd

200405184 五、發明說明(36) 利用該圖案附屬模型以 該所產生之策略所適用 程,其中可移除一種以 其中亦包括複數電 根據本發明之另一 下列步驟:操作一伺服 虛設填入產生 一網路瀏覽器 其 其 其 其中之 微影、 其 其 根 下列步 該設計 之該設 _步驟 符合複 其 其 中,該 中,該 中,該 一:電 電化學 中,該 中,該 據本發 驟:利 應用一 計;根 ;以及 數預設 中,該 中,該 功能;以 以使用該 伺服器位 使用者可 專虛設$ 化學機娀 沈積、以 專虛设嗔 荨虛设填 明之另〜 用一圖索 虛設填入 據該分析 確認根據 之物理與 兩階段包 兩階段包 評估置入該 之製造製程 上的材料。 性分析。 目的,本發 器以提供做 及一使用者可於 虛設填入之預 包含一研磨或 期結果; 平坦化製 荨虛設填入 於該使用者 遠端控制該 入配置功能 沈積、化學 及化學機械 入配置功能 入配置功能 目的,本發 附屬模型分 策略;分析 調整該策略 該已調整設 電性參數。 括兩組或更 括在單一製 明提供一種方法,包括 計之複數 經由操作 為一半導體設 客戶端 能0 配置功 附近。 伺服器 係運用 氣相沈 研磨。 係運用 係運用 明提供 析一積 已應用 ,重複 計所製 於至少 積、塗 下述製程 佈製程、 於淺溝槽隔離。 於氧化介電層。 一種方法,包括 體電路設計;對 該虛設填入策略 該分析並調整複 造之一積體電路 多組製程。 程中兩組或更多組步200405184 V. Description of the invention (36) Use the attached model of the pattern to apply the generated strategy. Among them, one of the following steps can be removed, which also includes a plurality of electricity. According to the invention, the following other steps: operate a servo dummy fill in A web browser, its lithography, its roots, the following steps, the design of the design, the steps of the design are in accordance with it, which, the middle, the one: electrochemistry, the middle, the data according to the present Steps: use a benefit; root; and several presets, the middle, the function; in order to use the server, the user can specifically set up $ Chemical machine 娀 deposition, use the default setting 嗔 netting to specify other ~ Fill in the material in the manufacturing process based on the analysis and confirmation of the physical and two-stage package and two-stage package based on the analysis with a drawing. Sex analysis. The purpose of the device is to provide a grinding or periodical result that a user can fill in the dummy; a flattened netting dummy is filled in at the remote end of the user to control the configuration function of deposition, chemistry and chemical machinery In-configuration function In-configuration function purpose, the attached model of the hair distribution strategy; analysis and adjustment of the strategy should be adjusted electrical parameters. Including two groups or more in a single specification provides a method that includes counting the number of operations by a user. The server uses vapour precipitation. The application is provided by the application of the analysis of a product has been applied, repeat the calculation of at least the product, coating the following process layout process, isolation in shallow trenches. For oxidizing the dielectric layer. A method includes the design of a body circuit; the dummy filling strategy is analyzed and adjusted to reproduce one integrated circuit in multiple sets of processes. Two or more steps in the process

200405184 五、發明說明(37) 其中,該兩階段包括在單一製程型式中兩組或更多 步驟 其中,該兩階段包括在一製程流程中兩組或更多組步 則 其中,該兩階段包括沈積與化 其中,該策略之產生包括產生 之另一目的,本發 用以虛設填入之一 cel 1 placements 設填入以減少一電 用者係經由一網路 產生作業。 服器位於該使用者 用者可遠端控制該 設填入的產生係為 程包括一墊化學機 置虛設填入之策略 根據本發明 下列步驟:定義 馨h i erarch i ca1 單元配置加入虛 其中,一使 執行該虛設填入 其中,該伺 其中,該使 其中,該虛 路服務。 其中,該製 其中,該配 與配置。 其中,該製 interlayer ) 學氣象沈積。 複數組虛設填入之規 明提供一種方法,包括 組複數分級單元配置 );以及利用該等分級 子佈局檔案之大小。 劇覽器與一網路祠服恭 附近。 伺服器。 一伺服器上所提供之網 械沈積製程。 包括決定虛設填入之尺 造製程包括形成一具低介電值之介電間層 其中’該製造製程包括化學氣相沈積或旋塗 (spin-on)具低介電值之介電材質。200405184 V. Description of the invention (37) Wherein, the two stages include two or more steps in a single process type, where the two stages include two or more steps in a process flow, where the two stages include In the deposition and transformation, the generation of the strategy includes another purpose of generation. The present invention uses a dummy fill to cel 1 placements to reduce the amount of electricity generated by a user through a network. The server is located at the user. The user can remotely control the generation of the filling system. The strategy includes a pad of a chemical machine. Once the execution is performed, the dummy is filled in, the server is served, and the service is provided. Among them, the system Among them, the allocation configuration. Among them, the interlayer system is a meteorological deposit. The specification of dummy array filling provides a method, including grouping multiple hierarchical unit configurations; and using the size of these hierarchical sub-layout files. The drama browser and an online temple are nearby. server. A web deposition process provided on a server. Including determining the dummy fill rule. The manufacturing process includes forming a dielectric interlayer with a low dielectric value. ‘The manufacturing process includes chemical vapor deposition or spin-on a dielectric material with a low dielectric value.

1057-5667-PF(Nl).ptd 第40頁 200405184 五、發明說明(38) 其中,該虛設填入策略之產生包括將一半導體設計分 割成複數格(gr i ds )。 其中’該虛設填入策略之產生包括擷取一半導體設計 之每一該等格中區域圖案之密度。 其中,該虛設填入策略之產生包括擷取一半導體設計 之每一該等格中區域線寬。 其中,該虛設填入策略之產生包括擷取一半導體設計 之每一該等格中區域線間距。 其中,該虛設填入策略之產生包括計算每一格之一有 g圖案密度。 其中亦包括利用複數模型對應產生虛設填入策略之一 半導體設計,計算出薄膜厚度之非平坦度 (non-uni formi ty ) ° 其中亦包括計算薄膜厚度之變異。 其中亦包括取得每一該等格中所有物件之座標。 其中亦包括對應母一 $亥等物件產生至少^一組線寬、線 間距、長度、以及接合區塊(bounding Box)。 其中,該虛設填入策略包括於每一該等格之複數空區 (empty areas)加入虛設填入。 ® 其中,該虛設填入包括於複數物件中之複數溝槽 (slots ) 〇 其中包括在加入虛設填入後重新計算一區域密度。 其中亦包括在加入虚設填入後重新計算每一該等格中 一有效圖案密度。1057-5667-PF (Nl) .ptd Page 40 200405184 V. Description of the Invention (38) Wherein, the generation of the dummy filling strategy includes dividing a semiconductor design into a plurality of cells (gr i ds). Among them, the generation of the dummy filling strategy includes capturing the density of the area pattern in each of the cells of a semiconductor design. Wherein, the generation of the dummy filling strategy includes capturing a line width of a region in each grid of a semiconductor design. Wherein, the generation of the dummy filling strategy includes extracting a region line spacing in each grid of a semiconductor design. Wherein, the generation of the dummy filling strategy includes calculating a pattern density of g in each grid. It also includes the use of a complex model to generate one of the dummy filling strategies for semiconductor design, and calculate the non-uniformity of the film thickness. Non-uniformity of the film thickness is also calculated. This also includes calculating the variation in film thickness. It also includes getting the coordinates of all the objects in each grid. It also includes generating at least a set of line widths, line spacings, lengths, and bounding boxes for objects such as a mother and a child. The dummy fill strategy includes adding dummy fills to a plurality of empty areas in each grid. ® Wherein, the dummy filling includes a plurality of slots in a plurality of objects. This includes recalculating a region density after adding the dummy filling. It also includes recalculating a valid pattern density in each of these cells after adding dummy fills.

1057-5667-PF(Nl).ptd 第41頁 200405184 五、發明說明(39) 其中,該虛設填入策略係根據下述規則中至少一類電 性參數變異公差(tolerance):電容值與電阻值、薄膜 電阻值、輸出延遲、偏態(skew )、壓降、驅動電流損 耗、介電常數、或串擾雜訊(crosstalk noise)。 其中,係根據一研磨製程平坦化長度計算出該有效圖 案密度。 其中’係根據擴圓形加權窗(elliptically weighted window )或其他濾波器計算出該有效圖案密 度01057-5667-PF (Nl) .ptd Page 41 200405184 V. Description of the invention (39) Wherein, the dummy filling strategy is based on at least one type of electrical parameter variation tolerance in the following rules: capacitance value and resistance value , Film resistance, output delay, skew, voltage drop, drive current loss, dielectric constant, or crosstalk noise. The effective pattern density is calculated according to a flattening length of a grinding process. Wherein, the effective pattern density is calculated according to an elliptically weighted window or other filters. 0

養| 其中根據极數物理參數決定一薄膜厚度之非平坦 度。 其中’该等物理參數包括密度、線寬、亦/或線間 距0 其中亦包括利用該虛設填入策略降低於化學機械 前或後之電鍍銅沈積變異。 其中’係自動地完成該虛設填入策略。 μί二Ϊ據電子設計方針之複數虛設填入規則隨技術 或複數狄计參數而動態地產生。 _ 其中 有效圖案禮、度係動態地隨一製程平坦化長度 改變。 又 其中’該製造製程包括微影製程。 其中’該製造製程包括電化學式沈積。 其中,該製造製程包括鋼化學機械式研磨。 其中,該製造製程包括電化學機械沈積。The non-flatness of a film thickness is determined according to the physical parameters of the pole number. Among them, these physical parameters include density, line width, and / or line spacing of 0. This also includes using the dummy filling strategy to reduce the variation of electroplated copper deposition before or after chemical machinery. Wherein, the dummy filling strategy is completed automatically. The two dummy filling rules according to the electronic design policy are dynamically generated with the technical or plural digimeter parameters. _ Among them, the effective pattern and degree are dynamically changed with the flattening length of a process. Furthermore, 'the manufacturing process includes a lithography process. Among them, the manufacturing process includes electrochemical deposition. The manufacturing process includes chemical mechanical grinding of steel. The manufacturing process includes electrochemical mechanical deposition.

1〇57-5667-PF(N1)*Ptd 第 42 頁 200405184 發明說明(40) 其中,該製造製程包括低介電常數之介電材質。 其中,該製造製程包括低介電常數之介電材質製程。 其中亦包括自一半導體佈局中擷取出複數圖案附屬。 其中,該等佈局附屬包括對應線間距、線寬、或線 密度。根據本發明之另一目的,本發明提供一種方法,包 括下列步驟··利用已圖案化之測試晶圓或測試複數半導體 裝置之方法,對應一預選工具或製程配方以校準一圖案附 屬模型;以及根據一半導體製程之一圖案附屬模型產生製 程中配置虛設填入之該策略。 ^ 根據本發明之另一目的,本發明提供一種方法,包括 下列步驟:利用下述至少一製程產生複數整體晶片圖案附 屬模型:電化學沈積、電化學機械沈積、銅化學機械研 磨、微影、淺溝槽絕緣化學機械研磨、化學氣相沈積低介 電常數介電層、以及旋塗低介電常數介電層;以及根據該 圖案附屬模型產生製程中配置虛設填入之該策略。 根據本發明之另一目的,本發明提供一種方法,包括 下列步驟:利用一已校準之圖案附屬模型以比對圖案附屬 特徵(features )與複數晶圓階段參數,如最終薄膜厚 ^、薄膜厚度變異、碟陷、侵蝕,以及複數電性參數,如 胃膜電阻值、電阻值、電容值、串擾雜訊、壓降、驅動電 流損耗、介電常數、以及有效介電常數;以及根據該圖案 附屬模型產生製程中配置虛設填入之該策略。 根據本發明之另一目的,本發明提供一種方法,包括 下列步驟:根據該圖案附屬模型產生製程中配置虛設填入1〇57-5667-PF (N1) * Ptd Page 42 200405184 Description of the Invention (40) The manufacturing process includes a dielectric material with a low dielectric constant. The manufacturing process includes a low-k dielectric material process. It also includes extracting a plurality of pattern attachments from a semiconductor layout. Among them, these layout accessories include corresponding line spacing, line width, or line density. According to another object of the present invention, the present invention provides a method comprising the steps of: using a patterned test wafer or a method of testing a plurality of semiconductor devices, corresponding to a pre-selected tool or process recipe to calibrate a pattern accessory model; and The strategy for generating dummy fills in the process is generated according to a pattern auxiliary model of a semiconductor process. ^ According to another object of the present invention, the present invention provides a method including the following steps: using at least one of the following processes to generate a plurality of auxiliary wafer pattern auxiliary models: electrochemical deposition, electrochemical mechanical deposition, copper chemical mechanical polishing, lithography, Shallow trench insulation chemical mechanical polishing, chemical vapor deposition of a low dielectric constant dielectric layer, and spin-coating of a low dielectric constant dielectric layer; and the strategy of configuring dummy filling in the production process according to the attached model of the pattern. According to another object of the present invention, the present invention provides a method including the following steps: using a calibrated pattern accessory model to compare pattern features with multiple wafer stage parameters, such as final film thickness ^, film thickness Variation, dishing, erosion, and complex electrical parameters such as gastric membrane resistance, resistance, capacitance, crosstalk noise, voltage drop, drive current loss, dielectric constant, and effective dielectric constant; and according to the pattern The strategy is configured by filling in dummy models in the auxiliary model generation process. According to another object of the present invention, the present invention provides a method including the following steps: according to the pattern auxiliary model generating process, a dummy filling is configured in the manufacturing process.

1057-5667-PF(Nl).ptd 第43頁 2004051841057-5667-PF (Nl) .ptd p. 43 200405184

五、發明說明(41) 之該策略;以及利用一成本函數(c〇st function )評估 在晶圓階段之製程與電性參數變異而施行虛設填入調整所 造成之影響。 根據本發明之另一目的,本發明提供一種方法,包括 下列步驟:根據結合多組圖案附屬模型產生於一製程中配 置虛设填入之一策略,以及預測依該策略產生之該虛$又填 入對製程變異之影響。 根據本發明之另一目的,本發明提供一種方法,包括 下列步驟:根據結合多組圖案附屬模型產生於一製程中配 •I虛設填入之一策略,最佳化整體晶片之晶圓階段與電性丨_ 參數。 根據本發明之另一目的,本發明提供一種方法,包括 下列步驟·根據已預測或已模擬之晶圓階段與電性參數, 產生複數虛設填入規則,並用於一半導體製造製程中虛設 填入之配置。 、 其中’該等虛設填入規則包括虛設填入之尺寸。 其中’該等虛設填入規則包括虛設填入之配置。 其中,該等虛設填入規則包括虛設填入分級單元之 ^與管理。 '5. The strategy of the invention description (41); and the use of a cost function to evaluate the impact of the dummy filling adjustments on the variation of the process and electrical parameters at the wafer stage. According to another object of the present invention, the present invention provides a method comprising the steps of: configuring a strategy of dummy filling in a process according to a combination of a plurality of pattern sub-models generated; and predicting the virtual $ generated according to the strategy. Fill in the impact on process variation. According to another object of the present invention, the present invention provides a method including the following steps: optimizing the wafer stage of the overall wafer according to a strategy of generating and filling in a process according to a combination of multiple sets of pattern attachment models generated in a process And electrical 丨 _ parameters. According to another object of the present invention, the present invention provides a method including the following steps: According to predicted or simulated wafer stages and electrical parameters, a plurality of dummy filling rules are generated and used for a dummy filling in a semiconductor manufacturing process. Its configuration. , Where 'these dummy filling rules include the dimensions of the dummy filling. Among them, these dummy filling rules include the configuration of dummy filling. Among them, these dummy filling rules include the management and management of filling dummy units. '

根據本發明之另一目的,本發明提供一穆方法,包 =列步·驟:提供複數虛設填入功能以產生該虡設填入策 ,以及利用該等功能自動地調整一半導體装置之複數 GDS電子佈局檔案。 根據本發明之另一目的,本發明提供一穆方法,包According to another object of the present invention, the present invention provides a method including: a set of steps and steps: providing a plurality of dummy filling functions to generate the dummy filling policy, and automatically adjusting the complex number of a semiconductor device by using these functions GDS electronic layout file. According to another object of the present invention, the present invention provides a method, including

200405184200405184

五、發明說明(42) 下列步驟:在一網路祠服器上接收自 ^ ^ ^ 、 導體裝置之一佈局檔案;在該伺服器產生該佈局槽案之半 數虛設填入調整;以及將該已調整虛設填入之佈^槽案$ 回該客戶端。 〃 其中,該伺服器與該客戶端係利用網際網路相連接。 其中,該伺服器與該客戶端係利用外部網路網路 接。 、運V. Description of the invention (42) The following steps: Receive a layout file from ^ ^ ^, one of the conductor devices on a network server; fill in half of the layout slots generated by the server with dummy settings; Adjusted the dummy filled cloths and slots $ Back to the client 〃 The server and the client are connected via the Internet. The server and the client are connected via an external network. Yun

其中,該伺服器與該客戶端係利用内部網路相連接。 其中’該伺服器與該客戶端係利用虛擬私人網路 •virtual private network, VPN)相連接。 其中,該伺服器與該客戶端係利用安全防護(secure she 11,SSH )安全通訊協定。 ^ 其中,該伺服器與該客戶端係利用安全封包層 (secure socket layer,SSL)安全通訊協定。 其中,該伺服器與該客戶端係利用虛擬私人網路 (virtual private network,vpn)安全通訊協定。 根據本發明之另一目的,本發明提供一種方法,勹 下列步驟:在該伺服器上提供一服務,使一使用者可:The server and the client are connected using an internal network. Among them, the server and the client are connected using a virtual private network (VPN). Wherein, the server and the client use a secure she 11 (SSH) security communication protocol. ^ The server and the client use a secure socket layer (SSL) security protocol. The server and the client use a virtual private network (vpn) security communication protocol. According to another object of the present invention, the present invention provides a method, the following steps: providing a service on the server, so that a user can:

^伺服器上執行之一虛設填入應用進行互動式之設” ’configure);以及該使用者可利^ A dummy fill application running on the server is used for interactive setup "" configure); and the user can benefit

生虛設填入資訊。 丹八應用J f :,該使用者係位於相對 根據本發明之另-目的,本發明提供一種方法 步驟:一使用者可於^ 7 A包括下列 、、周路上確認對應一半導體設計與一Fill in the information. Dan Ba applied J f: The user is located in accordance with another object of the present invention, the present invention provides a method Step: A user can confirm at ^ 7 A including the following

200405184200405184

五、發明說明(43) 製造製程之虛設填入資訊。 声設填入 其中,該被確認之虚設填入資訊至少包括^。 圖案、一虛設填入策略、或一虛設填入表現其减抓钟之 其中,被確認之虛設填入資訊係對應該半V體成ΰ 一單獨内連線層。 其中,被確認之虛設填入資訊係對應該半導體設計之 複數單獨内連線層。 其中亦包括比對複數虛設填入標的之尺寸,並為該半 導體設計之單一或複數内連線層產生該等標的之一虛設填V. Description of the invention (43) The dummy filling information of the manufacturing process. Accompanied by filling in, the confirmed dummy filling information includes at least ^. Among the patterns, a dummy filling strategy, or a dummy filling performance representing its minus clock, among them, the confirmed dummy filling information corresponds to a semi-V body to form a separate interconnecting layer. Among them, the confirmed dummy filling information is a plurality of separate interconnect layers corresponding to the semiconductor design. It also includes comparing the dimensions of a plurality of dummy filling in the target, and generating a dummy filling of one of the targets for a single or multiple interconnecting layer designed for the semiconductor.

|圖案。 其中,該虛設填入資訊包括複數虛設填入規則。 其中,該圖案包括複數氧化或金屬虛設填入標的。 其中’該虛设填入圖案之該等標的係配置以最化全 晶片薄膜厚度之變異。 Mi 其中’該虛設填入圖案之該等標的係配置以 晶片於複數電性參數之變異。 萊:小化玉 其中,該等電性參數至少包括薄膜電阻“ 電容值、串擾雜訊、壓降、驅動電流損耗、八電阻值、 及有效介電常數其中之一。 ;丨電常數、以| Pattern. The dummy filling information includes a plurality of dummy filling rules. Among them, the pattern includes plural oxidation or metal dummy filling in the target. Among them, the target system of the dummy filling pattern is configured to optimize the variation of the thickness of the whole wafer film. Mi Among these, the target system configuration of the dummy filling pattern is the variation of the chip in a plurality of electrical parameters. Lai: Xiaohuayu Among them, these electrical parameters include at least one of the thin film resistance “capacitance value, crosstalk noise, voltage drop, drive current loss, eight resistance value, and effective dielectric constant.

_其中,該GDS檔案係被調整以改進該 坦性與電性效能。 v體名置之平 其甲 该嚴程包括溝槽製程 的改善低介電電常數之:=虛設填入標_ Among them, the GDS file is adjusted to improve the natural and electrical performance. The name of the body is the same as that of the low-dielectric constant improvement of the stringent process including the trench process: = dummy fill in the standard

1057-5667-PF(Nl).ptd 第46頁1057-5667-PF (Nl) .ptd Page 46

200405184 五、發明說明(44) (structure integrity ) 〇 其中,該虛設填入配置策略包括利用複數虛設填入標 的維持或改善具低介電電常數之介電結構之介電常數。 其中,在一溝槽製程流程之所有步驟中維持該有效介 電常數。 其中,該虛設填入配置策略包括於一溝槽製程流程中 使用複數虛設填入標的以促成複數低介電係數介電材料之 積體性。200405184 V. Description of the invention (44) (structure integrity) 〇 The dummy filling configuration strategy includes using a plurality of dummy filling targets to maintain or improve the dielectric constant of a dielectric structure with a low dielectric constant. The effective dielectric constant is maintained in all steps of a trench process flow. The dummy filling configuration strategy includes using a plurality of dummy filling targets in a trench manufacturing process to facilitate the integration of a plurality of low-k dielectric materials.

根據本發明之另一目的,本發明提供一媒體,可負載 •I訊,並設定一裝置使一使用者可搜尋並取得包含在複數 半導體裝置設計中複數虛設填入標的之複數尺寸資訊。 根據本發明之另一目的,本發明提供一媒體,可負載 資訊,並設定一裝置使一使用者可搜尋並取得包含在複數 虛設填入標的或圖案之複數資料庫資訊。 根據本發明之另一目的’本發明提供一媒體,可負載 > ’並設定一裝置使 使用者可搜哥並取得包含在複數 虛設填入規則之複數資料庫資訊。。According to another object of the present invention, the present invention provides a medium that can load an I message and configure a device so that a user can search for and obtain a plurality of dummy size information included in a dummy semiconductor device design included in a plurality of semiconductor device designs. According to another object of the present invention, the present invention provides a medium capable of loading information, and a device is set up so that a user can search and obtain plural database information contained in plural dummy filling targets or patterns. According to another object of the present invention, the present invention provides a medium that can load > and set a device so that a user can search for a brother and obtain plural database information contained in plural dummy filling rules. .

根據本發明之另一目的,本發明提供一種方法,包括 2列步驟:維持一半導體虛設填入資訊之資料庫 ,1 ibrary );連結該資料庫並用以產生複數虛設填入配 置規格;以及改變虚設填入資訊以更新該資料庫。 根據本發明之另一目的,本發明提供一種方法,包括 下列步驟:依據至少下列之一儲存校準資訊:複數製程工 具、複數配方、以及複數流程;以及更新該校準資訊以反According to another object of the present invention, the present invention provides a method comprising two rows of steps: maintaining a database of semiconductor dummy filling information, 1 ibrary); linking the database and generating a plurality of dummy filling configuration specifications; and changing Fill in the information to update the database. According to another object of the present invention, the present invention provides a method comprising the steps of: storing calibration information according to at least one of the following: a plurality of process tools, a plurality of recipes, and a plurality of processes; and updating the calibration information to reflect

1057-5667-PF(Nl).ptd1057-5667-PF (Nl) .ptd

ZUU4UM84 五、發明說明(45) 應該等製程工具、 其中亦包括刹= 方、或該等流程之變化。 其中亦包括j:該?準資訊產生一虛設填入策略。 庫中選擇出複數擎欲侍之複數虛設填入特性之校準資料 根據本發明‘二=具、複數配方、以及複數流程。 下列步驟:一使用目★的,本發明提供一種方法,包括 介面,利用—單擊°.透過~使用者介面裝置之一使用者 計所需之一虛設填入click)操作取得一半導體設 其中,5亥製程包括溝禅。 其中亦包括:一你爾紅(mascene process) 暴取得一半導體設計可=該網路上利用複數網路服 根據本發明之另::;一虛設填入策略。 下列步驟:特徵化1體電路提供一種方法’包括 據一製程於該積體電路中 =兀件尺寸之變異,根 貝 叫平所產生拓撲圖幸 (t〇p〇graphical )變異之一 _ 示 其中,製造該拓撲圖案變異。 化學機械研磨。 Μ、壬L括電鍍銅沈積或或 其中,該製程包括一微影或蝕刻製P _ 電圖案變異互相影響以產生複數元:“製程與該拓 其中’該蝕刻製程包括一電漿蝕刻製二,異。 根據本發明之另_目的,本發王接 下列步驟··利用拓撲圖案式變化之一 種方法,包括 一積體電路之複數元件尺寸變里附屬模型,預測 …次複數電性特徵,該積體ZUU4UM84 V. Description of the invention (45) It should wait for the process tools, which also includes brakes or squares, or changes in these processes. It also includes j: the? The quasi-information generates a dummy filling strategy. According to the present invention, ‘two = tools, multiple formulas, and multiple processes are selected from the library. The following steps: a method using the present invention, the present invention provides a method, including an interface, using-click °. Through ~ a user interface device one of the user's device needs a dummy fill into the click) operation to obtain a semiconductor device which , 5 Hai process includes ditch Zen. It also includes: a Mascene process to obtain a semiconductor design can = use a plurality of network services on the network according to another of the present invention :; a dummy filling strategy. The following steps: Characterize the 1-body circuit and provide a method 'including a process in the integrated circuit = a variation in the dimensions of the element, one of the topographical (t0p〇graphical) variations produced by Genbei Ping. Among them, the topological pattern variation is produced. Chemical mechanical grinding. M, N or L include electroplated copper deposition or or where the process includes a lithography or etching process. P_ The electrical pattern variation affects each other to produce a complex number: "The process and the extension. 'The etching process includes a plasma etching process According to another object of the present invention, the present invention follows the following steps: A method using topology pattern change, including an attached model of the complex element size change of an integrated circuit, to predict ... the complex electrical characteristics, The integration

1057-5667-PF(Nl).ptd 第48頁 200405184 生拓撲式變 預設之元件 明之另~目 用拓撲圖案· 複數元件& 含微影或蝕 預設之元件 複數元件尺^ 製程包括電 、或溝槽深 等特徵包括 荨特徵包括 製程包括電 製程包括化 荨特徵包括 等特徵係相 等特徵係相 變該等預測 變該等預測 變該等預測 該 該 該 該 該 該 該 改 改 之一設計而被製造; 特徵以符合該設計。 提供一種方法,包括 圖案附屬模型,預測 數電性特徵,該積體 之一設計而被製造; 特徵以符合該設計; 性特徵。 該等特徵包括侧壁角 寸。 徵。 « 體電路。 體電路之内。 變複數元件寬度。 改變該拓撲式變異。 變因尺寸寬度變化而 五、發明說明(46) 電路係依據產 以及改變該等 根據本發 下列步驟:利 一積體電路之 電路係依據包 以及改變該等 该專特徵包括 其中,該 _|、溝槽寬度 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中,改 改變複數物理與電性參數 其中,係根據自一網 (response )完成該預測 其中,係由一網路服 異之一製程 尺寸或電性 的’本發明 式變化之一 寸變異或複 刻之一製程 尺寸或電性 寸或複數電 漿餘刻,且 度。 複數元件尺 複數電性特 鍍銅沈積。 學機械研磨 元件寬度。 關於所有積 關於所有積 特徵包括改 特徵亦包括 特徵包括改 路電性地接收一請求之反應 或變化。 務提供該預測或該變化。1057-5667-PF (Nl) .ptd P.48 200405184 The components of topological variable presetting are different ~ Topological patterns for the purpose · Multiple components & Components containing lithographic or etch presets Features such as deep grooves, deep grooves, and the like include the manufacturing process including the electrical process including the manufacturing process. The features include equal features, phase changes, the forecast changes, the forecast changes, and the forecasts. Designed to be manufactured; features to fit the design. A method is provided, which includes a pattern attachment model, predicting a number of electrical characteristics, and one of the products is designed and manufactured; the characteristics are in accordance with the design; and the sexual characteristics. These features include sidewall angles. Sign. «Body circuit. Within the body circuit. Variable component width. Change the topological mutation. Variation due to changes in size and width V. Description of the invention (46) The circuit is based on the production and the following steps are changed according to this issue: the circuit of the Liyi integrated circuit is based on the package and the special features are changed, including the _ | Trench width, among which, among which, among which, among them, change the complex physical and electrical parameters. Among them, the prediction is based on the response from a network (response), which is based on a network service or a different process size or The electrical characteristics of the present invention are one inch variation or one process size or electrical inch or multiple plasma remaining moments. Multiple element rule, multiple electrical characteristics, copper plating deposition. Mechanical grinding element width. About all products About all products Features include changes and also features include changes to receive or respond to a request electrically. To provide the forecast or the change.

1057-5667-PF(Nl).ptd 第49頁 200405184 五、發明說明(47) 變化之一圖案附屬模型,預測 變異或複數電性特徵步驟係包 徵。 括複數製程配方。 括一工具之互異的工具設定。 括複數電源設定。 括複數蝕刻時間。 括複數研磨時間。 括複數沈積時間。 括複數壓力。 括複數工具。 互異之供應者(vendor)所提 其中 其中 其中 其中 其中 其中 其中 其中 « 其中,利用拓撲圖案式 一積體電路之複數元件尺寸 括根據至少兩互異之製程特 其中,該等製程特徵包 該等製程配方包 該等製程配方包 該等製程配方包 該等製程配方包 5亥荨製程配方包 該專製程配方包 該等製程特徵包 該等工具係由兩 供 括複數消耗品。 複數光阻或複數光罩型式。 測於該等製程特徵中選擇。 作為一網路中之一服務。 部網路、一外部網路、或一網 其中,該等製程特徵包 其中,該等消耗品包括 其中亦包括根據該等預 其中,該特徵化步驟係 其中,該網路包括一内 , g網路’且該特徵化係依據複數使用者請求而提供^ 二’)亦包括與該特徵化步驟相結合之一電子設計自動化 根據本發明之另一目的,本發明提供一種方法,包 :列步驟:利用-圖案附屬模型預測一積體電路之複 件尺寸變異,該積體電路係依據包含分授(impart)該=1057-5667-PF (Nl) .ptd Page 49 200405184 V. Description of the Invention (47) One of the variations of the pattern attached model, which predicts the steps of variation or complex electrical characteristics. Including plural process recipes. Including different tool settings for a tool. Including multiple power settings. Including plural etching times. Including multiple grinding times. Including plural deposition times. Include plural pressures. Include plural tools. Among them, which are mentioned by different vendors, among which among which among which «Among them, the size of a plurality of components using a topological pattern of an integrated circuit includes according to at least two different manufacturing processes. Among them, the manufacturing characteristics include Other process recipes, process recipes, process recipes, 5 process recipes, special process recipes, process feature packages, and tools are made up of two consumables. Multiple photoresistor or multiple photomask types. Measured in these process characteristics. As a service in a network. The external network, an external network, or a network, among which the process feature package includes the consumables, which also includes according to the pre-characterization, the characterization step is in which the network includes an internal, g Network 'and the characterization is provided according to a plurality of user's requests ^ 2') also includes an electronic design automation combined with the characterization step According to another object of the present invention, the present invention provides a method, including: Step: Use the -pattern attached model to predict the size variation of an integrated circuit. The integrated circuit is based on the

l〇57-5667-PF(Nl).ptd 第50頁 200405184 五、發明說明(48) 體電路之拓撲變化之_生產製程之一製程之一設計而被製 造。 其中,該製程包括電鍍銅沈積(ECD )。 其中,該製程包括化學機械研磨(CMP )。 八中 元件尺寸中該等模型預測變異係導因於該製程 步驟與一微影或蝕刻製程間之互動(int^acti〇n)。 其,,該特徵化步驟係作為一網路中之一服務。 其中,該網路包括一内部網路、一外部網路、或一網際網 路’且該特徵化係依據複數使用者請求而提供。 ^其中亦包括與該特徵化步驟相結合之一電子設計自動 化(EDA )。 根據本發明之另一目的,本發明提供一種方法,包括 下列;/驟利用圖案附屬模型預測一層級之一積體電路 之複數it件尺寸特徵,該積體電路係依據—設計而被製 ’以及確w該等預測之元件尺寸特徵符合該設計之需 求。 其中亦包括在預測該等元件尺寸特徵後加入一電路元 ,,該=又叶中,以及,在加入該元件於該設計之後,確認 等預設元件尺寸特徵符合該等設計規格。 其中’該等特徵包括元件寬度。 其中,係由一網路服務提供該預測與該確認。 其中"亥網路包括一内部網路、一外部網路、或一網 際網路,且該預测與確認係依據複數使用者請求而提供。 其中亦包括與該預測與確認步驟相結合之一電子設計 Ιϋ^ΙΙ Μι 第51頁 1057-5667-PF(Nl).ptd 200405184 五、發明說明(49)〇57-5667-PF (Nl) .ptd Page 50 200405184 V. Description of the invention (48) Topological change of the body circuit _ production process is one of the processes and is designed and manufactured. The process includes electroplated copper deposition (ECD). The process includes chemical mechanical polishing (CMP). The predicted variation of these models in the element size of Bazhong is due to the interaction between this process step and a lithography or etching process (int ^ actioon). The characterization step is a service in a network. The network includes an internal network, an external network, or an Internet 'and the characterization is provided according to a plurality of user requests. ^ It also includes an electronic design automation (EDA) in combination with this characterization step. According to another object of the present invention, the present invention provides a method, which includes the following; / The use of a pattern attachment model to predict the dimensional characteristics of a plurality of integrated circuits of a one-level integrated circuit, the integrated circuit is made based on design And make sure that these predicted component dimensional characteristics meet the requirements of the design. It also includes adding a circuit element after predicting the size characteristics of the components, the = Ya, and, after adding the component to the design, confirming that the preset component size characteristics meet the design specifications. Among these features include component width. Among them, the prediction and confirmation are provided by a network service. The " Hai network includes an internal network, an external network, or an Internet, and the prediction and confirmation are provided based on a plurality of user requests. It also includes an electronic design combined with this prediction and confirmation step Ιϋ ^ ΙΙ Μιpage 51 1057-5667-PF (Nl) .ptd 200405184 V. Description of the invention (49)

自動化(EDA 根據本發日月 _ 下列步驟:利:j:”,本發明提供一種方法,包括 徵,_籍_ $ 一圖案附屬模型預測一積體電路之複數特 電路之拓撲變化之一4基制立(a)刀杈(lmpart) s亥積體 影或蝕列 P 產I耘之一製程,以及(b ) —微 〆 x製矛茨之一設計而被製造;以月被切今蓉箱制之特 徵符合該設計之複數規格。^ ’以及確為5亥專預测之特 下列:2本:明之另一目的,本發明提供-種方法,包括 β,二籍雜Φ用:圖案附屬模型預測一積體電路之複數特 變化之-生產製程之一製程:以):(=積= = 蝕刻製程之一讲斗而站制、4 ^ ( b ) 一連串之微影或 Μ Μ ίΐ ^ ^ « Γ 〇t被製4 ,以及確認導因於該微影或蝕 ^製知之该製程之該等預測之特徵符合該設計之複數規 m 3 ί本發明之另一目的,本發明提供-種方法,包括 ^ •於一測試晶圓上施行一微影或蝕刻製程;自嗲 元晶】上取得因該微影或㈣製程產生之複: j案附屬模型中使用該特徵資訊。 d衣私之一 根據本發明之另一目的,本發明提供一種方法,勺 驟:利用一圖案附屬模型預測一積體電 = 件尺寸之複數相對變&,該積體電路係分別㈣ = ^微影或蝕刻工具或消耗品之複數製程之—設計而被製" & ’以及選取該等製程之一並根據該等相對預測變異製造Automation (EDA According to the date and month of this issue _ the following steps: Lee: j: ", the present invention provides a method, including: _ Ji_ $ a pattern attached model predicts one of the topological changes of a complex circuit of an integrated circuit 4 Based on (a) one of the processes of lmpart, sine product, or etching process, and (b) —a design of one of the micro-punch x spears; it is cut to the present month The characteristics of the Rong Box system meet the plural specifications of the design. ^ 'And the following are indeed the predictions of the 5 Haizhuan prediction: 2 books: Another purpose of the invention, the present invention provides a method, including β, two miscellaneous Φ: The pattern attachment model predicts the complex and special changes of an integrated circuit-one of the production processes: to): (= product = = one of the etching processes, and a stand-by, 4 ^ (b) series of lithography or Μ Μ ί ^ ^ «Γ 〇 was made 4 and confirmed that the characteristics of the predictions resulting from the process known by the lithography or etch ^ conform to the plural specification of the design m 3 Another object of the present invention, the present invention Provide a method, including ^ • performing a lithography or etching process on a test wafer; The complex generated by the lithography or ㈣ process: the feature information is used in the auxiliary model of case j. D. One of the clothing manufacturers According to another object of the present invention, the present invention provides a method: using a pattern auxiliary model to predict a Integrated circuit = the relative change in the number of parts & the integrated circuit is ㈣ = ^ lithography or etching tools or consumables of multiple processes-designed and manufactured " & 'and the selection of these processes Manufacturing based on these relative prediction variants

200405184 五、發明說明(50) 該積體電路。 其中’係由一網路服務提供該預測。 其中’該網路包括一内部網路、一外部網路、或一網 際網路’且該特徵化係依據複數使用者請求而提供。 其中亦包括與該特徵化步驟相結合之一電子設計自動 化(EDA )。200405184 V. Description of the invention (50) The integrated circuit. Where 'is the prediction provided by a web service. Wherein the network includes an internal network, an external network, or an Internet, and the characterization is provided according to a plurality of user requests. It also includes an electronic design automation (EDA) in combination with this characterization step.

其中,該微影製程包括MV (deep ultraii〇let)、 EUV (extremely short UV)、或離子投射微影製程(IPL • 其中,該等元件尺寸之量測係採用掃瞄式電子顯微鏡 SM (scanning electron microscopy)、散射量測與掃 目苗式探針顯微鏡、草擬線邊工具^^ (Hne edge r o u g h n e s s )、或三度空間量測技術。 根據本發明之另一目的,本發明提供一種方法,包括 下列步驟:利用一圖案附屬模型確認一積體電路之一1^ 之複數晶片層級元件可集中於一微影工具之複數 ^ °十 以製造。 f j而加 網路服務提供該確認 其中’係由 其中,該網路包括一内部網路、一外部網路、戈—么 _網路,且該特徵化係依據複數使用者請求而提供一。網 其中亦包括與該確認步驟相結合之一電子設外έ (EDA ) 。 。丨目動化 根據本發明之另一目的,本發明提供一種方法,勺 下列步驟:利用一圖案附屬模型預測一積體電路—括Among them, the lithography process includes MV (deep ultraii〇let), EUV (extremely short UV), or ion projection lithography process (IPL). Among them, the measurement of the size of these components is performed using a scanning electron microscope SM (scanning electron microscopy), scattering measurement and eye-catching seedling probe microscope, Hne edge roughness, or three-dimensional space measurement technology. According to another object of the present invention, the present invention provides a method, It includes the following steps: using a pattern attached model to confirm that a plurality of chip-level components of one of the integrated circuits 1 ^ can be concentrated on a plurality of lithographic tools ^ ° ten to manufacture. Fj plus network services to provide the confirmation which is' system From this, the network includes an internal network, an external network, and a network, and the characterization is provided according to a plurality of user requests. The network also includes one combined with the confirmation step. Electronic external device (EDA) ... 丨 Motion according to another object of the present invention, the present invention provides a method, the following steps: using a pattern attached model to predict a integrated power Road-including

200405184 五、發明說明(51) --—--- 之一設計是否可根據該設計微影式地成像;若否, 設計或複數製程參數以達成成像。 °正以 其中,該調整步驟包括選取一微影工具之複數最佳工具設 其中’该調整步驟包括選取複數最佳光阻材質。 其中,該調整步驟包括選取複數最佳光阻沈積配方 其中,該調整步驟包括調整一工具之複數設定。 其中’該調整步驟包括調整複數電源設定。 其中’該調整步驟包括調整複數蝕刻時間。200405184 V. Description of Invention (51) Whether one of the designs can be imaged lithographically based on the design; if not, design or plural process parameters to achieve imaging. ° Among them, the adjustment step includes selecting a plurality of optimal tool settings of a lithography tool, wherein ′ the adjustment step includes selecting a plurality of optimal photoresist materials. The adjusting step includes selecting a plurality of optimal photoresist deposition recipes. The adjusting step includes adjusting a plurality of settings of a tool. Among them, the adjustment step includes adjusting a plurality of power settings. Among them, the adjusting step includes adjusting a plurality of etching times.

% 其中,該調整步驟包括調整複數研磨時間。 其中’該調整步驟包括調整複數沈積時間。 其中’該調整步驟包括調整複數壓力。 根據本發 下列步驟:利 明之另一 用一圖案 徵,該積體電路係依據 變化之一生產製程之一 程之一設計而 微影或姓刻製 JiF用,使該等 測之複數特 該生產製程間 根據本發 下列步驟··當 級(degree ) 被製造; 程;該微 預測特徵 徵調整該 相互作用 明之另一 一微影工 而無法產 目的,本發明提供一種方法,包才 附屬模型預測一積體電路之複數4 包含(a)分授該積體電路之拓撲 I私’以及(b ) —微影或餘刻製 利用由該設計產生之一光罩進行言 影或蝕刻製程與該生產製程間相] 與該設計互異;以及對應該模型戶 光罩,以降低該微影或蝕刻製程| 之效應。 目的,本發明提供一種方法,包才 具於生產期間未調整該工具之一 ^ 生一合適元件尺寸,利用一圖案p% Among them, this adjustment step includes adjusting the multiple grinding time. Among them, the adjusting step includes adjusting a plurality of deposition times. Among them, the adjustment step includes adjusting a plurality of pressures. According to the following steps of this issue: Another application of Li Ming is a pattern sign. The integrated circuit is designed for lithography or surname engraving JiF according to a design change in one of the processes of a production process. The production process is manufactured in accordance with the following steps of the present invention: · When the degree (degree) is manufactured; the micro-prediction feature adjusts the interaction of another lithographer to fail to produce the purpose, the present invention provides a method, the package is attached The model predicts a complex number 4 of an integrated circuit, including (a) the topology of the integrated circuit, and (b)-lithography or post-etching using a photomask produced by the design for speech or etching processes. And the production process] are different from the design; and corresponding to the model's mask, to reduce the effect of the lithography or etching process |. For the purpose, the present invention provides a method for producing a tool without adjusting one of the tools during production.

五、發明說明(52) 屬模型預測一積辦带 具之一對焦限制.電路之一位置(location)以符合該工 -光罩,使變該料或自該設計取得之至少 寸。 办工具可於該等位置產生一合適的元件尺 其中’該對焦限制包括對焦深度。 其中,该工具包括一微影步進機。 其中亦包括利用一電子設計自動化(EDA )工具結合 至少該預測或該決定其中之一。 一、 其中’為圖案附屬模型至少接收下列之一作為輸入:V. Description of the invention (52) The model predicts the focus limit of a multi-purpose belt. The location of a circuit is in accordance with the mask, so that the material is changed or at least inch obtained from the design. The office tool can generate a suitable component ruler at these positions, where the focus limit includes the depth of focus. The tool includes a lithography stepper. It also includes using an electronic design automation (EDA) tool to combine at least one of the prediction or the decision. I. where ′ is a pattern accessory model that receives at least one of the following as input:

f積體電路之袓數佈局或設計規格、該微影工具:複數參 與複數設定、以及利用該微影工具生產之複數測試晶圓 之資料。 其中,利用該圖案附屬模型之步驟包括決定是否可根 據利用該微影工具之設計製造一積體電路。 其中,改變該設計或該光罩亦產生一合適電性。 其中,該位置位於該設計之一區域中,且在生產期間 未調整該微影工具。 其中,該設計包含複數其他積體電路,且在生產期間 於複數積體電路間調整該微影工具以符合该對焦限制。 中,改變設計或光罩,使該微影工具可於該等位置產生 一合適的元件尺寸。 其中,該元件尺寸包括線尺寸 其中,該元件尺寸包括線間距。 其中,該元件尺寸包括線遂度f. The layout or design specifications of the integrated circuit, the lithography tool: the number of complex parameters and the setting of the lithography tool, and the data of the test wafer produced by the lithography tool. Among them, the step of using the pattern auxiliary model includes determining whether an integrated circuit can be manufactured according to the design using the lithography tool. Among them, changing the design or the photomask also produces a suitable electrical property. Among them, the location is in one of the areas of the design, and the lithography tool was not adjusted during production. The design includes a plurality of other integrated circuits, and the lithography tool is adjusted between the plurality of integrated circuits during production to meet the focus limit. However, the design or reticle is changed so that the lithographic tool can produce a suitable component size at these locations. The component size includes a line size, and the component size includes a line pitch. Among them, the size of the element includes

1057-5667-PF(Nl).ptd1057-5667-PF (Nl) .ptd

第55頁 200405184 五、發明說明(53) 其中,改 數元件之該等 其中,係 〇 其中,該 際網路,且該 根據本發明之 變該設計 尺寸。 由一網路 或光罩包括改變該設計或光罩中複 服務提供該預測或該變化其中 網路包括 預测或改 一 η 口丨、、、问給、一外部網路、 變係依據複數使用者請求而提二網 ,本發明提供一種方法,包 域中一位置執行—微影工具之 一圖案附屬模型預測產生之具_光 虛擬调整’该晶圓之該區域中該位 焦限制而不具一合適之元件尺寸。 限制包括一區域深度(depth-of-field)阳 括:物理性地自一晶圓之一區域中該微影工 該微影工具之一距離,以符合該對焦限^。 改變一原始光罩佈局之複數部分以產生該佈 一單一積體電路。 该晶圓之該區域中具有小於一預設尺寸之一 根據於該晶圓上之一記號物理性地調整自該 工具之該距離。 括:在該晶圓之該區域中對其他複數位置執 另 步驟·自'一晶圓之 離之一虛擬調 之一光 微影工 該對焦 _^布局 置因該 其中, 其 具成像 其 局。 其 其 寸。 其 區域之 其 行虛擬 中亦包 上調整 中,係 中包括中,於 中,係 該微影 中亦包 調整。 目的 一區 整,根據 罩執行該 具之一對Page 55 200405184 V. Description of the invention (53) Among them, among the modified components, among them, 〇 Among them, the Internet, and the design size according to the invention. A network or a mask includes changing the design or providing services in the mask to provide the prediction or the change, wherein the network includes the prediction or the modification of a port, a, an internet, an external network, and a variation based on a plural The user requests to mention the second network. The present invention provides a method for performing a position in the package domain—a pattern attached model prediction of a lithography tool with the _light virtual adjustment 'limit of the focal point in the area of the wafer. Does not have a suitable component size. Limitations include a depth-of-field including: a distance from the lithographer and the lithography tool physically in a region of a wafer to meet the focus limit ^. A plurality of portions of an original mask layout are changed to produce the layout as a single integrated circuit. The area of the wafer has less than one of a predetermined size. The distance from the tool is physically adjusted according to a mark on the wafer. Including: perform another step on other plural positions in the area of the wafer. • The photomicrographer can focus on one of the virtual tunes from one wafer away. ^ The layout is due to the fact that it has its own imaging. . Its its inch. The activities in its area are also included in the adjustment, including the middle, and in the middle, the lithography also includes the adjustment. Purpose A whole area, execute one of the pair according to the hood

1057-5667-PF(Nl).ptd 第56頁 200405184 晶圓之該區域外對其他複數位置執 包括線尺寸。 包括線間距。 包括線密度。 目的,本發明提供一種方法,包括 附屬模型預測複數拓撲式變異,其 可加速一預定微影製程步驟;以 私V驟中複數光罩之複數設計以符 服務提供該預測或該變化其中之 内網路、一外部網路、或 變係依 目的, 或餘亥|J 圖案化 案附屬 複數特 包括各 包括多 距尺寸 一預設 網 五、發明說明(54) 一其中亦包括:在該 行虛擬調整。 其中,該元件尺寸 其中,該元件尺寸 其中,該元件尺寸 根據本發明之另一 下列步驟:利用一圖案 發生於一積體電路中, 及调整使用於該微影製 β亥專拓撲式變里。 其中,係由一網路 〇 其中,該網路包括 際網路,且該預測或改 根據本發明之另一 下列步驟:利用— 化-測試晶圓;根;: 據該特徵化、利用一圖 g影或蝕刻製程產生之 其中,該光罩設計 其中’該光罩設計 等光罩包括各類線與間 其中,該製程包括 姓刻配方。 據複數使用者請求而提供 本發明提供一種方法,包括 製程產生一光罩設計以圖案 晶圓特徵化該製程;以及根 模型預測複數積體電路因該 徵。 類線與間距尺寸。 重層級之多重光罩,每一該 0 微影或餘刻工具,或微影或1057-5667-PF (Nl) .ptd Page 56 200405184 Outside of this area of the wafer, other plural positions are included, including line dimensions. Include line spacing. Including linear density. Purpose, the present invention provides a method, including an auxiliary model predicting a complex topological variation, which can accelerate a predetermined lithographic process step; providing the prediction or the change within the service by using the complex design of the complex reticle in the private step. Network, an external network, or variants depending on the purpose, or Yu Hai | J patterning case attached plural include each including multi-size size a default network V. invention description (54) one which also includes: in the line Virtual adjustment. Wherein, the component size is the component size, the component size is according to another following step of the present invention: using a pattern to occur in a integrated circuit, and adjusting and using it in the lithography βHai topology topology change. . Wherein, it is a network. Wherein, the network includes the Internet, and the prediction or modification is based on another following step of the present invention: utilization-test-wafer; root; Figure g is produced by the shadow or etching process, where the photomask design includes the photomask design and other photomasks including various lines and spaces, and the process includes the last name engraving formula. Provided upon request from multiple users The present invention provides a method including a process of generating a mask design to pattern the wafer to characterize the process; and a root model predicting the complex integrated circuit due to the feature. Class line and spacing dimensions. Multi-level multiple masks, each of these 0 lithography or afterglow tools, or lithography or

IMIM

l〇57-5667-PF(Nl).ptd 第57頁 200405184 五、發明說明(55) 其中, 其中, 其中, 其中, 其中, 該等製程 該等製程 該等製程 該等製程 该專製程 其中亦包括與該 至少其中之一步驟 包括複數預設電源設定 包括複數預設蝕刻時間 包括複數預設研磨時間 包括複數預設沈積時間 包括複數預設壓力。 產生步驟、該特徵化步 與該預測步〇57-5667-PF (Nl) .ptd Page 57 200405184 V. Description of the invention (55) Among them, among which, among which, among them, the processes are the processes the processes are the processes Including at least one of the steps includes a plurality of preset power settings, a plurality of preset etching times, a plurality of preset grinding times, a plurality of preset deposition times, and a plurality of preset pressures. Generation step, the characterization step, and the prediction step

相結合之一電子設計自動化(EDA 其 中之 其 際網路 據複數 其 根 下列步 件尺寸 至該積 而被電數電 根 下列步 撲式變 該積體 中,該 一步驟 中,該 ’且該 使用者 中,該 據本發^ 驟:利 變異, 體電路 製造; 性之一 據本發 驟:利 異,該 電路< 產生步 係提供 網路包 產生步 請求而 專配方 明之另 用一圖 $積體 之一生 以及決 影響。 明之另 用一圖 積體電 一生產 驟、該 於一網 括一内 驟、該 提供。 包括一 一目的 案附屬 電路係 產製程 定該積 特徵化步驟與該預測步驟至少 路上作為一服務。 部網路、一外部網$、或一網 特徵化步驟與該預;則步驟係依 預設光阻。 ,本發明提供一種方法,包括 則一積體電㉟之複數元 又、l含(a )分授拓撲變化二t ( b ) 一微影或蝕刻製 體電路之該等元件尺寸變異於 :目的,本發明提供一種方法,包括 =附屬模型預測-積體電路之複數拓 製程,以及(b) 撲變化至 J 倣影或蝕刻製程A combination of electronic design automation (EDA, where the Internet has multiple dimensions of the following steps to the product, and the number of steps is changed into the product by the following steps. In this step, the 'and Among the users, this step is based on: mutation, physical circuit manufacturing; according to this step: the difference, this circuit < the generation step provides a network packet generation step request and is specifically formulated for another use A picture of the product and its impact. Clearly use another picture of the product, a production step, a network step, an internal step, and a supply. The auxiliary circuit is included in the project to determine the product characterization process. The steps and the prediction step are at least a service on the road. The external network, an external network $, or a network characterization step and the prediction; the steps are based on a preset photoresistor. The present invention provides a method comprising: The complex elements of the body voltage, and (a) distributes topological changes, t (b), a lithography or etched body circuit, and the dimensions of these components vary: for the purpose, the present invention provides a method, including = accessory model Advance - Extension of the complex process of integrated circuits, and (b) changes to flutter J etching process or simulated Movies

1057-5667-PF(Nl).ptd 第58頁 200405184 五、發明說明(56) 而被製造;以及決定 數電性之一影響。 其中亦包括預測 化,並決定該積體電 —μ θ分變異於才灵 積體電路之該等元件尺十 複數變 1T ^ J 路之複數元件尺寸之 該 該積體電路之複數元^二所造成 之一影響 其中 號整合、 (timing 其中 φ 其中 製程之複 其中 體電路之 其中 體電路之 其中 測。 其中 %槽結構 其中 數電子元 其中 數電子元 ,該等電性 電源分佈、 closure ) 亦包括改變 亦包括根據 數光罩。 亦包括對應 製程能力。 亦包括對應 電路效能。 ’係根據該 積體 積體電路之多重層級執行該預測。 影響之該決定以決定虛設填入或複數 ^ ^ 動電流、# 包括薄膜電阻、電谷、驅,封閉 以及考慮多重内連線層級 分析° 該設計以符合預設之規格。* -列 該決定之影響調整用於該微影5 * 該決定之影響調整該設計以改進J積 ,進該積^ 該決定之影響調整該設什以< 電路之一内連線層級執行該預 ’係根據該 ’係根據該 之配置。 ’係根據該影響之該決定以決定該積體電路中複 件之配置。 ’係根據該影響之該決定以決定該積體電路中複 件間複數内連線區域之該迴路(r〇uting )。1057-5667-PF (Nl) .ptd Page 58 200405184 V. Description of the Invention (56) was manufactured; and it determines the influence of one of the electrical properties. It also includes prediction, and determines that the integrated electric-μ θ points are mutated in the complex rule circuit of Cailing Integrated Circuit. The complex rule size of the complex circuit is 1T ^ J. The complex element of the integrated circuit is two One of the effects is the integration of the medium, (the timing of φ, the process of the complex circuit, and the internal circuit of the internal circuit. The% slot structure includes the number of electrons and the number of electrons, and the distribution of these electrical power sources, closure) Also included are changes based on the number of photomasks. It also includes corresponding process capabilities. Corresponding circuit performance is also included. 'Performs the prediction based on the multiple levels of the integrated circuit. The impact of this decision is to determine the dummy fill or plural ^ ^ dynamic current, # including thin film resistor, valley, drive, closed, and considering multiple levels of interconnect analysis ° The design meets the preset specifications. *-List the impact adjustment of the decision for the lithography 5 * The impact of the decision adjust the design to improve the J product, and advance the product ^ The impact of the decision adjust the setting is performed at the interconnect level of one of the circuits The pre-order is based on the configuration. ′ Is based on the impact of the decision to determine the configuration of the replicas in the integrated circuit. ′ Is based on the decision of the influence to determine the loop (routing) of the complex interconnected area between the complexes in the integrated circuit.

200405184 五、發明說明(57) 其中’ a亥積體電路包括一^系統晶片(s〇C, system-on-chip ),且該方法亦包括決定該s〇c裝置中複 數内連線之迴路。 其中’係根據該影響之該決定以決定該積體電路中複 數電子元件、複數内連線、或插塞(v i a )之幾何結構。 其中亦包括與該預測與確認步驟相結合之一電子設 化(EDA )。 。叫日勒 其中’該決定步驟與該預測步驟至少其中一 提供於-網路上作為—服務。 之卜驟係 %其中,該網路包括一内部網路、一外部網路、或一網 際網路,且該預测步驟或該決定步驟係依據複數使用者言主 求而提供。 明 根據本發明之另一目的,本發明提供一種方 ::二圖案附屬模型預測一積體電路之複數拓 撲式艾異該積體電路係依據包含(a)分授拓撲 該積體電路之一生產制招,αr κ^ 、交化至 而姑n玉〜生產輊及(b ) 一说影或蝕刻製程 而被製7,決疋該積體電路之該等拓撲式變 之-影響;以及利用一RC操取(extract工:= g型之使用與該影響之決定。 …5该 根據本發明之另一目的,本發明提供一種方法, 下列步驟:利用一圖案附屬模型預測一積體電路之複if =異以及複數元件尺寸之複數變異,該積體電= 據包含U)分授拓撲變化至該積體電路之一生產製係依 X及(b )祕影或姓刻製程而被製造;決定該積體電路200405184 V. Description of the invention (57) Wherein, the integrated circuit includes a system-on-chip (SoC), and the method also includes determining a plurality of internally-connected circuits in the SOC device. . Among them, 'is the geometric structure of the plurality of electronic components, the plurality of interconnections, or the plugs (v i a) in the integrated circuit according to the decision of the influence. It also includes an electronic design (EDA) in combination with this prediction and validation step. . It is called Riel, where at least one of the decision step and the prediction step is provided as a service on the Internet. The steps are%, wherein the network includes an internal network, an external network, or an Internet, and the prediction step or the decision step is provided according to a plurality of user demands. According to another object of the present invention, the present invention provides a formula: a two-pattern attached model predicts a complex topology of an integrated circuit. The integrated circuit is based on one of the integrated circuits including (a) a distribution topology. Production system, αr κ ^, crossover to production, and (b) are produced by a shadow or etching process7, which determines the topological change of the integrated circuit-the impact; and The use of an RC operation (extractor: = g-type and the impact of the decision.… 5. According to another object of the present invention, the present invention provides a method, the following steps: using a pattern attached model to predict an integrated circuit Complex if = different and complex variation of the size of the complex element, the integrated electric = according to U) the topological change of the integrated circuit to one of the integrated circuits. The production system is based on X and (b) secret or surname engraving process. Manufacture; determine the integrated circuit

I 第60頁 1057-5667-PF(Nl).ptd 200405184 五、發明說明(58) 之該等拓撲式變異 取(extraction) 定。 其中,係於該 其中 影元件寬 度、碟陷 其中 整合、 其中 提供於一 ,該等元 度、蝕刻 、或銅消 ,該等電 電源分佈 ,該決定 網路上作 ,該網路 且該預測 其中 際網路, 求而提供。 根據本發明之 下列步驟:產生一 2據該設 灣而進行 計而生產 拓撲式分 據一圖案附屬模型 數預測, ’該產生 (optica 變異之複 其中 近似校正 於複數電性之一影響;以及利用一RC擷 工具結合遠模型之使用與該影響之決 電路之複數次級區域上執行該使用步 件尺寸係包含下列至少其中之一項:微 溝槽寬度、蝕刻溝槽深度、蝕刻側壁角 耗總量。 性包括薄膜電阻、電容、驅動電流、信 、以及時序封閉(timing closure)分 步驟與該預測步驟至少其中之一步驟係 為一服務。 包括一内部網路、一外部網路、或一網 步驟或該決定步驟係依據複數使用者請 另一目的,本發明提供一種方法,包括 積體電路之一電子設計,該積體電路係 ,並利用導入該積體電路之元件尺寸變 授之-製程中,該產生步驟包括根 之拓撲式與拓撲式相關元件尺寸之 調整該電子設計。 亦包括因複數光學干涉效應而利用光學 1 proximity correction)以調整該設I Page 60 1057-5667-PF (Nl) .ptd 200405184 V. The topological variation of the description of the invention (58) is determined. Among them, it depends on the width of the shadow element, the integration of the dish, which is provided in one, the degree, etching, or copper consumption, and the distribution of the electric power. The decision is made on the network, the network and the prediction are among them. Internet, on demand. According to the following steps of the present invention: generating a prediction of the number of model attached models and production topological data based on the design, 'the generation (the complex of optical variations which is approximately corrected to one of the complex electrical effects; and An RC capture tool is used to combine the use of the remote model with multiple secondary regions of the affected circuit. The use step size includes at least one of the following: micro-trench width, etched trench depth, etched sidewall angle. The total power consumption includes thin film resistors, capacitors, drive currents, signals, and timing closure steps and at least one of the prediction steps is a service. It includes an internal network, an external network, The step of one net or the step of determination is based on the request of a plurality of users for another purpose. The present invention provides a method, which includes an electronic design of an integrated circuit, and the integrated circuit uses a component size change introduced into the integrated circuit. Granted-In the manufacturing process, the generation step includes the topology of the root and the size adjustment of the topology-related components of the electronic design. A plurality of optical interference effects using an optical 1 proximity correction) to adjust the set

(jh I 200405184 五、發明說明(59) 計。 其中,該電子設計之該等電性包栝薄膜電阻、電容、 驅動電流、信號整合、電源分佈、以及時序封閉(timing closure )分析 ° 其中,該等元件尺寸之變異係包含下列至少其中之一 項:微影元件寬度、蝕刻溝槽寬度、蝕刻溝槽深度、蝕刻 側壁角度、碟陷、或銅消耗總量。(jh I 200405184 V. Description of invention (59). Among them, the electrical design of the electronic package includes thin film resistors, capacitors, drive currents, signal integration, power distribution, and timing closure analysis The variation of these device sizes includes at least one of the following: lithographic device width, etched trench width, etched trench depth, etched sidewall angle, dishing, or total copper consumption.

其中亦包括利用一配置與迴路工具產生該電子設計。 其中亦包括利用連接一電阻與電容(Rc )彌取工具以調整 編誠^電言十。 其中亦包括利用一電子設計自動模擬工具以產生該電 子設計。 其中亦包括利用物理性確認工異以確認該電子設計。 其中亦包括利用一光學近似校史(0PC )工具調整該 電子設計。 其中亦包括利用—信號整合工異以確認該電子設計。 其中亦包括保證該電子設計之製造能f。 其中亦包括改進該積體電路之電性效能。 其中亦包括改進該積體電路之一電子設什佈局之该電 效能 其中亦包括根據該電子設計之該調整以調整一已格式 檔案(formatted file),該檔案之格式符合一 EDA之檔 案格式。 其中,該檔案袼式包括一圖形資料串流(GDS,It also includes generating the electronic design using a configuration and loop tool. It also includes the use of a resistor and capacitor (Rc) dimming tool to adjust the code. It also includes the use of an electronic design automatic simulation tool to generate the electronic design. It also includes the use of physical confirmation of differences to confirm the electronic design. It also includes the use of an optical approximate school history (0PC) tool to adjust the electronic design. It also includes the use of signal integration to verify the electronic design. It also includes guaranteeing the manufacturing capability of the electronic design. It also includes improving the electrical performance of the integrated circuit. It also includes improving the electrical performance of an electronic device layout of the integrated circuit. It also includes adjusting a formatted file according to the adjustment of the electronic design, the file format conforming to an EDA file format. The file format includes a graphic data stream (GDS,

1057-5667-PF(Nl).ptd 第62頁 200405184 五、發明說明(60) graphical data stream )格式 。 其中,調整該電子設計步驟包括改進該積體電路之製 造能力。 其中,調整該電子設計步驟包括調整該設計以改進電 路效能。 其中,產生該電子設計步驟包括對應一内連線層級預 測複數拓撲式變異。 其中,產生該電子設計步驟包括對應多重内連線層級 預測複數拓撲式變異,以電子式特徵化或模擬多重内連線 •級。 其中包括根據該影響之該決定以決定虛設填入或複數 溝槽結構之配置。 其中包括決定該積體電路中複數電子元件之配置。 其中包括決定該積體電路中複數電子元件間複數内連 線區域之該迴路(routing)。 其中,該積體電路包括一系統晶片(SoC, system-on-chip ),且該方法亦包括決定該SoC裝置中複 數内連線之迴路。 其中包括決定該積體電路中複數電子元件、複數内連 、或插塞(v i a )之幾何結構。 其中亦包括與該預測與確認步驟相結合之一電子設計 自動化(EDA)。 其中,該決定步驟與該預測步驟至少其中之一步驟係 提供於一網路上作為一服務。1057-5667-PF (Nl) .ptd Page 62 200405184 V. Description of the invention (60) Graphical data stream format. The step of adjusting the electronic design includes improving the manufacturing capability of the integrated circuit. The step of adjusting the electronic design includes adjusting the design to improve circuit performance. Wherein, generating the electronic design step includes predicting a complex topological variation corresponding to an interconnect level. Among them, generating the electronic design step includes predicting multiple topological variants corresponding to multiple interconnecting levels, electronically characterizing or simulating multiple interconnecting levels. This includes the decision based on that influence to determine the configuration of the dummy fill or multiple trench structures. This includes determining the configuration of the plurality of electronic components in the integrated circuit. This includes determining the routing of the complex internal wiring area between the complex electronic components in the integrated circuit. The integrated circuit includes a system-on-chip (SoC), and the method also includes determining a plurality of interconnected circuits in the SoC device. This includes determining the geometry of the complex electronic component, complex interconnect, or plug (v i a) in the integrated circuit. It also includes an electronic design automation (EDA) in conjunction with this prediction and validation step. Among them, at least one of the determining step and the predicting step is provided on a network as a service.

1057-5667-PF(Nl).ptd 第63頁 200405184 五、發明說明(61) 〜網 者請 其中,忒網路巴祜一円邵網路、一 際網路,且該預、、目丨 t — 口1、、’同路、嗖 求而提供。 複數使用 根據本發明之另一目的,本發明提供一 下列步驟:產生一積體電路之一電子設計,該鞅’包括 依據該設计而生產,並利用導入該積體電路之元半電路係 異而進行拓撲式分授之一製程;其中,該產生=l =寸變 據一圖案附屬模型之拓撲式與拓撲式相關元件尺寸匕括根 變異之複數預測,調整該電子設計;以及姓入你Η 複數 、、、口 口戊L用一 R c扣 工具以產生並調整該電子設計。 負 根據本發明之另一目的,本發明提供一種方法,包括 下列步驟:產生一積體電路之一電子設計,該積體電^係 依據該設計而生產,並利用導入該積體電路之元件尺寸變 異而進行拓撲式分授之一製程,其中,該產生步驟包括根 據一圖案附屬模型之拓撲式與拓撲式相關元件尺寸之複數 變異之複數預測,調整該電子設計;以及結合使用一RC掏 取工具以產生並調整該電子設計。 其中,係於該電路之複數次級區域上執行該使用步 其中,該等元件尺寸係包含下列至少其中之一項:微 影元件寬度、蝕刻溝槽寬度、蝕刻溝槽深度、蝕刻側壁角 度、碟陷、或銅消耗總量。 其中,該等電性包括薄膜電阻、電容、驅動電流、信 號整合、電源分佈、以及時序封閉(t i m i ng c 1 osur e )分1057-5667-PF (Nl) .ptd Page 63 200405184 V. Description of the Invention (61) ~ The netizen invites among them, the Internet, the Internet, the Internet, and the Internet t — provided by mouth 1, 'same path, request. Plural use According to another object of the present invention, the present invention provides the following steps: generating an electronic design of an integrated circuit, the 鞅 ′ includes producing according to the design, and using the elementary and half circuit system introduced into the integrated circuit A different process of topological distribution is performed; in which, the generation = l = inch variation data, a pattern attached to the topological expression of the pattern attached model and the topological expression of related component sizes, and multiple predictions of the root variation, adjust the electronic design; You can use a R c button to generate and adjust the electronic design. According to another object of the present invention, the present invention provides a method including the steps of: generating an electronic design of an integrated circuit, the integrated circuit being produced according to the design, and using components introduced into the integrated circuit Dimensional variation is a process of topological distribution, wherein the generating step includes adjusting the electronic design based on a complex prediction of the topological expression of a pattern attached model and the complex variation of the topologically related component sizes; and using an RC Take tools to generate and adjust the electronic design. Wherein, the use step is performed on a plurality of secondary regions of the circuit, wherein the element sizes include at least one of the following: lithographic element width, etched trench width, etched trench depth, etched sidewall angle, Total sinking or copper consumption. Among them, these electrical properties include thin film resistors, capacitors, drive currents, signal integration, power distribution, and timing closure (t i m i ng c 1 osur e)

1057-5667-PF(Nl).ptd 第64頁 200405184 五、發明說明(62) 析。 其中’該決定步驟與該預測步驟至 k供於一網路上作為一服務。 /、 之一步 其中’該網路包括_内 際網路,且該預測步驟或-外部網⑬、或 求而提供。 n亥決定步驟係依據複數使用者= 步 驟係 或 一網 用 者請 5 包括 電路係 至 該積 積體 ^拓撲 根據本發明之另一目的 下列步驟:產生-積體;:之㈡法,包括 =產,並利用分授複數二體電路係 今路之m杜程’其中’利用-圖案附屬4 :j數特徵,該積體電路係依據包含 =至該積體電路之一生產製程,以及(b 刻製程而被製造。 认衫或餘 :據本發明之另一目㈤,本發明提供一種 下列V驟:利用—圖案附屬模 :’包括 徵’該積體電路係依據一製程之一設計以路之複數特 該等預測特徵以決定該積體電路之複數及根據 性。 〈複數配置屬 八中 該配置屬性包括該設計在一配置邀 、 ,決定複數内連線插塞與複數導線之複.迎路步 性。 是數緩衝區域 間 複數屬性 且 Λ其中’該等預測特徵包括寬度變異或 該等=置屬性包括電子式主動元件之該等 λ t ’該等預測特徵包括寬度變異或 撲式變異 酉己置位置。 圖形式變異 且1057-5667-PF (Nl) .ptd Page 64 200405184 V. Explanation of Invention (62). Among them, the decision step and the prediction step to k are provided on a network as a service. / 、 One step where ‘the network includes _ the Internet, and the prediction step is either provided by — or an external network. The decision step is based on a plurality of users = a step system or a network user, please include the circuit system to the product ^ topology. According to another object of the present invention, the following steps: generate-product; = Production, and the use of sub-division of a complex two-body circuit system of the current road m Du Cheng 'where' use-pattern attached 4: j number characteristics, the integrated circuit is based on the production process including = to one of the integrated circuit, And (b) are manufactured by the engraving process. According to another aspect of the present invention, the present invention provides one of the following steps: Utilization—pattern accessory mold: 'include sign' The integrated circuit is based on one of a process The design is based on the complex features of the road to determine the complex number and basis of the integrated circuit. 〈Multiple configuration belongs to the eighth. The configuration attributes include the design in a configuration, and determines the plural interconnecting plugs and plural wires. It is a complex feature that meets the road. It is a complex attribute between the number of buffer areas and Λ where 'the predicted characteristics include width variation or the = attribute includes the electronic active components of the λ t' predicted characteristic packages. Width variation or flutter formula unitary variation hexyl set position and variant forms FIG.

ZUU4U^184 五、發明說明(63) 該等配置屬性包括跨 迴路 路。 %该積體電路之複數内連線元件之該 其中,該等預測ϋ 配置 。 、j符破包括虛設填入或複數溝槽結構之 幾何i 2。該等預测特徵包括虛設填入或複數溝槽結構之 下,丨=本:明之另一目的,本發明提供-種方法,包括 ^ ^ 用圖案附屬模型預測一積體電路之複數電 子兀件,何結構,該積體電路係依據一製程之一設計而製 H 4等電子元件幾何結構之該預測係根據該製程產生之 衩數寬度變異或複數拓撲式變異。 其中包括調整該設計以改進該等電子元件之電路效 能。 其中包括調整該設計以改進該等電子元件之複數結 性或可靠性特徵。 根據本發明之另一目的,本發明提供一種方法,包括 下列步驟··根據一或多重步驟之一生產流程之一電性影塑 分析與一圖案附屬模型,產生一策略用以在複數内連、^ZUU4U ^ 184 V. Description of the Invention (63) These configuration attributes include cross-circuit circuits. % Of the interconnected components of the integrated circuit. Among them, the predictions are configured. , J breaks include geometry i 2 of dummy filling or plural groove structure. These prediction features include dummy filling or a complex trench structure. 丨 = Ben: Another purpose of the present invention is to provide a method, which includes ^ ^ predicting a plurality of electronic components of an integrated circuit using a pattern attached model. The structure, the integrated circuit is designed according to one of the manufacturing processes, and the prediction of the geometric structure of the electronic components such as H 4 is based on the variation in the width of the unitary number or the complex topological variation. This includes adjusting the design to improve the circuit performance of these electronic components. This includes adjusting the design to improve the complex junction or reliability characteristics of these electronic components. According to another object of the present invention, the present invention provides a method including the following steps: According to one or more steps of one of the production processes, an electrical shadow analysis and a pattern attached model, a strategy is generated for connecting in complex numbers , ^

I g複數緩衝器或中繼器(repeater)進行判定尺寸盥配 胃;以及利用該電性影響分析與該圖案附屬模型評;古 該等緩衝器或中繼器之該等預期結果;其中,該模 電性影響分析之使用係整合成該緩衝器或中 二 之一部份。 τ、座态朿略產生 根據本發明之另一目的,本發明提供一種方法,包括Ig a plurality of buffers or repeaters to determine the size of the stomach; and use the electrical impact analysis and the pattern attached model to evaluate; the expected results of ancient buffers or repeaters; among them, The use of the analogue effect analysis is integrated into the buffer or the second part. τ, the generation of seat states is slightly generated. According to another object of the present invention, the present invention provides a method including

1057-5667-PF(Nl).ptd 第66頁1057-5667-PF (Nl) .ptd Page 66

200405184 五、發明說明(64) 下列步驟:根據一或多會 分析與一圖案附屬模型重;生,流程之 或中繼器之數目;以及'、疋$内連線中對 乂及利用該電性影塑分浙盥 模型評估配置該等緩衝考七由=Γ生〜曰刀析與 中,該模型與該電;;:;:繼器之該等預期 中繼器策略產生之—: < 使用係整合成 根據本發明之另一目的,本發明提供一種 I ::驟„ Ϊ據一或多重步驟之-生產流程之 之複數傳遞延遲。 &惕戳早汊夕 其中,該模型與該電性影響 衝器或中繼器策略產夺夕加;/析之使用係 装中,辞伊圳T 之一部份以降低複數傳 ^。 “吴/、該電性影響分析為該設計改變 根據本發明之另一 Τ # ^ ^ Μ 力目的,本發明提供一種 下歹】步驟.根據一或多重步驟之 分析與一圖案附屬捃并丨 生產胤私之 級之能量消耗。型,預測或模擬單-或多 緩i Ξ:^括ΐ ?型與該電性影響分析之使 直中亦包ί 1策略產生之-部份以降低能 二::…模型與該電性影響分析為該設計 一電性影響 複數缓衝器 該圖案附屬 結果;其 該緩衝器或 方法’包括 一電性影響 重内連線層 整合成該緩 遞延遲。 製程之一部 方法,包括 一電性影響 重内連線層 用係整合成 量消耗。 改變製程之 能量與時序 >、中亦包括該模型與該 分析流程之一部分。 屯1王〜響分析為一200405184 V. Description of the invention (64) The following steps: re-analysis with a pattern attached model according to one or more sessions; generation, number of flow or repeaters; and confrontation and use of electricity The evaluation model of the sex shadow plastic model is configured with these buffer tests. The model and the electricity are generated by the following analysis: The model is generated by the expected repeater strategy of the relay—: & lt The use system is integrated into another object according to the present invention, and the present invention provides an I :: „„ according to one or more steps-the plural production delay of the production process. &Amp; This electrical influence impacts the strategy of the puncher or repeater; in the use of the installation system, a part of the Ci Yi T to reduce the number of complex transmissions ^ "Wu /, the electrical influence analysis is the design According to another purpose of the present invention, the present invention provides a following step: a step. According to the analysis of one or more steps and a pattern attachment, the energy consumption of the private level is produced. Type, prediction or simulation of single- or multi-rate i Ξ: ^ ΐ ΐ? Type and the analysis of the electrical impact include the straight-line and 1 strategy produced-part to reduce the energy Second:… model and the electrical impact The analysis is to design an electrical effect on the pattern of a plurality of buffers and the auxiliary results of the pattern; the buffer or method 'includes an electrical effect to integrate the interconnect layer into the slow delay. A part of the process includes an electrical influence on the interconnect layer and the cost of the integrated system. Changing the energy and timing of the process > includes the model and part of the analysis process. Tun 1 King ~ ring analysis as one

1057-5667-PF(Nl).ptd 第67頁 200405184 五、發明說明(65) 其中亦包括該模型與該電性影響分析利用能量、信號 整合、與時序分析工具。。 其中亦包括該模型與該電性影響分析利用一設計規則 檢查器(DRC,design rule checker)或怖局確認圖示工 具(LVS, layout verification schematic ) 〇 其中亦包括該模型與該電性影響分析利用電阻與電容 操取工具。 其中,該製程流程包括CMP。 +其中,該製程流程包括電漿蝕刻、微影、或沈積製程 根據本發明之另一目的,本發明提供〜種方法,包括 T列步驟:根據一或多重步驟之一生產流程之一電性影響 分析與一圖案附屬模型,預測複數内連線幾何結構之二^ 一層級之該元件寬度與厚度。 其中,該等元件尺寸係包含下列至少其中之一項:微 影元件寬度、蝕刻溝槽寬度、蝕刻溝槽深度、蝕刻側壁角 度、碟陷、或銅消耗總量。 種方法,包括 之一電性影響 程中配置虛設 屬模型評估該 之該預期影 係整合成該緩1057-5667-PF (Nl) .ptd Page 67 200405184 V. Description of Invention (65) It also includes the model and the electrical impact analysis using energy, signal integration, and timing analysis tools. . It also includes the model and the electrical impact analysis using a design rule checker (DRC) or the layout verification schematic (LVS). This also includes the model and the electrical impact analysis. Use resistance and capacitance manipulation tools. Among them, the process flow includes CMP. + Wherein, the process flow includes plasma etching, lithography, or deposition. According to another object of the present invention, the present invention provides a method including T-row steps: one of the production processes according to one or more steps Impact analysis and a pattern attached model to predict the width and thickness of the component of the complex interconnected geometry ^ one level. Wherein, these device dimensions include at least one of the following: lithographic device width, etched trench width, etched trench depth, etched sidewall angle, dishing, or total copper consumption. A method, including an electrical impact process, configures a dummy property model to evaluate the expected effect

根據本發明之另一目的,本發明提供〜 j列步驟:根據一或多重步驟之一生產流程 零析與-圖案附屬模型,產生一策略於該製 填入;以及利用該電性影響分析與該圖案附 被配置之虛設填入在緩衝器尺寸大小與配置 響;其中,該模型與該電性影響分析之使用 衝器策略產生尺寸與配置策略之一部份。According to another object of the present invention, the present invention provides ~ j steps: according to one or more steps of one or more steps of the production process analysis and-pattern attached model, generating a strategy to fill in the system; and using the electrical impact analysis and The pattern is filled with a dummy filled in the size and configuration of the buffer; among them, the model and the electrical impact analysis use the punch strategy to generate a part of the size and configuration strategy.

1057-5667-PF(Nl).ptd 第68頁 200405184 五、發明說明(66) 根據本發 下列步驟:利 層級而發生於 構中複數緩衝 異。 其中,該 提供於一網路 其 際網路_^而提 根 下列步 分析與 根 下列步 分析與 其 根 j列步 _^析與 圖案附 其 顯示。 其 明之另一目的,本發明提供一種方法, 用一圖案附屬模型預測因製造一預定内 一積體電路中之複數變異;以及對内連 器進行尺寸調整與配置處理以符合該等 調整步驟與該預測步驟至少其中之_ 上作為一服務。 v 網路包括一内部網路、一外部網路、 預測步驟或該決定步驟係依據複數使^ 中,該 ’且該 供。 據本發明之另一目的, 驟··根據一或多重步驟 包括 連線 線結 變 驟係 一網 者請 包括 影響 置。 包括 影響 路。 驟。 包括 影響 與該 型式 本發明提供一種 產流程之 體電路元 提供一種 產流程之 體電路佈 置與迴路 提供—種 產流程之 該電性影 之一生 一圖案附屬模型,決定複數積 據本發 驟:根 一圖案 中,可 據本發 驟:根 一圖案 屬模型 中,該 明之另一目的, 據一或多重步驟 附屬模型,決定 迴授(f eedback 明之另一目的, 據一或多重步驟 附屬模型,決定 Μ比較複數結果 等結果係以複數 本發明 之一生 複數積 )至配 本發明 之一生 :利用 方法, 一電性 件之配 方法, 一電性 線之瘦 製程步 方法, 一電性 響分析 全部或部分晶y I 人1刀曰曰片影像之 中,該等結果係以複數統計長條圖之1057-5667-PF (Nl) .ptd Page 68 200405184 V. Description of the Invention (66) According to the present invention, the following steps occur: the level of the complex buffer difference occurs in the structure. Among them, it is provided in a network and the Internet. The following steps are analyzed and rooted. The following steps are analyzed and rooted. The steps are analyzed and the pattern is attached to the display. Another object of the present invention is to provide a method for predicting a complex variation in a predetermined integrated circuit using a pattern attachment model; and performing size adjustment and configuration processing on the interconnector to comply with the adjustment steps and The prediction step is at least one of them as a service. v The network includes an internal network, an external network, a prediction step or the decision step based on a plurality of operations, the 'and the supply. According to another object of the present invention, the steps include connecting according to one or more steps, and the steps of a network are required to include an influence device. Include impact road. Step. Including the influence and the type, the present invention provides a physical circuit element of the production process, provides a physical circuit arrangement and circuit provision of the production process—a pattern attached model of one of the electrical images of the production process, and determines a complex product based on this step: In the root pattern, you can follow this step: in the model of the root pattern, another purpose of the Ming, according to one or more steps attached to the model, the decision to feedback (feedback another purpose, according to the one or more steps attached to the model) , Determine the result of comparing multiple complex numbers, etc. The results are based on the complex product of one of the present invention) to one of the present invention: utilization method, a method of matching electrical parts, a method of thinning step of electrical wires, and electrical response analysis All or part of the crystal y I person 1 knife image, these results are based on a complex statistical bar graph

1057-5667-PF(Nl).ptd 第69頁 200405184 五、發明說明(67) 抑其:2等影像包括厚度、銅消耗總量、薄膜電阻、 碟、U及侵蝕資訊。 阻、ί: 等長條圖包括厚度、銅消耗總量、薄膜電 未I曰、以及侵蝕資訊。 其中’係於兩或多組設計間進行比較。 間進;1較係於相同設計之兩或多組製程配方或工具設定 =:,係於相同設計之兩製程步驟間進行比較。 龜二外結果係透過一網路劉覽器或包括一内部網 1外,"罔路、與一網際網路而顯示。 i:本::·等結果選擇一設計以進行製造。 χ月之另一目的’本發明提供一錄古、么 下列步驟:於—步 χ月长供種方法,包括 利用至少-生產‘程而j i稷1:置予以量測,該裝置係 附屬模型選取該^地點。'^每,、中,根據該製程之一圖案 根據本發明之另一目㈤ 下列步驟:於_裝置上選取複 方法,包括 利用至少-生產製程而製造,其中,二該裝置係 g響分析選取該等位置。 μ I程之一電性 電性影響分析選取該等 其中’亦包括根據該 位置。 其中,該製程包括化學機械研磨。 其中,根據一量測策略選取該等位 其中,該等被選取位置為一量測配方之一部份 1057-5667-PF(Nl).ptd 第70頁1057-5667-PF (Nl) .ptd Page 69 200405184 V. Description of the Invention (67) In other words: 2 and other images include thickness, total copper consumption, film resistance, disk, U, and erosion information. Resistance, ί: equal bar graphs include thickness, total copper consumption, thin-film electricity, and erosion information. Where 'is a comparison between two or more sets of designs. Progressive; 1 is compared to two or more sets of process recipes or tool settings of the same design = :, compared between two process steps of the same design. The results of Turtle II are displayed through a web browser or including an intranet 1 " Kushiro and an internet. i: Ben :: · Wait for the result to choose a design for manufacturing. Another purpose of χ month is that the present invention provides a method for recording the ancient and the following steps: in the step χ month length seeding method, including the use of at least-production process and ji 稷 1: measurement, the device is an attached model Select the place. '^ ,,,,, according to one of the processes, the pattern according to another aspect of the present invention: The following steps: select a complex method on the device, including manufacturing using at least-production process, wherein the two devices are selected by analysis Such locations. One of the electrical processes of the μ I process is selected from the electrical impact analysis, which also includes according to the position. The process includes chemical mechanical polishing. Among them, these bits are selected according to a measurement strategy. Among them, the selected positions are part of a measurement recipe. 1057-5667-PF (Nl) .ptd Page 70

200405184 五、發明說明(68) 其中,該等被選取位置係對應一量測策略。 其中’該製程包括電化學沈積。 其中’該製程包括兩或多階段。 其中’該製程包括兩或多項製程。 其中,該製程包括一單一製程之兩或多步驟。 其中’該等兩階段包括沈積與化學機械研磨。 其中’該等被選取位置包括複數晶方内與複數晶圓内 (晶方對晶方)之位置。 其中,該等兩階段之一包括微影。 %其中,該等兩階段之一包括電漿蝕刻。 其中亦包括··利用一圖案化測試晶圓或複數測試半導 體裝置,根據一預選工具或製程配方以產生該圖案附屬模 、〃其中,該圖案附屬模型對應複數圖案附屬特徵所產 之複數晶圓狀態參數至少包括下列其中一 ··最終薄膜 度、薄膜厚度變異、碟陷、或侵钱。 其中,該圖案附屬模型對應複數圖案附屬特徵所產 參數至少包括下列其中一:薄膜電阻值、電阻 、串擾雜訊、壓降、驅動電流損耗、介電a 效介電常數。 $ 其中亦包括:利用一成本函數決定量測之複數位置。 其中,係根據一個以上之圖案附屬模型進行位 取。 < 選 其中亦包括:利用一成本函數選取複數位置以200405184 V. Description of Invention (68) Among them, the selected positions correspond to a measurement strategy. Among them, the process includes electrochemical deposition. Among them, the process includes two or more stages. Among them, the process includes two or more processes. The process includes two or more steps in a single process. These two phases include deposition and chemical mechanical polishing. Among these, the selected positions include positions in a plurality of crystal cubes and a plurality of wafers (crystal cubes to crystal cubes). Among them, one of these two stages includes lithography. % Among them, one of these two stages includes plasma etching. It also includes the use of a patterned test wafer or a plurality of test semiconductor devices to generate the pattern auxiliary mold according to a pre-selected tool or process recipe, wherein the pattern auxiliary model corresponds to a plurality of wafers produced by the plurality of pattern auxiliary features. State parameters include at least one of the following: final film thickness, film thickness variation, dishing, or money intrusion. Among them, the pattern attached model corresponding to the plurality of pattern attached features produces at least one of the following parameters: film resistance value, resistance, crosstalk noise, voltage drop, driving current loss, dielectric a effective dielectric constant. $ It also includes: using a cost function to determine the complex position of the measurement. Among them, the position is selected based on more than one pattern auxiliary model. < selection which also includes: using a cost function to select the plural positions to

之複數電性 4、電容值 、以及有 里及丨】虛Complex electrical properties 4, capacitance value, and

200405184200405184

五、發明說明(69) 設填入之影響。 其中亦包括:在一網路伺服器上接收自一客戶端傳至 ,一半導體裝置之一佈局檔案與複數設計規格;在該伺服 器選擇該等位置;以及將確認該等被選取之位置資訊自該 伺服器傳回該客戶端。 Λ 其中亦包括·位於一網路上之一使者可使用一服務, 該服務可讓該使用者根據一半導體設計、一生產製程、與 裝置進行位置之選取。 其中,係根據該裝置之一單一内連線層級選取該等位 鬌。 其中,係根據該裝置之一複數内連線層級產生該量測 計畫。 其中,該裝置包括至少為一半導體晶圓或一晶圓上之 一半導體晶片。 其中,該位置之選取步驟包括利用複數虛設填入標的 改進複數低介電常數元件之一結構積體性。 其中,該位置之選取步驟包括利用複數虛設填入標的 改進低介電常數元件之一有效介電常數。 其中,在一溝槽製程流程之所有步驟中維持該有效介 常數。 其中,在一溝槽製程流程之所有步驟中維持該有效介 電常數 其中,該位置之選取步驟包括利用複數虛設填入標的 於溝槽製程流程中形成複數低介電常數材質結構。V. Description of the invention (69) The effect of filling in. It also includes: receiving from a client on a web server, a layout file of a semiconductor device and multiple design specifications; selecting those locations on the server; and confirming the selected location information The client is returned from the server. It also includes that a messenger located on a network can use a service that allows the user to select a location based on a semiconductor design, a manufacturing process, and a device. Among them, these locations are selected based on a single interconnect level of the device. The measurement plan is generated based on a plurality of interconnected levels of the device. The device includes at least a semiconductor wafer or a semiconductor wafer on a wafer. Among them, the step of selecting the position includes using a dummy number to fill in the target to improve the structural integrity of a complex low dielectric constant element. Among them, the step of selecting the position includes filling an effective dielectric constant of one of the improved low-dielectric-constant elements of the target by using a plurality of dummy fills. The effective dielectric constant is maintained in all steps of a trench process. Wherein, the effective dielectric constant is maintained in all steps of a trench manufacturing process, wherein the step of selecting the position includes filling a target with a plurality of dummy holes to form a plurality of low dielectric constant material structures in the trench manufacturing process.

l〇57-5667-PF(Nl).ptd 第72頁 200405184 五、發明說明(70) 其中亦包括:維持複數位置之一資料庫;該資料庫可 用與產生複數量測策略相連結;以及根據新式或改良之工 具以更新該資料庫。 其中亦包括:根據下列至少一項儲存量測資訊:複數 製程工具、複數配方、以及複數流程;以及根據該等製程 工具、配方、或流程之改變以更新該量測資訊。 其中亦包括:一使用者可經由具一使用者介面之一使用者 介面裝置之一單擊(single click)為一裝置選取複數位 ^ 其中亦包括:一使用者可經由一網 路服務取得一裝置之選取位置。 際網路上之複數網 Φ 其中亦包括:一使用者可經由一網路上之一服 據該裝置與一生產製程或流程確認複數位置。 根 其中,該等位置係選擇以特徵化複數電性參數之變 其中,該等電性參數至少包括下列其中一 值、電阻值、電容值、串擾雜訊、壓降、驅損^且 介電常數、以及有效介電常數。 诉耗、 其中亦包括 該裝置之 釋|。....... η ^ a且、一佈局中擷取複數圖案附 其中,該等圖案附屬包括對應線間距、、線宽 〇l〇57-5667-PF (Nl) .ptd Page 72, 200405184 V. Description of the Invention (70) It also includes: maintaining a database of plural positions; this database can be linked with the strategy of generating complex quantities; and according to New or improved tools to update the database. It also includes: storing measurement information according to at least one of the following: a plurality of process tools, a plurality of recipes, and a plurality of processes; and updating the measurement information according to changes in those process tools, recipes, or processes. It also includes: a user can select multiple digits for a device via a single click of a user interface device with a user interface ^ which also includes: a user can obtain a The selected location of the device. Plural network on the Internet Φ It also includes: a user can confirm the multiple location via one of the networks according to the device and a production process or process. Among them, these positions are chosen to characterize the changes in the complex electrical parameters, where the electrical parameters include at least one of the following values, resistance value, capacitance value, crosstalk noise, voltage drop, drive loss ^ and dielectric Constant, and effective dielectric constant. Complaints, including the interpretation of the device |. ....... η ^ a And, a plurality of patterns are extracted in a layout, among which the patterns include corresponding line spacing, line width 〇

密度 其中亦包括利用該等被選取之位 控制系統或一配方合成。 線 製程Density This also includes the use of the selected position control system or a recipe synthesis. Line process

200405184 五、發明說明(71) 其中係為一半導體晶方選取該等位置。 其中係為一晶圓中一或多組半導體晶方選取該等位 置。 其中係為一批晶圓之一或多組晶圓選取該等位置。 其中係為一產品生產之一或多批晶圓選取該等位置。 其中,係利用一工具選取該等位置。 其中,係利用一製程控制或進階製程控制系統選取該 等位置。 其中’該等被選取位置係經由一外部網路、内部網200405184 V. Description of the invention (71) Among them, these positions are selected for a semiconductor crystal. These positions are selected for one or more sets of semiconductor crystals in a wafer. These locations are selected for one or more groups of wafers. These locations are selected for one or more batches of wafers produced by a product. Among them, a tool is used to select these locations. Among them, these positions are selected using a process control or advanced process control system. Among them, these selected locations are via an external network,

•I、網際網路、或一虛擬私人網路以電子式或光學式地連 接至一製程或工具。 其中’該等被選取位置係根據下述規則中至少一類電 性參數變異公差(tolerance )··電容值與電阻值、薄膜 電阻值、輸出延遲、偏態(skew )、壓降、驅動電流損 耗、介電常數、或串擾雜訊(cr〇sstalk n〇ise)。、 其中’該等被選取位置係根據下述規則中至少一類曰 圓參數變異公差:薄膜厚度、碟陷、以及侵勉至v類曰曰• I, the Internet, or a virtual private network is connected electronically or optically to a process or tool. Among them, the selected positions are based on the tolerance of at least one type of electrical parameter in the following rules: capacitance and resistance, film resistance, output delay, skew, voltage drop, and drive current loss , Dielectric constant, or crosstalk noise. , Where ’the selected positions are based on at least one of the following rules: circle parameter variation tolerance: film thickness, dish depression, and intrusion to class V

祆據本發明之另一目的,本發明提供一種方法,迄 g —整體半導體晶片選取複數量測位置,該等位置之驾 、根據該晶片之一單一内連線層級之一圖案附屬模型。 為一月之另一目的’本發明提供一種方法,^ ::正-+導體晶片選取複數量測位置,該等位置之、5 糸根據該晶片之多重内連線層級之一圖t附屬模型。与 根據本發明之另一目的,本發明提供一種方法,送 200405184According to another object of the present invention, the present invention provides a method so far—selecting a plurality of measurement positions for the entire semiconductor wafer, and driving the positions according to a pattern attached to a single interconnect level of the wafer. For another purpose of January, the present invention provides a method. ^ :: Positive- + conductor chip selects a plurality of measurement positions, and 5 of these positions is based on one of the multiple interconnection levels of the chip. . According to another object of the present invention, the present invention provides a method for sending 200405184

五、發明說明(72) 圖案附屬模型之一 ’改變複數晶圓狀 參數中複數已預測 下列步驟:在生產時,根據該生產之一 ϊ測計晝以量測一裝置;以及在生產時 態參數中複數已預測之變異。 其中亦包括在生產時改變複數電性 之變異。 根據本發明之另一目的 下列步驟:根據一化學機械 一量測計晝以量測一裝置; 該化學機械研磨製後仍具未 根據本發明之另一目的 下列步驟:根據該生產之一 量測一半導體裝置,用以辨 之複數特徵;以及利用該量 製程控制系統。 ’本發明提供一種方法,包括 研磨製程之一圖案附屬模型之 以及辨識該裝置之複數區域於 完全移除物質。 ,本發明提供一種方法,包括 圖案附屬模型之一量測計晝以 識在製程後於該裝置上殘餘銅 測之複數結果作為迴授傳至一V. Description of the Invention (72) One of the attached models of the pattern, 'Changing the plurality of wafer-like parameters, the plural has predicted the following steps: at the time of production, measuring a device in accordance with one of the productions; The predicted variation of the complex number in the parameter. This also includes variations that change multiple electrical properties during production. According to another object of the present invention, the following steps are performed: a chemical-mechanical-measurement meter is used to measure a device; the chemical-mechanical grinding still has the following steps that are not according to another object of the present invention: Testing a semiconductor device to identify plural features; and using the quantity process control system. ‘The present invention provides a method comprising grinding a pattern accessory model of a process and identifying a plurality of regions of the device to completely remove material. The present invention provides a method, which includes measuring one of the auxiliary models of the pattern and measuring the residual copper on the device after the manufacturing process.

根據本發明之另一目的,本發明提供一 下列步i根據該生產之一^帛附屬模型之 量測一半導體裝置,用以辨識在製程後於該裝置 2徵;以及利用該量測之複數結果作為迴授至 姿方合成之製程。 寻至 量測配方 其中 其中 其中According to another object of the present invention, the present invention provides the following steps: measuring a semiconductor device according to one of the production models; identifying a semiconductor device after the manufacturing process; and using the measured plural number The results are used as a process for feedback to the azimuth synthesis. Find the measurement formula where of which

其中,該等被選取之位置係為設備之一量測計書、 或一樣本計畫之一自動產生之一部份。旦 該設備包括光學設備。 該設備包括描繪輪廊設備。 該設備包括電子探針設備。 200405184 五、發明說明(73) 其中’該設備包紅 )設備。 括同室(ln_situ)或線上(in】· 丄、in-ilne 其:亦包括迴授製程之控制。 根據本發明之另一 利用複數測試結構與複數:考J::?二-種方法,包括 連結切割線量測與複數晶^特性。…、硬數圖帛附屬模型以 其中’該等位置係至少於線上、同室 中之一被量測。 或離線狀態其 其中’該製程包括部分溝槽製程流程。 •其中’該製程包括導入複數低介 製程流程。 吊數材質至一溝槽 其中,該製程包括導入複數低介電 (ILD)材質至-溝槽製程流程。 *數層間介電層 其中’該製程包括利用虛設填入以改進 間介電層(ILD )之結構性質。 ^丨晃吊數層 其中’該電性影響分析包括評估有效介電常數。 其中,該等位置係被選取以特徵化一電漿韻刻且 之複數圖案附屬。 ^ 其中’該等位置係被選取以特徵化一微影或工具之複 C圖案附屬。 其中’該4位置係被選取以特徵化一化學機械研磨製 程或工具之複數IC圖案附屬。 其中’該專位置係被選取以特徵化形成複數内連線結 構之複數IC圖案附屬。Among them, the selected positions are a measurement book of the equipment, or an automatically generated part of the same plan. Once the equipment includes optical equipment. The device includes a portrayal device. The device includes an electronic probe device. 200405184 V. Description of the invention (73) Among them, ‘the device is red.’ Including the same room (ln_situ) or online (in) · 丄, in-ilne which: also includes the control of the feedback process. According to another invention of the present invention, the use of plural test structure and plural: test J ::? Two methods, including Links the measurement of cutting lines with the characteristics of complex crystals ...., hard number diagrams. The attached model is measured with 'these positions are at least one of online and in the same room. Or offline state where' the process includes part of the groove Process flow. • Among them, the process includes the introduction of a plurality of low-dielectric process flows. Hanging a number of materials into a trench. The process includes the introduction of a plurality of low-dielectric (ILD) materials to a trench process. * Several interlayer dielectric layers. Among them, the process includes using dummy filling to improve the structural properties of the interlayer dielectric layer (ILD). ^ 丨 Swinging several layers where 'The electrical impact analysis includes evaluating the effective dielectric constant. Among them, these locations are selected Characterized by a plasma rhyme and a plural pattern attached. ^ Where 'the positions are selected to characterize a lithography or a tool's complex C pattern attached. Where' the 4 positions are selected to characterize a chemistry machine A plurality of tools or grinding process subsidiary IC pattern in which 'the spot position of the train is selected so as to form a plurality of IC features within a plurality of subsidiary wiring pattern of the structure.

1057-5667-PF(Nl).ptd 第76頁 2004051841057-5667-PF (Nl) .ptd p. 76 200405184

五、發明說明(74) 根據本發明之另一目的,本發明提供一種方法,包括 下列步驟:選取複數位置以量測已被生產之一半導體裝 置’里測該等位置;若該位置之該量測顯示該裝置不符合 一要求,去除該裝置;選取其他複數位置以量測該半導體 裝置;量測該等其他位置;以及若該等其他位置之該量測 顯不該裝置不符合一要求,去除該裝置。 其中亦包括重複該等選取、量測與去除步驟。. 其中’該量測係對應一製程步驟於線上施行。 /、中’ 6亥1測係對應一製程步驟於同室施行。V. Description of the Invention (74) According to another object of the present invention, the present invention provides a method including the following steps: selecting a plurality of positions to measure a semiconductor device that has been produced; Measurements show that the device does not meet a requirement, remove the device; select other plural locations to measure the semiconductor device; measure these other locations; and if the measurements at these other locations do not meet the requirement Remove the device. It also includes repeating these selection, measurement and removal steps. Among them, the measurement is performed online corresponding to a process step. / 、 The test system of ‘60’1 is implemented in the same room corresponding to a process step.

_其中,該量測係對應一製程步驟於離線施行。 其中,該選取係利用一工具之一軟體完成。 a中,鑲選取係依據對應該裝置之該製程之一圖案附 其中 其中 據已進行 其中 其中 根據 ,取複數 產製程與 質,其中 據該製程 其中,該 ’該模 ’該模 量測時 ,該等 ,該等 本發明 位置以 以生產 ’不論 之一圖 製程包 型全程併 之該模型 位置係選 位置係選 之另 於一裝置 ,該製程 〉月除是否 案附屬模 括研磨, 該製程之一特定工具予以量测。 入該製程之變異,且該選取係根 〇 取於一晶方層級。 取於一晶圓層級 的’本發明提供一種方法,包括 上量測,該裝置係利用至少一生 包括自該裝置之一表面清除材 落於一可接受之公差内,將對根 型所選取之該等位置進行測試。 且該可接受公差包括未過度研磨_ Among them, the measurement is performed offline corresponding to a process step. Wherein, the selection is performed by using a software of a tool. In a, the selection is based on a pattern corresponding to one of the processes of the device attached to which the data has been carried out, which is based on the multiple production process and quality, where according to the process, the 'the mold' and the modulus are measured, The position of the present invention is based on the production process regardless of the drawing process. The model position is selected. The position is selected on another device. The process is except for the case that the auxiliary mold includes grinding. It is measured by a specific tool. Into the variation of the process, and the selection system is taken at a crystal level. Taken at a wafer level, the present invention provides a method that includes measuring. The device uses at least a lifetime including removing material from a surface of the device to fall within an acceptable tolerance, and selecting the root type. These locations are tested. And the acceptable tolerance includes not over-grinding

ZUU4UM84 —>—, 五、發明說明(75) 之清除處理 其中亦包括控 其中,該工Ιί 具以對應 具、音域或渴流電Ϊ括一光學反射 电流工呈。 其中亦包括利用 良率。ZUU4UM84 — > —, V. Removal treatment of invention description (75) It also includes control, in which the tool includes an optical reflection current with a corresponding tool, sound range or thirst current. It also includes the use of yield.

該選取。 裝置、CD 該等量測特徵化整體晶片 之複數設計 機械研磨工 其中’係根摅 -p ^ , n ^ 可能損害該裝置 或極小特被而選取該等位置。 其中亦包括迴授以調整一化學 或配方參數。 其中亦包括迴授以調整一電子 含一電子化學機械沈積工具之一流 數。 其中亦包括迴授以調整一化學 壓力(differential pressure) 其中亦包括迴授以調整一製程 其中亦包括迴授以調整一製程 數。 其中亦包括自最佳已知之複數 進行比較與選取處理。 其中亦包括迴授以調整一電漿 電漿蝕刻製程工具之一流程之複數 根據本發明之另一目的,本發 根據一量測計畫量測一半導體裝置 化學機械沈 程之複數設 描緣輪廓工 或晶圓層級 規格之極大 具之複數設 積工具或包 定或配方參 機械研磨頭之複數差分 步驟之複數 流程之複數 配方參數。 合成配方參 製程方法與複數消耗品 蝕刻製程工具或包含一 設定或配方 明提供一種 ,該量測計畫係根據一 參數。 方法,包括The selection. Device, CD, and other measurements to characterize the overall design of the wafer. Mechanical grinder, where ′ is based on -p ^, n ^ may damage the device or be extremely small. It also includes feedback to adjust a chemical or formulation parameter. It also includes feedback to adjust the flow rate of an electron-containing electro-chemical mechanical deposition tool. It also includes feedback to adjust a chemical pressure. It also includes feedback to adjust a process. It also includes feedback to adjust a process number. It also includes comparison and selection from the best known plural. It also includes feedback to adjust a plurality of processes of a plasma plasma etching process tool. According to another object of the present invention, the present invention uses a measurement plan to measure a plurality of chemical mechanical sinking processes of a semiconductor device. Contour tools or wafer-level specifications have a large number of complex integrators or packaged or formulated parameters in the complex process of the multiple differential steps of the mechanical grinding head. Synthetic recipe parameters Process method and multiple consumables Etching process tools may include a setting or recipe, and the measurement plan is based on a parameter. Method, including

200405184 五、發明說明(76) 電漿蝕刻圖案附 (CD )。 其中,該圖 之複數晶圓狀態 )、薄膜厚度、 其中亦包括 之一流程之複數 其中亦包括 數控制帶。 • 其中亦包括 其中亦包括 與裝置。 根據本發明 一工具以量測一 控制元件 圖案附屬 利用200405184 V. Description of the invention (76) Plasma etching pattern attached (CD). Among them, the state of the plurality of wafers in the figure), the thickness of the film, which also includes a plurality of processes, which also includes a number of control bands. • This also includes which also includes and devices. A tool for measuring a control element according to the present invention

1057-5667-PF(Nl).ptd 屬模型以確認複數I c元件之複數臨界尺寸 案附屬模型對應複數圖案附屬特徵所產生 參數至少包括下列其中一:臨界尺寸(CD 高寬比、或溝槽寬度、或溝槽深度。 迴授以調整一微影工具或包含一微影工具 設定或配方參數。 調整複數設計規則、複數設計規格、或複 複數測試結構或裝置之該設計。 結合複數晶片參數與存在之複數測試結構 之另一目的,本發明提供一種裝置,包括 半導體裝置之一參數,該量測工具包括一 複數位置,根據對應該裝置之一製程之一 行量測。 f另一目的,本發明提供一種方法,包括 t型以決定製造一積體電路(I C )之一製 複,设備設定,該製造配方與該等設備設 f態參數或電性之製程導入變異。 曰曰圓狀態參數至少包括該整體生產丨c之一 ,用,數測試晶圓,以特徵化該積體電路 伤中複數圖案附屬,並於該模型中使用該 第79頁 200405184 五、發明說明(77) 等圖案附屬 其中, 其中, 其中, 其中, 其中, 其中, 複數晶圓狀 其中, 0、陣列高 以及耦合電 其中, 距、線寬、 其中亦 異之預測與 其中亦 其中亦 jt設定。 其中亦 設定,可最 其中, 合梯度、模 態程式、線 製程之部 製程之部 製程之部 製程之部 製程之部 複數圖案 之變異。 圓狀態參 梯向度、 分包括 分包括 分包括 分包括 分包括 附屬特 數包括 薄膜電 案附屬特徵包括 效密度。 用該模型以產生 性參數。 用一成本函數以 備 該生產 該生產 該生產 該生產 該生產 該模型 態參數 該等晶 度、階 容。 該等圖 以及有 包括利 複數電 包括利 包括利用該成本函數以 包括利用複數最佳化方 小化複數晶圓狀態或電 該等最佳化方法包括下 擬之回火(annealing ) 性程式、與近似線性程 電鍍製程。 氧化沈積。 化學機械式研磨。 電漿蝕刻。 微影。 徵係對應該製程導入之 至少下例一項:薄膜厚 阻、電容、串音雜訊、 至少下列一項:線間 晶圓狀態之複數晶片變 量測該等預測之複數結 比較-組配方或複數設 ίΪJ配方與複數裝 丨王參數之變異。 列至少-項:單一、姓 式動態程式、近似動。1057-5667-PF (Nl) .ptd belongs to the model to confirm the complex critical dimension of the complex I c element. The attached model corresponds to the features of the plural pattern. At least one of the following parameters is included: critical dimension (CD aspect ratio, or groove). Width, or groove depth. Feedback to adjust a lithography tool or include a lithography tool setting or recipe parameter. Adjust multiple design rules, multiple design specifications, or multiple designs of the test structure or device. Combine with multiple wafer parameters With another object of the existing plural test structure, the present invention provides a device including a parameter of a semiconductor device, the measuring tool includes a plurality of positions, and the measurement is performed according to a process corresponding to a process of the device. F Another object, The invention provides a method, which includes a t-type to determine a manufacturing process of an integrated circuit (IC), a device setting, a manufacturing formula, and a f-state parameter or electrical manufacturing process for introducing these devices into a variation. The state parameters include at least one of the overall production, c, and test wafers to characterize the integrated circuit injury. The pattern is used in the model on page 79, 200405184. V. Invention Description (77) and other patterns are attached to it, among which, among which, among which, among them, a plurality of wafers in which 0, the array height, and the coupling current, the pitch, line width, Among them are different predictions, and among them, they are also set by jt. Among them, they can be set, which can be a combination of gradients, modal programs, line processes, part processes, part processes, part processes, part processes, and part pattern variations. The parameters of the state parameters include the sub-included sub-included sub-included sub-included sub-characteristics including the thin-film electrical sub-characteristics including the efficiency density. Use the model to generate the parameter. Use a cost function to prepare the production, the production, the production, and the The model and parameters of the production state are the crystallinity and capacity. The graphs include the use of the cost function to include the use of the cost function to include the use of complex optimization methods to minimize the state of the multiple wafers or electricity. Optimization methods include the following annealing (annealing) program, and the approximately linear process electroplating process. Oxidative deposition. Chemical mechanical polishing. Plasma etching. Lithography. At least one of the following examples corresponding to the process introduction: film thickness resistance, capacitance, crosstalk noise, at least one of the following: multiple wafer changes in wafer state between lines Measure the comparison of the plural knots of these predictions-the variation of the group formula or plural set formula and the plural parameters. The column at least-item: single, last name dynamic formula, approximate move

l〇57-5667-PF(Nl).ptd 第80頁 200405184l〇57-5667-PF (Nl) .ptd p. 80 200405184

:、中亦包括利用成本函數之二次方(quadra t i c )以 甘Ϊ該等最佳化方法之收斂(convergence)。 其中包括一單一製程步驟。 其中包括大於一項製程步驟或製程流程。 其中亦包括經由一圖形化使用者介面將一或多組製造 配方與複數設備設定傳送至一使用者。 其中亦包括直接地傳送至少一製造配方至一製程工具 或一生產自動化系統。 其中亦包括直接地傳送至少一製造配方至依附於一晶:, Also includes the use of the quadratic cost function (quadra t i c) to Gan Convergence of these optimization methods (convergence). This includes a single process step. This includes more than one process step or process flow. It also includes transmitting one or more sets of manufacturing recipes and multiple equipment settings to a user via a graphical user interface. It also includes the direct transfer of at least one manufacturing recipe to a process tool or a production automation system. This also includes directly transmitting at least one manufacturing recipe to a crystal.

•I載具或被指定至一批特定晶圓之一記憶裝置。 ^其中亦包括利用該圖案附屬模型以預測或模擬電性或 複數電性參數,以作為一單一製程步驟之一結果。 、其中亦包括利用該圖案附屬模型以預測或模擬電性或 複數電性參數,以作為多項製程步驟或一製程流程之一結 果。 其中亦包括利用複數實際產品晶圓以發展該模型,該 模型將使複數圖案附屬特徵對應至至少一製程所導致在複 數狀態參數或複數電性參數之變異。 其中’該等圖案附屬特徵包括至少下列一項 胃、線寬、W及有效密度。 線間• I carrier or memory device assigned to one of a specific batch of wafers. ^ It also includes the use of the pattern attached model to predict or simulate electrical or complex electrical parameters as a result of a single process step. It also includes using the auxiliary model of the pattern to predict or simulate electrical or complex electrical parameters as a result of multiple process steps or a process flow. It also includes the use of multiple actual product wafers to develop the model. The model will make the auxiliary features of the multiple patterns correspond to variations in multiple state parameters or multiple electrical parameters caused by at least one process. Among these, the auxiliary features of the patterns include at least one of the following stomach, line width, W, and effective density. Between lines

其中’該等晶圓狀態參數包括至少下例一項:薄膜厚 度、陣列高度、階梯高度。 其中’該等晶圓狀態參數包括至少下例一項··薄膜電 阻電谷、串音雜说、以及麵合電容。Among them, the wafer state parameters include at least one of the following examples: film thickness, array height, and step height. Among them, the wafer state parameters include at least one of the following examples: a thin film resistor valley, crosstalk noise, and a face-on capacitor.

第81頁 200405184 五、發明說明(79) - 其中亦包括在光罩下訂單(tape 〇ut )與製造前確保 該I C設計之製造能力。 其中亦包括在光罩下訂單(tape 〇ut )與製造前模擬 該I C設計之製造能力。 其中亦包括在一 I C設計之複數預選項目中決定一製程 流程。 其中亦包括於一 1C製造製程中改變複數配方參數以特 徵化複數圖案附屬。Page 81 200405184 V. Description of the invention (79)-This also includes ensuring the manufacturing capability of the IC design before placing an order (tape otto) and manufacturing before the photomask. This also includes the ability to place orders (tape out) and simulate the IC design before manufacturing. This also includes determining a process flow in a plurality of pre-selected items designed by IC. This also includes changing the plural recipe parameters in a 1C manufacturing process to characterize the plural pattern attachment.

其中亦包括於該製程之一生命週期之複數點時施行該 方以特徵化製程趨向(drift)。 其中,該製程趨向包括一電漿反應器之該等壁上 置或建立之CMP墊(pad )。 — 讓一使用者可取得 其中係由一媒介上之軟體施行。 其中亦包括提供一圖案化使用者介面 結果。 中係用於製程合成,並於一網路伺服器上施行。 中係用於製程最佳化,並於一網路伺服器上施行 中係於一網路伺服器上施行 網路伺服器上產生複This also includes applying the party to characterize the process drift at multiple points in the life cycle of the process. Among them, the process tends to include a CMP pad placed or built on the walls of a plasma reactor. — Make it available to a user, which is implemented by software on a medium. This also includes providing a patterned user interface result. The middle system is used for process synthesis and is implemented on a web server. Medium system is used for process optimization and implemented on a web server. Medium system is implemented on a web server.

其中亦包括對應互異使用者於該 零客製化網路服務。 其中亦包括一流程之一製程步驟或多4製程步驟 空間式地確認跨越一晶方之複數後製造缺陷 (post-manufactured faults ) 〇 其中亦包括經由一網路伺服器接收採用一特定製程或It also includes the zero-customization network service for different users. It also includes one process step or four process steps in a process. Spatially confirm post-manufactured faults across a crystal cube. This also includes receiving through a web server using a specific process or

1057-5667-PF(Nl).ptd 第82頁 200405184 五、發明說明(80) 工具之該1C之複數製造能力預測^ 其中亦包括利用一網路飼 具或複數製程工具或步驟之添,自動地提供一製程工 定。 壬中已選取配方或複數設 其中亦提供一使用者可從一 口口 取得一最佳化。 词服器對應一單擊而 其中亦提供一使用者可從一 取得一最佳化。 、 司服器對應一單擊而 其中亦包括超過複數製程小時或 馨程趨向與切換(sh i f t )。 衣壬曰日圓時特徵化 括排程複數製程工具之保養與維修工作。 其中亦包括選取複數消耗品組。 、广等消耗品組包括複數研磨墊、複數種研磨 液、或氣體合成物。 # w挺 根據本發明之另一目的,本發明提供—種 下列步驟:根據一或多步驟之一製造製程流程之電性J變 分析與-圖案附屬模型,產生一策略以比較複數消:二 或設備/以及利用電性影響分析與該圖案附屬模型使 4 了被選取之該等消耗品組或設備之複數積體電路設 專預期結果。 其中,该等消耗品組包括複數研磨墊、複數種 液、或複數氣體。 其中,該設備包括CMP、ECD、電漿蝕刻、微影、.沈 積設備。 ^ 51057-5667-PF (Nl) .ptd Page 82 200405184 V. Description of the invention (80) Prediction of the 1C complex manufacturing capability of the tool ^ It also includes the use of an online feeding tool or the addition of multiple process tools or steps, automatically Provide a process schedule. Renzhong has selected recipes or multiple settings which also provide a user to get an optimization from one mouthful. The word server corresponds to one click and it also provides a user to obtain an optimization from one. The server corresponds to one-click, and it also includes more than a plurality of process hours or Xin Cheng trend and switch (sh i f t). The characterization of YI YUN YEN includes maintenance and repair work of multiple tools for scheduling. This also includes selecting multiple consumable groups. The consumables group includes a plurality of polishing pads, a plurality of polishing liquids, or a gas composition. # w 挺 According to another object of the present invention, the present invention provides the following steps: according to one or more steps of the manufacturing process flow, electrical J-variation analysis and -pattern attachment model, generating a strategy to compare plural eliminations: two Or the equipment / and the use of electrical impact analysis and the pattern attached model make the selected integrated circuit of the consumable group or equipment set the expected results. Among them, these consumable groups include multiple polishing pads, multiple liquids, or multiple gases. Among them, the equipment includes CMP, ECD, plasma etching, lithography, and deposition equipment. ^ 5

1057-5667-PF(Nl).ptd 第83頁 200405184 五、發明說明(81) 根據本發明 下列步驟:根據 分析與一圖案附 耗品組或設備; 評估使用一被選 設計之該等預期 其中,該等 液、或複數氣體 其中,該設 _設備。 之另一目的,本發明提供一種方法,包括 一或多步驟之一製造製程流程之電性影響 屬模型,產生一策略以分析或診斷複數消 以及利用電性影響分析與該圖案附屬模型 取之該等消耗品組或設備之複數積體電路 結果。 消耗品組包括複數研磨墊、複數種研磨 〇 備包括CMP、ECD、電漿蝕刻、微影、或沈 根據本發明 下列步驟:根據 之另一目的,本發明提供一種方法,包括 一或多步驟之一製造製程流程之電性影響 分析與一圖案附屬模型,產生複數隔離溝槽幾何結構之一 預測。 程流程包括CMP。 程流程包括電漿#刻。 特徵化關於複數診斷或控制之一工具之 複數特定高寬比。 建立分析用之一資料庫。 編譯關於工具或消耗行為之聚集統計資 建立工具或設計之複數標準。 經由一網路提供資料。 預測一主動裝置區與一隔離溝槽區間之 其中,該製 其中,該製 其中亦包括 複數圖案附屬之 其中亦包括 其中亦包括 料 其中亦包括 其中亦包括 其中亦包括1057-5667-PF (Nl) .ptd Page 83 200405184 V. Description of the invention (81) According to the present invention, the following steps: based on analysis and a pattern with a consumable group or equipment; evaluation of the expected use of a selected design Among these liquids, or multiple gases, the equipment is provided. Another object of the present invention is to provide a method, which includes one or more steps of an electrical influence model of a manufacturing process, generating a strategy for analyzing or diagnosing a plurality of eliminations, and using electrical influence analysis to obtain the pattern attached model. Complex integrated circuit results for such consumable groups or equipment. The consumable set includes a plurality of polishing pads, a plurality of types of polishing, and includes CMP, ECD, plasma etching, lithography, or deposition. According to the following steps of the present invention: According to another object, the present invention provides a method including one or more steps. An electrical impact analysis of a manufacturing process and a pattern attachment model produce a prediction of the geometry of a plurality of isolation trenches. The process flow includes CMP. The process includes the plasma # 刻. Characterize the plural specific aspect ratio of one of the tools for plural diagnosis or control. Build a database for analysis. Compile aggregate statistics on tools or consumption behaviors Establish plural standards for tools or designs. Provide information via a network. Prediction of an active device area and an isolation trench interval, among which, the system, which includes a plurality of patterns attached, which also includes, which also includes materials, which also includes, which also includes, which also includes

1057-5667-PF(Nl).ptd 第84頁 200405184 五、發明說明(82) 介面之溝槽圓角(rounding)狀況。 其中亦包括預測一主動裝置區與一隔離溝槽區間之一 介面之一閥值電壓(thresholcl voltage)。 其中亦包括預測一主動裝置區與一隔離溝槽區間之一 介面之一漏電流。 其中亦包括預測一主動裝置區與一隔離溝槽區間之一 介面之複晶矽縱狀結構(polysilic〇n stringer)。 其中亦包括定義形成複數且溝槽隔離結構之複數製程步驟 或複數流程。1057-5667-PF (Nl) .ptd Page 84 200405184 V. Description of the invention (82) The groove rounding of the interface. It also includes predicting a threshold voltage of an interface between an active device region and an isolation trench. It also includes predicting a leakage current at an interface between an active device region and an isolation trench region. It also includes a polysilicon stringer that predicts an interface between an active device region and an isolation trench region. It also includes a plurality of process steps or processes for forming a plurality of trench isolation structures.

% 其中亦包括選取一特定淺溝槽隔離設計。 其中亦包括選取一設計或複數製程特徵以影響溝槽邊 (Conner )之圓角狀況。 ’包括 流程之 該製程 分析與 期結 含於該 能更明 ’作詳 鲁 根據本發明之另一目的,本發明提供一種方法 下列步驟:根據一或多步驟之一溝槽隔離製造製 電性影響分析與-圖案附屬模型,產生—策略以於 中配置複數虛設填入氧化區;以及利用該電性影響 該圖,附屬模型評估使用該虛設填入配置之該等預 果"亥電性影響分析與該圖案附屬模型之使用係句 g設填入策略之產生的一部份。 ,讓本發明之上述和其他目的、特徵、和優點 ”、、員易、II,下文特舉一較佳實施例,並配合所附圖 細說明如下。 巧 實施方式:% This also includes selecting a specific shallow trench isolation design. It also includes selecting a design or multiple process features to affect the fillet condition of the trench edge (Conner). 'The process analysis including the process and the period is included in the more clear'. In accordance with another object of the present invention, the present invention provides a method with the following steps: manufacturing electrical properties by trench isolation according to one or more steps Impact analysis and-pattern auxiliary model, generating-strategy to configure a plurality of dummy filling in the oxidation area; and using the electrical property to affect the map, the auxiliary model evaluates the pre-fruits using the dummy filling configuration " The impact analysis and the use of the pattern attachment model are part of the generation of the filling strategy. Let the above and other objects, features, and advantages of the present invention "," Yiyi ", and" II ", a preferred embodiment be exemplified below, and described in detail below with reference to the accompanying drawings.

200405184200405184

五、發明說明(83) 所< 第1 A圖所不綠利用電子設計自動化或(EDA )工具 ::之積體電路讓設計者可設 區塊τ°°。利用-電腦工具配置元件並設 連線與插塞。在設計晶片之:理需跨越多重層級之内 生-電子檔案,其格式係―;理;;:寺,利用EDA工具產 利用此檔案下單(tape-ouJ=描述裝置,10102。 成電路特徵之微影光罩,101)04並。產生用於生產製程期間形 雌製;流程可包括沈積、微影、㈣、電鑛、以及V. Description of the invention (83) Institute < Figure 1 A The green system uses electronic design automation or (EDA) tools :: integrated circuit to allow the designer to set the block τ °°. Use-computer tools to configure components and set up connections and plugs. In the design of the chip: the endogenous-electronic archives that need to cross multiple levels must be formatted in the following format: ---; temples, using EDA tools to produce orders using this archive (tape-ouJ = description device, 10102. circuit characteristics) Lithographic mask, 101) 04 union. Produced for use during the production process; processes can include deposition, lithography, radon, electricity mining, and

(CMP)步驟’並選取每 之工具設定 造如氣體、研磨塾、研磨液等)。利用此流程製 計之物理與電性特徵之晶片,I。·。產生 量測橫跨此被製造裳置…,10108。-量 妒置,、10'ΐη用此配方’纟中包括如何以及應於何處量測此 ί ;,二10。假若此晶片或裝置符合特定之效能與良率 片!上 測試此設:十與流程後,則採用並生產此晶 響效能鱼^ 又计 φ錯誤之區域,101丨6。此類影(CMP) step 'and select each tool setting (such as gas, grinding mill, grinding fluid, etc.). A wafer utilizing the physical and electrical characteristics of this process, I. ·. Generates measurements made across this ... 10108. -Amount of jealousy, 10'ΐη using this recipe ’纟 includes how and where to measure this; If this chip or device meets the specific performance and yield rate chip! Test this setting on: Ten and the process, then use and produce this crystal performance fish ^ Also calculate the area of φ error, 101 丨 6. This kind of shadow

Ciiifrn路幾何結構中寬度或厚度之變 隔離塞、虛填入與溝槽尺寸、以及淺溝槽 隔離f私形成之配置與溝槽等。 及成/苒倌 程圖第=ΐ ϊ ϋ利”案附屬以改進設計製程之設計流 陷、以及侵餘係決定於佈局參致性、碟 y歎(如材負松度、線寬、線Changes in width or thickness in the Ciiifrn road geometry. Isolation plugs, dummy fills and trench sizes, and shallow trench isolation configurations and trenches. And Cheng / 苒 倌 Chengtu No. = ΐ ϊ ϋ 利 ”case is attached to improve the design process of the design process, and the surplus is determined by the layout of the generality, the disc sigh (such as material negative slack, line width, line

200405184 HMli ----- 五、發明說明(84) 間距)之變異。非平坦表面通常導鋒 發製程積體性之問題。此類# 羑、、另之製程能力並引 能,如會因結構位於裝置上之位置 啻二衣置之效 之變異。 產生電谷值與電阻值 採用此方式’設計者利 構,1〇“〇,產生GDSII格式之電子檔案設=路之幾何結 與系統產生互動,1 0 i 44。 田,或直接 案之幾何結構對應每—製f程利用模型’將設計圖 部分晶片之元件厚度與寬二=;程之步驟後全晶片或 之影響,如時序、睹晰又、方法可用以特徵化電性變 功能性良率等問題,1014Y。以及功率特性’或其他參數及 異之影響。 方法亦可用於預測良率變 要求之特性:良$:=確二被預測之晶片特徵是否符合所 步地被分析與測;規:傳符合,此設計會進-不符合(低於某可接受之t Y罩商以製造微影光罩。若 計,1 0 1 50,例如此方7準),此方法可用於調整此設 入或溝槽結構以改盖平担可/辨尺寸大小,並配置虛設填 痣準)m亦;用;J:若不符低於某可接受之 •電路元件符合可接心·’、、光罩上之幾何結才冓,使映射 第 ic 圖所示 法可用以特徵化用於流 ^程/瓜权製造汉计電路。此方 構間之圖案附屬。此==製程步驟之設計與製造幾何結 結構對應每-製程或I利用模型’將設計圖案之幾何 、衣缸 程之步驟後全晶片或部分晶片 1057-5667-PF(Nl).Ptd 第87頁 200405184200405184 HMli ----- V. Description of the invention (84) Spacing) Variation. Non-planar surfaces often lead to problems with integration. This kind of # 羑, and other process capabilities and enlightenment, such as the effect of the structure on the device will vary. Generate electric valley value and resistance value in this way. “Designer's structure, 10 ″, generate electronic file in GDSII format. The geometry knot of the road interacts with the system, 10 i 44. Field, or direct case geometry The structure corresponds to each-manufacturing process using the model 'the thickness and width of the components of the chip in the design diagram. The whole chip or the impact after the process steps, such as timing, clarity, and methods can be used to characterize electrical changes in functionality. Yield and other issues, 1014Y. And the influence of power characteristics' or other parameters and differences. The method can also be used to predict the characteristics required for yield changes: good Test; regulation: pass, this design will go-not meet (below an acceptable t Y mask manufacturer to make lithographic masks. If count, 1 0 1 50, such as this square 7 standard), this method can be used Adjust the setting or groove structure to change the size of the flat load / distinguishable size, and configure the dummy filling mole standard) m also; use; J: if it does not match lower than an acceptable one • Circuit components meet can be connected ',, the geometric knot on the mask, so that the map ic map The method can be used to characterize the use of flow / manufacturing rights to manufacture Chinese circuits. The pattern between this structure is attached. This == process steps of the design and manufacturing geometric structure corresponding to each process or I use the model to design the pattern Geometry, whole or part of the wafer after the steps of the bathing process 1057-5667-PF (Nl) .Ptd p. 87200405184

五、發明說明(85) 之元件厚度與寬度,1 0 1 7 2。相同地,此方法亦可發展模 型利用經特殊設計之測試或特徵化晶圓,特徵化電路幾何 結構之範圍(如變異圖案费度、線寬、線厚度、線間距線 與溝槽側壁之角度以及高寬比)上製造之效應。V. Description of the invention (85) The thickness and width of the component, 1 0 1 7 2 Similarly, this method can also develop models that utilize specially designed tests or characterize wafers to characterize the range of circuit geometry (such as variation pattern cost, line width, line thickness, line spacing line, and the angle of the trench sidewalls). And aspect ratio).

此方法亦可用以選取製程配方與工具設定,並為每一 步驟選取消耗品(如研磨液與研磨墊),1 〇 1 7 4。製程流 私可包括沈積、微影姓刻、電鍵、以及化學機械研磨 (CMP )步驟,ϋ選取每一步驟之工具設定與消耗品(如 氣體、研磨墊、研磨液等)。利用此流程製造總和軟體設 _^之物理與電性特徵之晶片’ 1 0 1 7 6。產生量測配方以量 測橫跨此被製造裝置之位置’並產生量測工具參數(如描 繪量測之參數),1 〇 1 7 8 ° 一畺測工具則利用此配方物理 性地在該晶圓上製造該組晶片、或數組晶片或晶方, 10180 〇 假若晶片或裝置符合+特定之效能與良率規格,則進行 晶片之製造,1 〇 1 90 °假若此晶片或裝置不符合特定之效 能與良率規格,則調整製程配方或消耗品以修正錯誤之區 域,1 0 1 84。假若此晶片或裝置不符合特定之效能與良率 規格,則調整此設計(如^利用虛設填入或溝槽)以修正錯 Φ之區域,1 0 1 86。當調整設=時,此流程可迴授調整佈 局,1 0 1 4 0,第1 Β圖。當肩整没计時,此流程可迴授至選 取製程配方與消耗品之汉^,1 〇 1 7 4。 如第1A、1Β、1c圖所不二此方法可用以特徵化圖案附 屬變異,並指定方法修正並里測電路幾何結構與元件,包This method can also be used to select process recipes and tool settings, and select consumables (such as polishing liquid and polishing pads) for each step, 1074. The process flow can include deposition, lithography, electrical keying, and chemical mechanical polishing (CMP) steps. Select the tool settings and consumables (such as gas, polishing pad, polishing liquid, etc.) for each step. Use this process to manufacture a chip with physical and electrical characteristics of the total software design _ ^ 1 0 1 7 6. Generate a measurement recipe to measure the position across the device being manufactured 'and generate measurement tool parameters (such as depicting measurement parameters). 1 0 78 ° A measurement tool uses this recipe to physically Manufacture the set of wafers, or array wafers or cubes on the wafer, 10180 〇 If the wafer or device meets + specific performance and yield specifications, then the wafer is manufactured, 010 90 ° If the wafer or device does not meet the specific Performance and yield specifications, adjust the process recipe or consumables to correct the wrong area, 1 0 1 84. If the chip or device does not meet specific performance and yield specifications, adjust the design (eg, using dummy fills or trenches) to correct the wrong area, 1 0 1 86. When the adjustment setting =, this process can feedback the adjustment layout, 1 0 1 4 0, Fig. 1B. When the shoulder is not timed, this process can be returned to the person who chooses the process recipe and consumables ^, 1074. As shown in Figures 1A, 1B, and 1c, this method can be used to characterize pattern attachment variations, and specify methods to modify and measure circuit geometry and components, including

1057-5667-PF(Nl).ptd 第88頁 200405184 五、發明說明(86) --- 括如王3 D特倣之單一或多重内連線層級之内連線結 度與寬度之變異。此方法可用於單一製程步驟,如之厚 械研磨(CMP ),或多重步驟之全部製程流程,如銅予機 製程。每一製程類型與特定工具類型與設定之個別物槽 具有不同的圖案附屬,故會改變晶方、晶圓、盥 理性 寬度與厚度之影響。 〃批層級之 乂下將描述加入虛設填入以降低半導體裝置之 結構之電化學沈積與後續化學機械研磨中附屬特連線 之製程變異。晶圓品質之變異(如薄膜厚度變異盘W起 •I變異,如碟陷與侵蝕)與電性參數(電阻、電=面拓 訊)經由半物理製程模型,其可用以二二與雜 多步驟之程序之每—步驟中量測特定製程中一或 型化與模擬。在佈局中配置虛設填入結構;;維持電=模 =設或設計值時,改進晶圓之厚度與表面4寺=數 :ΐϊΞί可依下列方式予以配置:胃整設計佈。 如有效圖案密唐,县;π斗、旦,丨丨叩句參數, 改進下層介電絕缘> (1入Α結構間之寬度與間隔; 丨# +1 r,緣層(如低介電常數)之結構性暂.*且 小化或限制在電性效能上之影響。 『I,並最1057-5667-PF (Nl) .ptd Page 88 200405184 V. Description of the Invention (86) --- Variations in the interconnecting degree and width of single or multiple interconnecting levels including the King 3D special imitation. This method can be used for a single process step, such as thick mechanical grinding (CMP), or a multi-step full process flow, such as copper to machine. Each process type and specific tool type and setting of the individual object slot have different pattern attachments, so it will change the influence of the width, thickness and thickness of the crystal, wafer and toilet. The following description of the batch level will describe the process variation of adding dummy filling to reduce the electrochemical deposition of the structure of the semiconductor device and the subsequent special connection in the chemical mechanical polishing. Variations in wafer quality (such as thin-film thickness variation discs from W, I variations, such as dish sinking and erosion) and electrical parameters (resistance, electrical = surface extension) can be used for semi-physical process models. Step-by-Step Procedure—Measures one or more types and simulations of a specific process in a step. Configure the dummy filling structure in the layout; when maintaining electrical = modular = setting or design value, improve the thickness and surface of the wafer. 4th can be configured according to the following methods: gastric design cloth. Such as effective pattern dense Tang, county; π Dou, Dan, 丨 丨 Haiku parameters, improve the lower layer dielectric insulation> (1 into the width and spacing between Α structure; 丨 # +1 r, marginal layer (such as low dielectric The constant) of the structure. * And minimize or limit the impact on electrical performance. "I, and most

為提供資料儲存時更有效“ … ce")資料庫做為虛設填入之配 早疋 晶片上重複,此單元在每次需填入=參數可於全 存關於虛設結構之多餘資訊之需要。、:可降低儲 同尺寸單元以填入—特定區域。亦可採庫中選出不 將製造製程模型或模用、ϋ類之單兀。 及虛4人方法巾電性效能In order to provide more effective data storage "... ce ") The database is duplicated on the chip equipped with dummy padding. This unit needs to be filled in every time. The parameter can be stored in the redundant information about the dummy structure. : Can reduce the storage of the same size units to fill in a specific area. You can also choose from the library to not use the manufacturing process models or molds, and other types of units. And the electrical performance of the virtual 4 person method

200405184 五、發明說明(87) 模型或模擬嵌入一虛設模擬系統中,可最小化製程變異與 後續電性之影響。較佳之方法係形成一迴授系統,決定虛 設填入之合適尺寸與配置,最小化製程變異與後續電性之 影響。 31 > 33200405184 V. Description of the invention (87) The model or simulation is embedded in a dummy simulation system, which can minimize the influence of process variation and subsequent electrical performance. The better method is to form a feedback system to determine the appropriate size and configuration of the dummy filling, to minimize the impact of process variation and subsequent electrical properties. 31 > 33

第7圖係顯示本發明之一實施例。第7圖中子區塊 、—-一、34、35)將於區塊b至f中放大描述。1C設計通 常會電子式地顯示資料庫檔案(如以GDS格式)以定義出 積體電路每一層級之結構與位置,3 〇。此類檔案一般而言 非常的龐大,雖然製程變異相關之元件可以更有效率地加 膨描述。佈局擷取,3 1,係關係到綜合I c設計中一密實組 合之分離格(discrete grid ),其參數包括如線寬、線 間距、以及每一格之密度佈局特徵將會對應,3 3,至晶圓 :口質,如薄膜厚度、或電性參數,如薄膜電阻或電容。此 資訊可用於單一製程模型(如CMp )或一組製程模型 =與九步果驟CMP製程,或更複雜之製程流程)以預測或模 =f &、.,〇果U及相對應之變異,33 — i。此變 得’如薄膜厚度之光學量測,或晶圓表理 高陷或階梯高度,以及侵钱或陣列Fig. 7 shows an embodiment of the present invention. The sub-blocks in Figure 7 (--1, 34, 35) will be enlarged and described in blocks b to f. 1C designs usually electronically display database files (eg, in GDS format) to define the structure and location of each level of the integrated circuit, 30%. Such files are generally very large, although components related to process variation can be more efficiently expanded. Layout extraction, 3 1, is related to a dense combination of the discrete grid in the integrated IC design. Its parameters include line width, line spacing, and density layout characteristics of each grid. 3 3 To wafer: mouth quality, such as film thickness, or electrical parameters, such as film resistance or capacitance. This information can be used for a single process model (such as CMP) or a set of process models = with nine-step fruit CMP process, or more complex process flow to predict or model = f &,., 0, and corresponding Variation, 33 — i. This becomes ‘such as an optical measurement of film thickness, or wafer surface texture, high depression or step height, and invasion of money or arrays.

4 子式地1測此類變異,如薄膜電阻或電 L且可能需要使用到原始1C設計,39。從$ 33-2之計算參數伤Α人B u 攸至4 Sub-ground 1 to measure such variations, such as film resistance or electrical L and may need to use the original 1C design, 39. Calculated parameters from $ 33-2 hurt A person B u to

之日太$夕&係為王晶片之整合,同時適用於橫跨曰H 之晶方或多重晶方,3 3 — 3。 馆吟日日W 結合製程模型兔^ -ΤΙ JA^· |μ 妒γ々曰问 興電子杈擬可預測I C設計之效能,廿脸 欲侍之晶圓品質盥雷从4t 双月b,並將 、一電|±參數與設計規則做比對The date is too much, and it is the integration of the king chip, and it is also applicable to the crystal cube or multi crystal cube that spans H, 3 3-3. Pavilion Yinri W combined with the process model rabbit ^ -ΤΙ JA ^ · | μ 々 々 々 々 问 问 问 问 问 问 问 问 Xing electronic fork is expected to predict the performance of IC design, face-to-face wafer quality mine from 4t bimonthly b, and Compare, Yidian | ± parameters with design rules

200405184200405184

學觀點而言,可將此類比對視 function) ,35,A 係根撼/ 二 函數(cost 里,if可勃一入二二占、康在維持電性效能時降低製程變 異,並可執仃全面性虛設填入策略。 假使設計無法符合特定之类# -X —, 失真要求’虛設填入(銅或 乳化物)可加入以調整佈局泉赵Γ ^ ^ ^ > 數(如密度)並降低變異。 將取仔70件特徵之佈局、後製程參數,38,以及設計規 則,37,代入尺寸與配置演算法,34,決定出此設計中虛 設填入標的、標的之圖t、以及此結構之位置或配置。此 虛設填入尺寸與配置包括兩種主要成分:規則產生,From a scientific point of view, such comparisons can be viewed as functions), 35, A series of root / two functions (in cost, if can be one to two or two, Kang can reduce process variation while maintaining electrical performance, and can perform仃 Comprehensive dummy filling strategy. If the design fails to meet a specific category # -X —, distortion requirements' dummy filling (copper or emulsion) can be added to adjust the layout. Zhao ^ ^ ^ ^ > number (such as density) The layout and post-processing parameters of 70 features will be taken, 38, and design rules, 37, and the size and configuration algorithm will be substituted, 34, and the target and target maps will be filled in in this design, and The position or configuration of this structure. The size and configuration of this dummy fill include two main components: rule generation,

%-1,以及尺寸比較與分類配置,34_2。規則產生係轉換 此設計規則並限定以符合虛設填入之指導方針。每一虛設 填入可於該晶片設計中配置成獨立標的,但此方法將大量 地增加佈局之尺寸。分類單元或中繼標的(meta_objects )係根據結構特徵而產生,如線間距、線寬。此類單元代 表檔案大小與記憶體需求在設計檔案中進行分類配置時將 會更有效率被使用。此系統係輸出圖形電腦協助設計格式 (如GDS )之設計檔案。完整的系統可將每一IC元件即時 地、或是在所有I c元件以加入I c設計佈局之後加入設計% -1, and size comparison and classification configuration, 34_2. Rule generation is a transformation of this design rule and is qualified to conform to the guidelines of a dummy entry. Each dummy fill can be configured as an independent target in the chip design, but this method will greatly increase the size of the layout. Taxonomic units or meta-objects (meta_objects) are generated based on structural characteristics, such as line spacing and line width. This type of unit represents the file size and memory requirements that will be used more efficiently when sorting in the design file. This system outputs design files in a graphics computer-assisted design format (such as GDS). The complete system can add each IC component in real time or after all I c components are added to the I c design layout.

=。重複此方法直到決定出符合欲得製程規格與電性效能 虛設填入策略。此設計將確認可進行製造,3 6。 b 下列各節係描述實施例之製造方法。第a節係描述利 用實施例降低電鍍銅沈積(ECD )製程之變異。第b節描述 擷取製程變異相關之佈局參數’並將龐大設計檔案轉換成 可管理之特徵組合。雖不需執行佈局擷取,但較佳的情況=. Repeat this method until it is determined to meet the desired process specifications and electrical performance. This design will confirm that it can be manufactured, 3 of 6. b The following sections describe the manufacturing methods of the examples. Section a describes the use of embodiments to reduce variations in the electroplated copper deposition (ECD) process. Section b describes the process of extracting layout parameters related to process variation and transforming large design files into manageable feature sets. Although it is not necessary to perform layout capture, it is better

1057-5667-PF(Nl).ptd 200405184 五、發明說明(89) 下仍需要。第c節描述使用製程與電子模型以特徵化電性 上‘程變異之影響。第d節描述使用成本函數以呼估虛設 填入調整(或不予調整)之影響,以及如何利用此功^達 到欲得之晶圓品質與電性效能之規袼。第e節插述虛設填 ^規—則產生與管理、虛設填入尺寸以及虛設填入之配置。 第ί節描述分類單元配置演算規則以及記憶體之獲益。第^1057-5667-PF (Nl) .ptd 200405184 V. Description of the invention (89) Still needed. Section c describes the use of process and electronic models to characterize the effects of electrical process variation. Section d describes the use of a cost function to estimate the impact of dummy fill adjustments (or no adjustments), and how to use this function to achieve the desired wafer quality and electrical performance specifications. Section e interpolates the dummy filling rules—the generation and management, the size of the dummy filling, and the configuration of the dummy filling. Section ί describes the classification unit allocation algorithm and the benefits of memory. Article ^

節描述虛設填入系統於溝槽製程流程、電化學沈&積"(ECD )與電化學機械沈積(ecmd)、以及整合低介^常數介電 材質於溝槽製程流程上之應用。第h節描述採用虛設填入 β法之結構與計算組織以及虛設填入系統之操作與使用者 方法。第1節描述施行虛設填入方法之結果與使用者介面 之螢幕圖片。 〃 a•利用虛設填入降低ECD之相關變異 在銅溝槽製程中係採用 線結構。此目的在於當最小 表面拓撲(通常指階梯高度 溝槽區。 電鍍銅沈積(ECD)形成内連 化沈積銅厚度之變異與最小化 )時’可完整而無孔洞地填入Section describes the application of the dummy filling system to the trench process flow, electrochemical deposition (ECD) and electrochemical mechanical deposition (ecmd), and the integration of low dielectric constant dielectric materials into the trench process flow. Section h describes the structure and calculation organization using the dummy filling β method, and the operation and user methods of the dummy filling system. Section 1 describes the results of the dummy filling method and screen images of the user interface. 〃 a • Using dummy filling to reduce the related variation of ECD In the copper trench process, a line structure is used. The purpose is to fill in completely and without holes when the minimum surface topology (usually referred to as the height of the trench region. The variation and minimization of the thickness of the copper deposited by the electroplated copper deposition (ECD)).

^束時間(完全地填入溝槽之時間,標記為以)依 溝槽深度而定。對大型溝槽而言,側壁上之銅沈 #小於溝槽寬度。因此,此類溝槽傾向自底部填入 吊此區域具有相同的沈積速率。此溝槽以與此區域 1=銅厚度填入,會在廣大的溝槽結構表面留下大型階 -構,或所謂階梯高度。相反地,小型溝槽之側壁沈積^ Beam time (time to fill the groove completely, marked with) depends on the depth of the groove. For large trenches, the copper sinker # on the sidewall is smaller than the trench width. Therefore, such trenches tend to be filled from the bottom. This area has the same deposition rate. This trench is filled with 1 = copper thickness in this region, which will leave large step structures, or so-called step heights, on the surface of the vast trench structure. In contrast, sidewall deposition of small trenches

200405184 五、發明說明(90) 快速地減小溝槽寬度。此增加催化媒介之凝結而於溶液中 析出,導致溝槽底部之沈積速率變快。溝槽中之銅填入將 [夬於同區域直到填滿此溝槽為止。小溝槽之銅中殘餘之催 化劑使得被催化銅沈積繼續覆蓋此溝槽,導致形成銅凸塊 (bump )或反相階梯高度。晶片上溝槽寬度之巨幅變異效 應之結合將導致銅厚度與階梯高度形成大量變異。 虛設填入或虛設溝槽可用以縮小沈積銅厚度與表面拓 撲之變異。將虛設填入加入表面拓撲後可明顯地降低變 異。降低變異可明顯地達到平坦研磨之目的,故可降低虛 •I填入與虛設溝槽之需求以降低CMp變異。200405184 V. Description of the invention (90) Quickly reduce the groove width. This increases the coagulation of the catalytic medium and precipitates in the solution, resulting in a faster deposition rate at the bottom of the trench. The copper fill in the trench will stay in the same area until the trench is filled. Residual catalyst in the copper of the small trench allows the copper deposition to continue to cover this trench, resulting in the formation of copper bumps or inverted step heights. The combination of the huge variation in the width of the trench on the wafer will result in a large amount of variation in copper thickness and step height. Dummy filling or dummy trenches can be used to reduce the variation in the thickness of copper deposits and surface topography. Adding dummy fills to the surface topology significantly reduces variability. Reducing variation can obviously achieve the purpose of flat grinding, so it can reduce the need for dummy I filling and dummy trenches to reduce CMP variation.

例如,利用虛設填入氧化結構或溝槽填入此類溝槽 時,可降低因電鍍銅沈積發生之厚度與階梯高度之變 異。第8®係顯示於EcD中使用氧化虛設填人之狀況。第μ 圖係顯示與窄線寬4 1上之沈積銅厚度4〇與寬線寬或溝43上 之,積銅厚度45之差異。第8B圖線顯示如何於溝槽45中加 入氧化虛設柱44,使得窄線寬48上之沈積厚度⑼等於厚产 47。此氧化虛設柱44之作用係降低溝槽之有效寬度。氧: = ΐ同移除金屬’加入溝槽之情形相同。此圖揭示 二設氧化溝槽,使得寬溝槽沈積之過程如 θ 一般,進而降低沈積銅厚度與階梯高度之差昱。 ==槽相較下小於溝槽寬度,寬内連線之電性將有小 =2。利用敌入之電性模擬功能可計算出此類槽U ίί,“此ί算結Ϊ將決定導線上配置此類槽之密度與 又 ,電子计异可依據設計者設定之電性效能損耗For example, when dummy trenches are used to fill oxide structures or trenches are used to fill such trenches, variations in thickness and step height due to electroplated copper deposition can be reduced. Section 8® shows the use of oxidative dummy filling in EcD. Figure μ shows the difference between the deposited copper thickness 40 on the narrow line width 41 and the deposited copper thickness 45 on the wide line width or trench 43. The line in FIG. 8B shows how the oxide dummy pillar 44 is added to the trench 45 so that the deposition thickness at the narrow line width 48 is equal to the thick production 47. The role of the oxidized dummy pillar 44 is to reduce the effective width of the trench. Oxygen: = ΐ is the same as the case where the metal is removed and added to the trench. This figure reveals that oxidized trenches are set so that the process of depositing wide trenches is like θ, which reduces the difference between the thickness of the deposited copper and the height of the step. == The groove is smaller than the width of the groove compared to the bottom, and the electrical property of the wide inner wiring will be small = 2. Utilizing the electrical simulation function of the enemy, such a slot U can be calculated. “This calculation result will determine the density and density of such slots on the wire.

五、發明說明(91) 而限定配置此類槽之總量 低CMP前階梯高度里 “虽地加入氧化虛設結構將降 /、而獲仔更平坦之CMP製程。 b · 顧取佈局參數 佈局係為一組電子幹 與幾何分佈之空間位w。曰二,儲存積體電路每一層之結構 設計之空間t度盘線寬製程層、級平坦《製程變異與 施例中將採用佈局擁取,有關。為特徵化此關係,實 取出線寬與冑度特徵。利:局㈣中晶片之幾何描述擷 引用擷取資訊可決定出晶片上關於 _見/、j度超過设計規格之區域。 言:算虛設填入之佈局參數 雖然虛設填入方法靈救田贴& ,戒固茶在厪與線寬 * ^ ffl ,§ „ .而知用擷取之密度與線寬,其他實施例 中可抹用擷取線間距方式。 第9圖係顯示佈局操取第7圖步驟別之流程圖。在第9 回,局檔案係傳送或上傳至虛設填入系統,u — i。佈 !被分害上成分離之網格,尺寸小到足以聚集計算,最大與 最小兀件可用以表示格中結構,並可正確地配置虛設填 入31 2。一般網格大小可為4 〇 # ^ X 4 〇 #瓜。網袼可依 g或排列,31 -3。較佳實施例中係採用多重處理器平行計 ^網格’ 3卜4。選取一網格,3卜5,且在此網格中,每一 標的31-6 ’具有已計算之線寬,3卜7。在此網格中每一標 的上重複此流程,31 - 8。計算每組鄰近標的之最大、最 小、與平均線間距,3 1 — 9。接著計算全網格之有效密度, 31 -1 0。在其餘網格上重複此流程,3丨_ n。因於所有網格V. Description of the invention (91) While the total amount of such slots is limited to a low CMP front step height, "Although the oxidation dummy structure will be added, the CMP process will be lowered, and a flatter CMP process will be obtained. B · Consider the layout parameter layout system It is a set of electronic stems and geometrically distributed space bits w. Second, the structural design of each layer of the storage integrated circuit has a space of t, a disk, a line width, a process level, and a flat level. "Process variation and implementation will use layout capture. Relevant. In order to characterize this relationship, the line width and the degree characteristics are actually taken out. Profit: The geometric description of the chip in the local area. Extraction and reference information can determine the area on the chip where _see / and j degrees exceed the design specifications. Note: Although the layout parameters of the dummy filling are calculated, although the dummy filling method is Lingtiantiantian &, the tea is fixed in the line and the line width * ^ ffl, § „. Know the density and line width of the capture, other embodiments You can use the capture line spacing method. Fig. 9 is a flowchart showing steps in Fig. 7 for layout operation. In the ninth round, the bureau file was transmitted or uploaded to the dummy filling system, u — i. The cloth is divided into separate grids, the size is small enough to aggregate calculations, the largest and smallest elements can be used to represent the structure in the grid, and the dummy fill can be correctly configured. The general grid size can be 4 〇 # ^ X 4 〇 #melon. Web screens can be arranged by g or 31,3. In the preferred embodiment, multiple processors are used to calculate the grid in parallel. Select a grid, 3 and 5 and in this grid, each target 31-6 'has a calculated line width, 3 and 7. Repeat this process for each target in this grid, 31-8. Calculate the maximum, minimum, and distance from the average line for each group of adjacent targets, 3 1-9. Then calculate the effective density of the full grid, 31 -10. Repeat this process on the remaining grids, 3 丨 _ n. Due to all grids

1057-5667-PF(Nl).ptd 第94頁 200405184 、發明說明(92) 上執行上述步驟,從不同處理器上可重新組合擷取之特徵 3 1 - 1 2。產生表單並填入最大、最小、與平均線寬、線 間距、以及密度,3卜13。利用最大與最小之線寬計算出 一範圍。 線見範圍(Μ )除以欲得之線數(n ) ,3丨—丨4,以決 定每一Ν線段之相對尺寸。例如第一線段為最小線寬,或 是小非零值△對應到線寬(Μ/Ν ),直到第Ν條線段線,其 中線寬將從最小·(Μ/Ν)到最大lw_ = n • (Μ/Ν)為止。此時有三組線段線,一組線段線包含最 _、最小與平均線寬。每一網格根據最大、最小、與平均1057-5667-PF (Nl) .ptd Page 94 200405184, Description of Invention (92) Perform the above steps to recombine the extracted features from different processors 3 1-1 2. Create a form and fill in the maximum, minimum, and average line width, line spacing, and density, 3b13. A range is calculated using the maximum and minimum line widths. The line-of-sight range (M) is divided by the desired number of lines (n), 3 丨 — 丨 4, to determine the relative size of each N line segment. For example, the first line segment is the minimum line width, or a small non-zero value △ corresponds to the line width (M / N) until the Nth line segment line, where the line width will be from the minimum (M / N) to the maximum lw_ = n • (M / N). At this time, there are three sets of line segments. A set of line segments contains the minimum, minimum, and average line widths. Each grid is based on maximum, minimum, and average

Si離ίίί之線段線,3卜15。每一線段線形成長條 圖以顯不線段線之分佈值,3卜16。將此資訊儲存 庫並匯入製程模型中,特別县只⑼掇荆 .^ 規則,3卜17。 別疋腳核型,並產生虛設填入 …f整二晶片上計算最大、最小、與平均線間距範圍, 3卜18。線間距範圍(M)除以欲得之線數(n) ,3i —。 :么一線古段為最小㈣,或是小非零值△對應到線間距 ( ,直到第N條線段線,其中線間距將從最小 4ίϋηΝ = (Ν_1) ·(Μ/Ν)到最大《"ax LWBinN = N ·(Μ/Ν)為止。 =有:組線段線’一組線段線包含最大、最小與平均線 :線;:網格根據最大、最小、與平均線間距分離成合 20。每一 ί段線形成長條圖以顯示線段 模 θ 21。將此資訊儲存與資料庫並匯入製程 、’特別是ECD模型,並產生虛設填入規則,3卜22。 五、發明說明(93) 在整體晶片上古+曾^ 例 3"3。密度範圍心除以欲線=均密度範圍 如第-線段為最小線 數(N),3"4 ⑽),直物條對;、到密度 minLWBinN=(N-l) ·(Μ/Ν)到最大/a ^ 又1從最小 此時有三組線段線,一组線 · (M/N)為止。 度。每-網格根據最大、、、⑧⑦大、最小與平均密 線段線,3"5二線/後V:/均密度分離成合適之 分佈彳t,Ή % ^ Λ #又線形成.長條圖以顯示線段線之 _,特別是ECD模型,並產生虛設填入規則,31_27 ,最& 後,所有將線寬、線間距、密度資訊儲存於資料庫或播案 系統中,以應用於後續之製程模型預測或虛設規則產生盥 配置,31-28。 〃 虛設填入配置之演算規則亦需取得對存在佈局標的與 其鄰近周邊之正確座標。取得資訊包括此標的尺寸(長度 與寬度)與每一方向之鄰近標的之空間分佈。決定虛設填 入區域之座標需要四個步驟。第一步驟,取得被選取標的 之座標以決定長度與寬度。第二步驟,如第1 〇 A圖所示, ^算被選取標的(標的A ) 50至最鄰近標的間之空間,如 標的B ) 51、(標的C ) 52、(標的D ) 53、(標的E ) 54。若同一方向具有多個標的,必須計算空間與範圍資 訊。如第1 0B圖所示,被選取標的之東側僅存在一標的, 且(標的B)50至(標的A)55之空間為50//m。在第10C圖 中,被選取標的57之東側有兩標的58、59。此實線間距有Si from the line of the line, 3 Bu 15. Each segment line forms a bar chart to show the distribution value of the segment line, 3 to 16. This information repository is imported into the process model, and special counties are only responsible for this. ^ Rule, 3b17. Don't stomp the karyotype, and generate dummy fills ... f Calculate the maximum, minimum, and average line spacing range on the whole two wafers, 3-18. The line spacing range (M) is divided by the number of lines (n) to be obtained, 3i —. : Modal line is the smallest ㈣, or a small non-zero value △ corresponds to the line spacing (, up to the Nth line segment, where the line spacing will be from the minimum 4 ί ηη = (Ν_1) · (Μ / Ν) to the maximum "" ax LWBinN = N · (Μ / Ν). = Yes: Group line segment line 'A group line segment line contains the maximum, minimum, and average lines: line;: The grid is separated into 20 according to the maximum, minimum, and average line spacing. A bar graph is formed for each segment line to show the line segment module θ 21. This information is stored with the database and imported into the process, 'especially the ECD model, and a dummy filling rule is generated, 3 bu 22. V. Description of the invention ) In the whole chip of ancient times + Zeng ^ Example 3 " 3. Density range divided by the desired line = average density range, such as-the line segment is the minimum number of lines (N), 3 " 4), straight to the bar; to the density minLWBinN = (Nl) · (M / N) to the maximum / a ^ and 1 from the minimum at this time there are three sets of line segments, and one set of lines (M / N). degree. Each-grid is separated into appropriate distributions according to the maximum, ,, ⑧⑦, minimum, and average dense line segments, 3 " 5 second line / post V: / average density 彳 t, Ή% ^ Λ # and the line is formed. The figure shows the _ of the line segment, especially the ECD model, and generates a dummy filling rule, 31_27. After & all the line width, line spacing, and density information are stored in the database or broadcast system for application Subsequent process model predictions or dummy rules generate toilet configurations, 31-28.演 The calculation rules of the dummy filling configuration also need to obtain the correct coordinates of the layout target and its neighboring perimeter. The obtained information includes the size (length and width) of the target and the spatial distribution of adjacent targets in each direction. Determining the coordinates of the dummy fill-in area requires four steps. The first step is to obtain the coordinates of the selected target to determine the length and width. The second step, as shown in FIG. 10A, is to calculate the space between the selected target (target A) 50 and the nearest target, such as target B) 51, (target C) 52, (target D) 53, and ( Underlying E) 54. If there are multiple targets in the same direction, space and range information must be calculated. As shown in Figure 10B, there is only one target on the east side of the selected target, and the space between (target B) 50 to (target A) 55 is 50 // m. In Figure 10C, there are two targets 58 and 59 on the east side of the selected target 57. This solid line spacing has

1057-5667-PF(Nl).ptd 第96頁 200405184 五、發明說明(94) 兩組;(標的A ) 5 7至較遠標的5 9之範圍具有5 〇 // m之線間 距。(標的A ) 57至較近標的58之範圍具有1〇 之線間 距。第10D圖中亦具有兩標的62、63。但此例具有三組範 圍,無標的至標的A之東側係位於至x = 20//m之 間,標的A至網格邊界之範圍61間距有一組範圍。每一標 的依周邊分佈產生一或多組空間範圍。若直到被選取區塊 或網格6 1仍無‘的’可將空間設定為標的邊緣至網格邊界 或鄰近網格6 1之間的距離,直到搜尋發現標的為止(或到 達晶片邊界)。1057-5667-PF (Nl) .ptd Page 96 200405184 V. Description of the invention (94) Two groups; (subject A) 57 to the farther standard 5 9 has a line spacing of 5 0 // m. (Target A) 57 to the nearest target 58 has a line spacing of 10. Figure 10D also has two targets 62 and 63. However, this example has three sets of ranges. The east side of the untargeted to the target A is located between x = 20 // m, and the range from the target A to the grid boundary 61 has a range. The peripheral distribution of each target produces one or more sets of spatial extents. If there is no '' until the selected block or grid 6 1, the space can be set as the distance from the edge of the target to the grid boundary or the neighboring grid 6 1 until the target is found in the search (or the wafer boundary is reached).

% 第三步驟利用線寬與線間距資訊從規則表中產生虛設 填入規則。最後’第四步驟即根據此虛設填入規則以及被 選取標的與其周邊之座標計算出虛設填入區域之座標。% The third step uses the line width and line spacing information to generate a dummy fill rule from the rule table. Finally, the fourth step is to calculate the coordinates of the dummy filling area according to the dummy filling rule and the coordinates of the selected target and its surroundings.

第11圖所示為如何利用擷取表表示整體晶片或晶方。將晶 片f晶方A1分割成網格A3,並利用第9圖所示之擷取程序 計算每一網格元件A4之線寬A5、線間距A6、與密度A7。第 11圖顯示關於在(y,X )座標(丨,丨)上之網格以及在(y, X )座標(2,1 )上之網格之一擷取表中,線寬(LW )鱼線 間距(LS )與密度值。在許多實例中,此表單中將存^每 網格之最大、最小、與平均之特徵。 c· 製程與電性模型 本發明之虛設填入方法係利用一製程模型或一系列之 模型(即流程)預測I C没什中物理與電性參數之製造變 異。為特徵化I C結構相關之製造變異,可加入虛設填入以Figure 11 shows how to use a capture table to represent a whole wafer or crystal cube. The wafer f crystal cube A1 is divided into a grid A3, and a line width A5, a line spacing A6, and a density A7 of each grid element A4 are calculated using the extraction procedure shown in FIG. FIG. 11 shows the line width (LW) in the extraction table about the grid on the (y, X) coordinate (丨, 丨) and one of the grids on the (y, X) coordinate (2, 1). Fishing line spacing (LS) and density values. In many instances, the maximum, minimum, and average characteristics of each grid will be stored in this form. c. Process and electrical model The dummy filling method of the present invention uses a process model or a series of models (ie, processes) to predict manufacturing variations in physical and electrical parameters in IC. In order to characterize the manufacturing variation related to the IC structure, a dummy fill can be added to

1057-5667-PF(Nl).ptd 第97頁 2004051841057-5667-PF (Nl) .ptd p. 97 200405184

最:化物理與電性參數與欲得值之間的變里 附屬於任何特定型式之模型或模擬。但可接 / $ 程工具具有個別的牯微,私招期丨办、疋,母 轺工呈1女/从 但可接受的是,每一 ί 故模型需標準化特定配方:工 第则所示。在第12Α圖中,利用配;m”’如 具,66,上對實際晶圓,64,進行製 二特疋工 量測,67,與後晶圓製程量測,68广:二別製程晶圓 69。一較佳實施例為利用一組半經驗< 口杲型參數, 出校準模型參數或符合參數,70, 7: ?方法擷取 化、或是線性演繹(如神經網路):、、線性最佳 用特定工具與配方,71。 最後杈型可校準以適 此處可觀察出,某些I c特徵如亓彼A由 距直接與電鍍、沈積、與CMP製程二件撲二度、線寬、線間 亦顯示改變晶方上某些區域之特 =二異门相關。此處 立在已知工具與配方下,設計:數丈=;圓’可用以J 度)對應製造變異(薄膜厚度、碟陷、;見、、線間距1 衋晶圓具多樣可能性,用以評估掣 :^)之關係。測 噪成本較低,測試晶圓設計可用以二 類製程與配方。如第12B圖所示,亦二匕廣泛IC设计之各 校準製程模变或多重製程模型或一製’、、☆用^試晶圓產生 1 2 A圖相同之方法計算校準模型參數W用與第 其間差異之一在於可利用測試晶^ 处將不再詳述。 、曰日W製造商所提供,並由電Most: the change between the physical and electrical parameters and the desired value. Attached to any particular type of model or simulation. However, the accessible / $ Cheng tool has individual details, private recruitment period, office, and mother. The mother and child are presented as 1 female / from, but it is acceptable that each model requires standardization of specific formulas: . In Fig. 12A, using the configuration "m" 'such as, 66, the actual wafer on the 64, 64, the second special labor measurement, 67, and the post wafer measurement, 68: two different processes Wafer 69. A preferred embodiment is to use a set of semi-empirical < mouth-shaped parameters, to produce calibration model parameters or match parameters, 70, 7:? Method extraction, or linear deduction (such as neural network) : ,, linear best using specific tools and formulas, 71. The last fork can be calibrated to fit here can be observed, some I c characteristics such as the A and B from the distance directly and electroplating, deposition, and CMP process two flutter Second degree, line width, and line also show that the characteristics of changing some areas on the crystal cube are related to two different gates. Here under the known tools and recipes, the design is: number ==; the circle can be used in J degrees). Manufacturing variation (thin film thickness, dishing, seeing, and line spacing 1) wafers have a variety of possibilities to evaluate the relationship: ^). The cost of noise measurement is low, and the test wafer design can be used in two types of processes and Formula. As shown in Figure 12B, the calibration process or multiple process models or one system for each calibration process of the extensive IC design is also used. Calculate the calibration model parameters using the same method as that used to generate the 1 2 A picture of the test wafer. One of the differences between this and the first is that the available test crystals will not be described in detail. Provided by the manufacturer, And by electricity

1057-5667-PF(Nl).ptd 200405184 五、發明說明(96) 子型式,如經由網路、電子郵件、 、 式取得,而導入前製程量測,74。另一差或CD、或文件型 測,78,通常跨越大區域之線間距、 異,於最終量 故可應用於大區域之元件上。 、、、、/、密度特徵, 第1 3 Δ圖係顯示測試晶圓於校準 晶圓晶方,79,係以線寬與線間距值,=1用。一測試 理。製程(如CMP、ECD、或沈積)此測:進行圖案化處 用已知配方,81,且利用量測曰圓^之工具係利 :ί i如薄膜厚度,84 )。此對應關係為-模』中之3 : Φ 變異之間的對應關係。如第13B圖所亍值二特定薄膜厚度 可預測新式職計之製程變異,不斤需下實^用生此對應關係 成設計之製程。從新IC佈局擷取出光2完 2範圍落於測試晶…晶圓之==之徵 跨越晶η社5说〜 ^ ㈤門’ 8 b ) ,8 5 〇將 中,87==寬與線間距特徵,,輸入對應關係 測,心:::=定:具與r之薄膜厚度變異之預 設計。、 而貝際生產叩貴光罩並製程此新的I c1057-5667-PF (Nl) .ptd 200405184 V. Description of the invention (96) Sub-types, such as obtained through the Internet, e-mail, and, and introduced pre-process measurement, 74. Another difference is CD, or file type measurement, 78, which usually spans a large area with different line spacing, so it can be applied to large area components. The density characteristics of the 、、、、 / 、 The 1st 3 Δ chart shows that the test wafer is calibrated to the wafer crystal, 79, which is based on the line width and line spacing, = 1. A test theory. In the manufacturing process (such as CMP, ECD, or deposition), this test is carried out: The patterning process is performed with a known formula, 81, and it is advantageous to use a tool to measure the circle, such as film thickness, 84). This correspondence is the correspondence between 3: Φ variation in -module. As shown in Figure 13B, the value of the specific film thickness can predict the process variation of the new employment plan. It is not necessary to implement this correspondence to create a designed process. Extracted the light from the new IC layout. The range of 2 and 2 falls on the test crystal ... The sign of the wafer == the sign that crosses the crystal η Club 5 ^ ㈤ 门 '8 b), 8 5 〇 will be medium, 87 == width and line spacing Characteristic, input corresponding relationship measurement, heart ::: = fix: Pre-design with variation of film thickness with r. And Beiji produces expensive masks and processes this new I c

模Π1 二圖:斤示,此預測之製程變異,91,可導入電 響,93 —。接著$敕92,以評估製程在晶片之電性效能的影 設計),_::設計之佈如&入虛設填入或調整 到特定佈局 局參數,並重複製程變異之評估。重複直 ^ 局了達欲得之製程變異水準。 以下圖不將描述利用製程與電性模型以特徵化變異並Model Π1 Second picture: Shown, the process variation of this prediction, 91, can be imported, 93 —. Then $ 敕 92 to evaluate the electrical performance of the process on the chip's electrical design), _ :: The design layout is filled in & filled in or adjusted to the specific layout parameters, and the evaluation of the process variation is repeated. Repeat the process ^ to achieve the desired level of process variation. The following figure does not describe the use of process and electrical models to characterize variation and

第99頁 200405184 __ 丨丨丨 II I丨丨丨_ιι 五、發明說明(97) 植入虛設填入。 第14圖J描述以特定工具與配方標準化一製程模型之 與1圖所描述’計算佈局擷取參數,或在測Page 99 200405184 __ 丨 丨 丨 II I 丨 丨 丨 _ιι V. Description of the invention (97) Implanted by dummy filling. Figure 14J depicts the standardization of a process model with specific tools and recipes, as described in Figure 1. 'Calculate layout capture parameters, or test in progress

Si曰二ί由晶圓提供者上傳。第二步驟,33-4-〗,利 以Π ί:測此晶圓。此量測包括薄臈厚度與輪廓掃瞄 =取付陣列與階梯高度。第三步驟,33_4_2,對測試晶圓 括匕之特定製程或製程流程。此製程或流程可包 積、亦(或研磨步驟。較佳的方法是對個別製 “萝:,二展亦對流程之區段進行校準,可取得流程中接 ί‘ u耦合現象。此處亦建議對不同配方參數, 同‘细旦q仃^型的校準。在此製程晶圓之相同位置以相 二二ίί订量測,34-3-3;此量測包括薄膜厚度、 = 性,並可特徵化此製程之變異,34-4-4。上傳 出之變異對此二型二4幸5進利::期與後期 準。規劃此模型特疋工具亦/或配方之校 統上之模型資料庫Ϊ:使用者上傳,或自虛設填入電腦系 程變異係用以符合g :::與後期量測以及計算出之製 I#方,33-4-7 程模型被校準以符合特定工具亦/或 流程之已校準製程亦包含有一系列可用以模擬製程 性參模型以預測製程變異以及後續電 合以及欲得之Ic牲;衫響的步驟。將新佈局或佈局檔案組 W彳政、幾何結構、以及設計規則資訊載入 第100頁 l〇57-5667-PF(Nl).ptd 200405184 五、發明說明(98) 系統中,3 0。第二步驟則執行佈局擷取,3 1,以取得跨越-曰日片數個區域中關於衣矛王變異之描述或特徵組合。一^種常 見方法為將佈局分離成數個網袼,並計算每一網袼元件之 結構密度。然而,本發明則計算每一網格之有效線寬與線 間距。上傳或組合已校準製程模型至模擬流程中,3 3 — 4。 將每一空間區域之擷取得佈局參數導入模型中,計算最終 製程參數,如薄膜厚度、碟陷、陣列與階梯高度,3 3 — 1。 利用目標與預測之製程參數之間差異計算製程變異。預測 之製程參數亦可導入電子模型或模擬中,用以特徵化IC^丨 灣|數,33-2。可計算之電子參數包括薄膜電阻、電阻、j 容、内連線RC延遲、壓降、驅動電流損耗、介電常數、 串擾雜訊之變異。 \Si said two ί uploaded by the wafer provider. The second step, 33-4-, allows you to test this wafer. This measurement includes thin ridge thickness and contour scanning = take array and step height. The third step, 33_4_2, includes a specific process or process flow for the test wafer. This process or process can be integrated, or (or a grinding step. The better method is to calibrate the individual process ":: Second exhibition also calibrates the process section, you can get the coupling phenomenon in the process. Here It is also recommended to calibrate the different formulation parameters with the same type of “fine denier q 仃 ^”. In this process, the same position of the wafer is measured by the same order, 34-3-3; this measurement includes film thickness, And can characterize the variation of this process, 34-4-4. The uploaded variation is beneficial to this type 2 type 4: 5 period and later period. Planning the model special tools and / or recipe system The above model database Ϊ: User uploads, or fills in the computer system variation system from the default to meet the g ::: and subsequent measurement and calculated system ##, the 33-4-7 process model is calibrated A calibrated process that conforms to a specific tool and / or process also includes a series of process parameters that can be used to simulate process parameters to predict process variation and subsequent electrification and desired IC products. Steps to organize the new layout or layout file Information on W Zhengzheng, geometry, and design rules can be found on page 100 l57-5667-PF (Nl) .ptd 200405184 V. Description of the invention (98) In the system, 30. The second step is to perform layout extraction, 3 1 to obtain descriptions or feature combinations on the variation of the King of Spear King in several areas of the spanning-Japanese film. A common method is to separate the layout into several grids and calculate the structural density of each grid element. However, the present invention calculates the effective line width and line spacing of each grid. Upload or combine the calibrated process model to the simulation In the process, 3 3 — 4. Import the layout parameters of each space area into the model, and calculate the final process parameters, such as film thickness, dishing, array and step height, 3 3 — 1. Process using target and prediction The difference between the parameters calculates the process variation. The predicted process parameters can also be imported into electronic models or simulations to characterize the IC ^ 丨 wan | number, 33-2. The electronic parameters that can be calculated include film resistance, resistance, capacitance, Variation of RC delay, voltage drop, drive current loss, dielectric constant, and crosstalk noise in the interconnect.

因此虛設填入演算規則特別適合内連線層級之虛嘹太 入調整,故採用内連線量測(R、C、L變數)做為晶片之: 全區域之一般量測,如下列表單所示。其他特定區域 核擬虛設填入加入之電路效能。例如,信號延遲變數之4 j會額外加入一比例之RC變數以確定特定路徑之時序限^ 符合電路規格。同樣地’彳同時利用時脈扭轉與串^ ^決定電路是否將會適當地運作。纟此方法中,rc (或。 从C標準可做為加入虛設填入之第一次通過評估一 者,藉由選擇性地針對特定信號或晶片某區域執行 擬,可於下一次重複操作時調整虛設填入之配置。Therefore, the dummy fill-in algorithm is particularly suitable for the adjustment of the virtual link at the interconnect level. Therefore, the interconnect measurement (R, C, L variables) is used as the chip: General measurement of the entire area, as shown in the following list Show. In other specific areas, verify the dummy circuit and fill in the added circuit performance. For example, an additional RC variable is added to 4 j of the signal delay variable to determine the timing limit of a particular path ^ meets the circuit specifications. Similarly, '彳 uses both clock twist and string ^ ^ to determine whether the circuit will operate properly.纟 In this method, rc (or. From the C standard can be used as the first pass evaluation to add a dummy fill. By selectively performing a simulation for a specific signal or a certain area of the chip, the next repeat operation can be performed. Adjust the configuration of the dummy fill.

200405184 五、發明說明(99) 表一虛設填入調整之電性量測 m性量測 星測型式 應用 電咀⑻ 内逋線 BCD、氣化層虛設填入 電容(C〕 内逋線 BCD、氣化層虛設填入、金屬層虛設填入 電感(L) 内連線 高頻(BCD、氣化&金屬填入〕 信號延遲 電路 迴路、排線、特定線路 扭轉 1;路 時脈 串擾雜訊 電路 低幅雕訊感測電路 本節所描述之模型與模擬之結果為應用於新I C設計之 %程與電性參數與效能之全晶片預測,以及當加入虛設填 入時如何改進此類參數之預測。 d. 虛設填入演算規則成本函數 利用成本函數,3 5,量測原始I C設計或已知虛設填入 結構如何達成欲得之薄膜厚度與電性參數。 當薄膜厚度變異成為一普遍性的問題時,電性效能將 於技術產生與設計群之間發生變化。如同第C節所述,内 連線量測(RCL變數)可做為晶片之全區域效能之一般量 _。其他特定區域則需模擬加入虛設填入之電路效能影 響。例如,信號延遲變數之量測會額外加入一比例之R C變 數以確定特定路徑之時序限制符合電路規格。同樣地,可 利用時脈扭轉與串擾雜訊以決定電路是否將會適當地運 作。同樣地,可利用壓降與驅動電流損耗以決定電路是否200405184 V. Description of the invention (99) Table 1 Electrical measurement of dummy filling and adjustment of m-type measurement and star measurement type application nozzle 逋 Inner ridge line BCD, gasification layer dummy infill capacitor (C) Inner ridge line BCD, Gasification layer dummy filling, metal layer dummy filling inductance (L) Interconnection high frequency (BCD, gasification & metal filling) Signal delay circuit loop, wiring, specific line twist 1; clock clock crosstalk The results of the models and simulations described in this section of the low-level engraving sensing circuit of the communication circuit are a full-chip prediction of% range and electrical parameters and performance applied to the new IC design, and how to improve such parameters when adding dummy filling D. The cost function of the dummy filling calculation rule uses the cost function, 3, 5 to measure how the original IC design or known dummy filling structure can achieve the desired film thickness and electrical parameters. When film thickness variation becomes common In the case of electrical problems, the electrical performance will change between the generation of technology and the design group. As described in Section C, the interconnect measurement (RCL variable) can be used as a general amount of overall performance of the chip. Specific areas need to simulate the effect of the circuit performance by adding dummy fills. For example, the measurement of the signal delay variable will add an additional RC variable to determine that the timing limit of the specific path meets the circuit specifications. Similarly, the clock rotation and Crosstalk noise to determine whether the circuit will operate properly. Similarly, voltage drop and drive current loss can be used to determine whether the circuit is

Η 111 1 iil 1057-5667-PF(Nl).ptd 第102頁 200405184 五、發明說明(100) 將會適當地運作。 數結合低介電常數 在此方法中,RC ( 一次通過評估。接 某區域執行電路模 入之配置。 已預測或模擬 參數而改變。一般 定虛設填入配置符 觸|徵化處理。成本 ^樣地’可利用介電常數或有效介電常 =以決定電路是否將會適當地運作。 ”準可做為加入虛設填入之第 擬,可於下一 ί地f對特定信號或晶片 -人重複操作時調整虛設填 之電性與薄膜厚度 利用某種成本函數 合欲得之薄膜厚度 函數可簡單如檢^ 值’或複雜如二次 型系統中被最小化 法會考慮製程與電 例,將可最小化下 =(LW,LS,密度) 延遲II扭轉II雜訊 Rtr’ CL )之函數 Rtr’ CL )之函數 /Ct〇tal,L,Rtr,ΤΓ,1 度非一致性之臨界 一致性與可於迴授 良好之虛設填入方 一種可採用之實施 •厚度之非一致性 電性效能=RC II 延遲=(R,C,L, 扭轉=(R,C,L, 雜訊=(R,c_ple 中 R =内連線電阻 C =内連線電容 L =内連線電感 Rtr =驅動電阻 參數會隨欲得之目標 之型式,對如何讓特 f電性效能規格進行 ^否超過特定薄膜厚 之數’變數包含有非 f預瑚電性效應。 列參i;成本函數為 之函數 之函數 麵 第103頁 1057-5667-PF(Nl).ptd 200405184 五、發明說明(101) Ί;=信號上升時間 G =負載電容 c_ple =層間耦合電容 ct〇tal =總電容(耦合+重疊+邊緣) 1 =内連線長度 此成本為基於製程(薄膜厚度)非一致性與電性效能 變異之加權總和之二次誤差函數U,其中電性效能係做為 下列一或多組量測:RC、延遲、扭轉、雜訊。Η 111 1 iil 1057-5667-PF (Nl) .ptd Page 102 200405184 V. Description of Invention (100) will work properly. In combination with a low dielectric constant, in this method, RC (passes the evaluation once. The configuration of the circuit mold is performed in a certain area. Predicted or simulated parameters are changed. Generally, the configuration is filled into the configuration and touched. Levy processing. Cost ^ The sample plot can use the dielectric constant or effective dielectric constant = to determine whether the circuit will operate properly. "It can be used as a scheme to add a dummy fill, and can be used for specific signals or chips in the next place- When a person repeats the operation, the electrical and film thicknesses of the dummy fill are adjusted. Using a certain cost function, the desired film thickness function can be as simple as a check value or as complex as being minimized in a quadratic system. The process and electrical examples will be considered. , The function that can be minimized = (LW, LS, density) delay II reverse II noise Rtr 'CL) function Rtr' CL) / Ctotal, L, Rtr, TΓ, 1 degree non-uniformity criticality Consistency and non-uniform electrical performance that can be used in a well-filled dummy fill-in • Thickness of non-uniform electrical performance = RC II delay = (R, C, L, torsion = (R, C, L, noise) = (R, c_ple where R = interconnecting resistance C = interconnecting capacitance L = internal Line inductance Rtr = Drive resistance parameter will be obtained according to the desired type of target, how to make the special electrical performance specifications ^ whether the number exceeds a specific film thickness' variable includes non-f pre-electrical effects. Column parameters i; The cost function is the function surface of the function. Page 103 1057-5667-PF (Nl) .ptd 200405184 V. Description of the invention (101) Ί; = signal rise time G = load capacitance c_ple = interlayer coupling capacitance ct〇tal = total capacitance (Coupling + Overlap + Edge) 1 = Interconnect length This cost is a quadratic error function U based on the weighted sum of the non-uniformity of the process (thin film thickness) and the variation in electrical performance, where electrical performance is taken as Multiple sets of measurements: RC, delay, twist, noise.

ErrorT = (T -Τ target ±actura1ErrorT = (T -Τ target ± actura1

ErrorKP =(EPtarget , u 1 actural U = (Er rorTT · · ErrorT ) +(Error 其中 欲得薄膜厚度量測之向量 實際或預測薄膜厚度量測之向量 :欲得電性效能量測之向量 =實際或預測電性效能量測之向量 1 =薄膜厚度誤差之行向量 _lrorEP =電性效能誤差之行向量 U =二次誤差,一數量值,最小化 -epqErrorKP = (EPtarget, u 1 actural U = (Er rorTT · · ErrorT) + (Error where the vector of the film thickness measurement actual or predicted vector of the film thickness measurement: the vector of the electrical performance measurement = actual Or predict the vector of electrical performance measurement 1 = row vector of film thickness error _lrorEP = row vector of electrical performance error U = quadratic error, a quantity value, minimized-epq

EPEP

• K2 · ErrorE target• K2 · ErrorE target

actura 1 FP l 1 target FP ^ 1 actura1 E r r o rT # I =對角形矩陣,沿對角成分加權1至q總薄膜厚度量測actura 1 FP l 1 target FP ^ 1 actura1 E r r o rT # I = diagonal matrix, weighted along the diagonal components from 1 to q Total film thickness measurement

1057-5667-PF(Nl).ptd 第104頁 2004051841057-5667-PF (Nl) .ptd p. 104 200405184

〖2 =對角形矩陣 Wspi 〇 Κ2 = 〇 〇 Ο ^角成分加權1至!3總電性效能量測 0 w卿 ^ 、可包括每一信號線或晶片之區械.. 可以輕易地調整薄膜厚度向量盥加權矩:域J用此方法 Φ _欲最小化之正確的二次誤差?(一類二;:提供整體晶 接成大型的薄膜厚度向量,另 為連鎖式地連 议此门里,適當地調整加權參數)。 电r生 不同區域擁有個別的誤差函數11, ^為讓B曰片之 心函數予以加權。此處通常根據最’4皮一二坦化/度核 此加權參數。此類調整可成為Knt化之成分調整 成為自動化,或是經由加權系統 e ·虛設填入尺寸與配置〖2 = diagonal matrix Wspi 〇Κ2 = 〇〇〇 ^ Angle component weighted from 1 to! 3 Total electrical performance measurement 0 w ^ ^, can include each signal line or area of the chip .. You can easily adjust the film Thickness vector weighting moment: domain J uses this method Φ _ correct quadratic error to minimize? (Class I and II ;: Provide the overall crystal connection into a large-scale film thickness vector, and for the chain-like negotiation in this gate, adjust the weighting parameters appropriately). Electricity has different error functions in different regions. ^ Is used to weight the center function of B. Here, this weighting parameter is usually kernelized according to the most '4 skins / ditanization / degrees. Such adjustments can be Kntized component adjustments, automated, or via weighting systems.

雖然第7圖之步驟對虛設填入之配置產生影響,但仍 .標不34之步驟中進行關於佈局中虛設填入之尺寸與配置 之貝際決定。首先提供製程技術之資訊以及可接受設計變 數標準’產生一組金屬與氧化虛設填入之規則。第丨6圖則 顯示在規劃虛設填入規則中此步驟之詳細流程圖。製程技 術> 5凡可包括金屬層厚度之標準值(nominal value) T、Although the steps in Figure 7 have an impact on the configuration of the dummy fill, the steps in sub-34 do not make the decision about the size and configuration of the dummy fill in the layout. First provide information on process technology and acceptable design variable standards ’to generate a set of rules for dummy filling of metals and oxides. Figure 丨 6 shows a detailed flowchart of this step in the planning dummy filling rule. Process technology > 5 Where can include the nominal value of the metal layer thickness (nominal value) T,

1057-5667-PF(Nl).ptd 第105頁 200405184 五、發明說明(103) 金屬層上方或下方之層間介電層(ILD)厚度Η、介電常數 ε以及金屬層之導電度ρ。設計標準可包括内連線RC延遲 亦/或串擾雜訊中可接受失真之比例。將此類參數,3 8, 與擷取之佈局參數,3 7,輸入虚設填入規則產生步驟, 34-1 〇 當一標或一標的等級在一組特徵,如電容、線寬、線 間距、或密度中被特定地確認或標準化之後,計算標準内 連線結構’ 3 4 -1。為設計中發現之每一標準内連線結構產 生一表單,34 -1-2。在後續之迴路中(34-1-2至34 —1一9) Φ ,產生虛設填入規則以於結合一已知區域中特定之線寬 與線間距。為設計中每一金屬層重複此種表單。假若技術 改變,則利用修改之技術與設計參數產生一新的規則表 單。 、1057-5667-PF (Nl) .ptd Page 105 200405184 V. Description of the Invention (103) The thickness of the interlayer dielectric layer (ILD) above or below the metal layer Η, the dielectric constant ε and the conductivity ρ of the metal layer. Design criteria may include the proportion of acceptable distortion in the interconnect RC delay and / or crosstalk noise. Enter these parameters, 3 8, and the extracted layout parameters, 3 7, and enter the dummy to fill in the rule generation steps. 34-1 〇 When a standard or the level of a standard is in a set of characteristics, such as capacitance, line width, line After the pitch or density is specifically confirmed or standardized, the standard interconnect structure '3 4 -1 is calculated. Generate a form for each standard interconnect structure found in the design, 34 -1-2. In the subsequent loop (34-1-2 to 34-1-9) Φ, a dummy filling rule is generated to combine a specific line width and line spacing in a known area. Repeat this form for each metal layer in the design. If the technology changes, a new rule list is created using the modified technology and design parameters. ,

虛設填入之產生需先選取一已知層中跨越所有標的之 線寬與線間距之範圍’ 3 4 -1 - 3。計算所有線寬與線間距衾士 合之電性參數(如電阻(R )與電容(C ))亦/或電性量 測(如内連線延遲、壓降、驅動電流損耗、介電常數或串 擾雜訊),34-1 -4。根據所有線寬與線間距結合之虛設填 入結構與尺寸之範圍計算電性參數之比例變化,3 4〜1 — 5。 取最大虛設填入尺寸’ 3 4 -1 — 6,以符合比例變異失真水 準,34-1-8。根據所有此類計算結果以產生於設計中每一 金屬層之虛設填入表單’ 34〜1-9。 第1 7圖係顯示一虛設規則表單樣本。表單顯示最大虛 設填入之線寬(或填入區域之寬度)為内連線線寬與線間The generation of the dummy filling needs to first select a range of line widths and line spacings across all targets in a known layer '3 4 -1-3. Calculate the electrical parameters (such as resistance (R) and capacitance (C)) of all line widths and line spacings and / or electrical measurements (such as interconnect delay, voltage drop, drive current loss, dielectric constant) Or crosstalk noise), 34-1 -4. Calculate the proportional change of electrical parameters based on the dummy fill in the structure and size range of all line width and line spacing, 3 4 ~ 1-5. Take the largest dummy and fill in the size ‘3 4 -1 — 6 to meet the level of distortion and distortion, 34-1-8. Based on the results of all such calculations, a dummy fill-in form is generated for each metal layer in the design '34 ~ 1-9. Figure 17 shows a sample of a dummy rule form. The form shows the maximum dummy line width (or the width of the filled area) as the inner line width and the space between the lines.

1057-5667-PF(Nl).ptd 200405184 五、發明說明(104) '一^ 距=函數。虛設填入規則係根據内連線寬度、可填入區域 之寬度、以及電性規則(如額外填入中5 %之最大電容變 異)。此樣本規則表單係適用於金屬虚設填入。每一金屬 層需產生一新表單,並為技術參數與效能量測/標準之 數01057-5667-PF (Nl) .ptd 200405184 V. Description of the invention (104) '一 ^ distance = function. The dummy filling rule is based on the width of the interconnect, the width of the fillable area, and the electrical rules (such as the maximum capacitance variation of 5% during additional filling). This sample rule form is suitable for metal dummy filling. Each metal layer needs to generate a new form, which is the number of technical parameters and performance measurements / standards. 0

第1 8圖所示為虛設填入尺寸與配置演算規則操作之流 程圖’ 34-2。金屬與氧化虛設填入演算規則採用填入規 則,34-;1-7,設計規則,37,以及佈局檔案、擷取之圖案 密度、以及CMP製程變異模型,38。利用電腦輔助設計 |CAD )工具或函數資料庫擷取區域圖案密度,34 —2 —1, 以取得佈局中特徵之資訊。取得標的座標並計算佈局中每 一標的之面積。接著計算小方形窗口之圖案密度,一般邊 長為40 //m至100 //m。在虛設填入演算規則中計算出每一 網格之有效圖案密度,該網格亦標記為一區塊,為設定以 平坦化長度L之橢圓型開口,34 —2 — 2。針對每一區塊叶算 薄膜厚度變異,34-2-3。此演算規則之調整亦包括電性參 數之變異。根據製程模型之預測薄膜厚度非一致性指定出 區塊填入之次序,34-2-4,其中此製程模型為有效圖案Figure 18 shows the flow chart of the operation of the dummy fill size and configuration calculation rules' 34-2. Metal and oxidation dummy filling calculation rules use filling rules, 34-; 1-7, design rules, 37, and layout files, extracted pattern density, and CMP process variation models, 38. Use computer-aided design (CAD) tools or function databases to retrieve the area pattern density, 34-2—1, to obtain information about the features in the layout. Obtain the coordinates of the target and calculate the area of each target in the layout. Then calculate the pattern density of the small square window, the general side length is 40 // m to 100 // m. The effective pattern density of each grid is calculated in the dummy filling algorithm, and the grid is also marked as a block, which is an elliptical opening set with a flattening length L, 34 — 2 — 2. Calculate the film thickness variation for each block, 34-2-3. The adjustment of this calculation rule also includes the variation of electrical parameters. Specify the order of block filling according to the non-uniformity of the predicted film thickness of the process model, 34-2-4, where the process model is an effective pattern

j度之函數,在溝槽CMP製程中亦為圖案化結構之線寬與 胃間距之函數。 在執行虛設填入時’根據次序一次選取一個區塊,此 次序係由區塊中薄膜厚度之非一致性所決定3 4 — 2 — 5。針對 區塊中所有標的計算出其他的物理參數,如線寬與線間 距,3 4 - 2 - 6。演算規則中允許一些彈性,可依據虛設填入The function of j degree is also a function of the line width of the patterned structure and the gastric spacing in the trench CMP process. When performing a dummy fill, ‘select one block at a time according to the order, which order is determined by the non-uniformity of the film thickness in the block 3 4 — 2 — 5. Calculate other physical parameters for all targets in the block, such as line width and line spacing, 3 4-2-6. Some flexibility is allowed in the calculus rules, which can be filled in based on the dummy

200405184 五、發明說明(105) 規則使用單一虛設填入尺寸與圖案或選取各類尺寸與形 狀。 因已於一區塊配置虛設填入,執行佈局擷取以更新密 度、線寬、以及線間距參數,3 1,用以重新計算製程與電 性參數,3 3。利用成本函數,3 5,改變使虛設填入解決方 案符合欲得之標準。若此,執行下一區塊,34_2-4,直到 區塊。虛設填入演算規則操作於内連線之每一層 兩層中產生虛設填入調整,一為金屬層,另一為 並於内連線之每一層級繼續操作。假若所有區塊 有限制,則可完成此設計之確認,3 6。 圖係顯示虛設填入置換與尺寸演算規則,並詳述 。於區塊中選取物件,加入虛設填入, 。利用C A D工具取得被選取標的之邊界區塊(bounding box) ,34-2-7-2。計算標的之長度與寬度, ,並計算每一方向最鄰近標的之距離, 。計_算每一標的之虛設填入之型式,如金屬層或 氧化層,34-2-7-5。 在區塊之母一標的中,根據兩要件加入虛設填入結構, 34-2-7-6 : 填完所有 級,並於 氧化層, 符合所 第18 於第19圖 34-2-7- 1 34-2-7-34-2-7- (1) (2) 虛設 虛設填入 表單以決 非平坦程度大於設計標準 根據虛設填入規則表執行虛設填入。 填入規則亦決定根據鄰近結構之特定標的之最佳 尺寸與圖案。第20圖提供兩虛設填入規則之樣本 定填入之尺寸與圖案。在第2〇a圖中之表一提供 Φ200405184 V. Description of Invention (105) The rule uses a single dummy to fill in the size and pattern or select various sizes and shapes. Since a dummy fill has been configured in a block, layout extraction is performed to update the density, line width, and line spacing parameters, 3 1 for recalculating process and electrical parameters, 3 3. Using the cost function, 3, 5, change the dummy fill solution to meet the desired criteria. If so, execute the next block, 34_2-4, until the block. The dummy fill-in algorithm operates on each layer of the interconnect. The dummy fill adjustment is generated in two layers, one is the metal layer, and the other is continued at each level of the interconnect. If all blocks are restricted, the confirmation of this design can be completed, 36. The figure shows the dummy fill-in replacement and size calculation rules and details. Select an object in the block, add a dummy fill, and. Use the CAD tool to obtain the bounding box of the selected target, 34-2-7-2. Calculate the length and width of the target, and calculate the distance closest to the target in each direction,. Calculate the type of dummy filling for each target, such as metal layer or oxide layer, 34-2-7-5. In the mother of the block, the dummy filling structure is added according to the two requirements. 34-2-7-6: Complete all levels and fill in the oxide layer, which conforms to the 18th and 19th figure 34-2-7- 1 34-2-7-34-2-7- (1) (2) The dummy filling form is by no means more flat than the design standard. The dummy filling is performed according to the dummy filling rule table. Filling rules also determine the optimal size and pattern for specific targets in adjacent structures. Figure 20 provides two samples of dummy filling rules to determine the size and pattern of filling. Provided in Table 1 in Figure 20a Φ

1057-5667-PF(Nl).ptd 第108頁 200405184 五、發明說明(106) 做為調整虛設填入尺寸之虛設填入表單。LW為線寬,LS為 線間距,R為電阻,C為電容。在第2 0B圖中之表二提供做 為调整虛設填入圖案之虛設填入表單。 將數組虛設填入標的之尺寸與圖案整合於一虛設填入 資料庫,34-2-7-1 0,用以調整設計成新Ic設計技術。使 用者可跳過尺寸與圖案之演算規則選擇,包括演算規則起 始時送出之技術設計規則中所選擇之參數。1057-5667-PF (Nl) .ptd Page 108 200405184 V. Description of Invention (106) As a dummy filling form for adjusting the size of the dummy filling. LW is the line width, LS is the line spacing, R is the resistance, and C is the capacitance. Table 2 in Figure 20B provides a dummy filling form for adjusting the dummy filling pattern. The size and pattern of the array dummy filling target are integrated into a dummy filling database, 34-2-7-1 0, to adjust the design to the new IC design technology. Users can skip the selection of calculation rules for size and pattern, including the parameters selected in the technical design rules sent from the beginning of the calculation rules.

虛設填入規則檢查接近被選擇標的之區域,根據金屬 層之虛設填入規則與此標的保持合理之距離。根據相同之 〖生規則加入氧化層虛設結構。當違反任一限制條件時, 將不對此標的加入填入結構,34 —2 —。當不違反任一限 制條件時,以更有效率之方法分級地配置虛設填入以調整 佈局’ 3 2 - 2 - 7 - 8。若不考慮佈局檔案大小,則可直接於佈 1中加入每一虛設填入標的,每一填入標的皆具有其座 標。此具有一檢驗步驟,32_2 —7 —9,確認區塊中是否尚有 =他標的,若是,則繼續此流程。假若區塊中仍存在其他 才:的,則選取下一標的,3 2 — 2 — 7 —丨,並進行所有流程直到 處理完所有標的為止。The dummy filling rule checks the area close to the selected target, and a reasonable distance from the target is maintained according to the dummy filling rule of the metal layer. Add the dummy structure of the oxide layer according to the same rules. When any of the restrictions are violated, the structure of this target will not be filled in, 34-2. When any restriction condition is not violated, the dummy filling is arranged in a hierarchical manner to adjust the layout in a more efficient way. 3 2-2-7-8. If you do not consider the size of the layout file, you can directly add each dummy entry in cloth 1, and each entry has its coordinates. This has a verification step, 32_2 —7 —9, to confirm whether there are any other objects in the block, and if so, continue this process. If there are still other talents in the block, then select the next bid, 3 2 — 2 — 7 — 丨, and go through all the processes until all the bids are processed.

_ 第21圖顯示兩互異之虛設填入圖案,第21A圖與第2 1B /、有互異之填入標的尺寸,確有相類似之密度,顯示 出尺寸在虛設填入調整時可提供另類之自由度。如上所 述’虛设填入系統可提供使用者自資料庫選取填入型式 (接地或浮接)、尺寸以及填入圖案之形狀,或是可多樣 性地選取尺寸演算規則,根據虛設填入規則自動地選擇填_ Figure 21 shows two different dummy filling patterns. Figure 21A and 2 1B /, the dimensions of the filled target are different, and they have a similar density, showing that the size can be provided when the dummy fill is adjusted Alternative degrees of freedom. As mentioned above, the “virtual filling system” can provide users to select the filling type (ground or floating), size, and shape of the filling pattern from the database, or select the dimensional calculation rules in a variety of ways, and fill in the data based on the dummy. Rules automatically choose to fill

200405184 五、發明說明(107) 入結構。 — f. 分級虛設填入單元與單元配置 就製程平坦度(如CMP與ECD )與電性效應(如跨越内 連線之最小化容量)而言,虛設填入區域通常包括數個小 型標的。在晶片上配置虛設填入標的之缺點為檔案大小將 明顯增加。在本發明中,係以產生各類尺寸之單元以取代 在晶片上配置小型虛設填入標的。此方法需額外地產生一 單元資料庫。然而,因產生單元資料庫,故加入虛設填入 _增加之檔案大小僅在單元配時發生。此外,分級地產生f 單元之資料庫可降低成本。雖非必要,但此方法具有較佳 之計算與儲存效率。此方法係於佈局之單元配置時執行, 34-2。 第22A圖係顯示一單元,包括尺寸為l//m X 1/zm之兩 虛設填入標的,間距為1 // m,94。因此單元具有一列與兩 行,單元尺寸標記為1 X 2。為形成一2x2之單元,配置 另一1 X 2單元於此已存在1 X 2單元之上方以形成一新的 單元,95。同樣地,利用下述步驟可形成4 X 4之單元 (如第22A圖所示): 步驟1:形成一1 X 2單元,96 步驟2 :配置另一1 X 2單元於原始單元右側以形成一1 X 4 口口 一 早兀 步驟3:配置另一1 X 4單元於現存1 X 4單元上方(形成2200405184 V. Description of Invention (107) Entry structure. — F. Hierarchical dummy fill-in units and unit configuration In terms of process flatness (eg, CMP and ECD) and electrical effects (eg, minimizing capacity across interconnects), dummy fill-in areas typically include several small targets. The disadvantage of disposing dummy fill-in targets on the chip is that the file size will increase significantly. In the present invention, units of various sizes are produced to replace the placement of small dummy fill targets on the wafer. This method requires an additional unit database. However, due to the generation of the unit database, adding a dummy fill _ increased file size only occurs when the unit is allocated. In addition, a hierarchically generated database of f units can reduce costs. Although not necessary, this method has better calculation and storage efficiency. This method is performed during the layout of the unit, 34-2. Figure 22A shows a unit, including two dummy fill-ins with dimensions of 1 // m X 1 / zm, with a pitch of 1 // m, 94. Therefore the cell has one column and two rows, and the cell size is labeled 1 X 2. In order to form a 2x2 unit, another 1 X 2 unit is arranged above the existing 1 X 2 unit to form a new unit, 95. Similarly, the following steps can be used to form a 4 X 4 unit (as shown in Figure 22A): Step 1: Form a 1 X 2 unit, 96 Step 2: Place another 1 X 2 unit to the right of the original unit to form One 1 X 4 mouth early one Step 3: Configure another 1 X 4 unit above the existing 1 X 4 unit (form 2

1057-5667-PF(Nl).ptd 第110頁 200405184 五、發明說明(108) X 4單元)1057-5667-PF (Nl) .ptd Page 110 200405184 V. Description of the Invention (108) X 4 Units)

X 4早元於現存2 X 步驟4 :配置另 X 4單元) 一因刀級地形成單元,故沿上層單元(本例為4 X 4單 兀)、往下遞減,其中每一層級係來自於更小之單元。持續 形成直到達到最終單元為止,其中包含實際虚設填入結 構此方法之優點為可顯著地降低儲存虛設填入資訊之檔 案大士,特別適用於在大型空白區域加入虛設填入。不需 0存每一虛設填入之座標,僅需儲存單元尺寸與單元座標 即可。 。分級方法係導因於欲大幅降低檔案大小,並可於佈局 編輯,中更快速地讀取檔案。比較而言,以一單位代表儲 存:單一虛設填入標的或單元之座標之記憶量。單一標的 所而之圮憶量相同,因兩者需要相同的資訊量: 對單二虛設填入標的而言:邊界區塊座標(χι,; x2,y2 ) 對依單元而言:左下方座標(Χι,yi ;單元大小m χ η ) 2 •若分級地配置單元’ —4x4單元需要8單位資訊,如 第23圖所示。在此例中,每一 4X4單元包含兩2X4單元, 96,每一 2x4單元包含兩1X4單元,97,每一 1x4單元包人 兩1x2單元,98,每一1X2單元包含兩獨立單元,99 單元所需之所有單元或標的計算出8單位之資訊。就非八X 4 is earlier than the existing 2 X Step 4: Configure another X 4 unit) One unit is formed by the knife level, so it goes down from the upper unit (4 X 4 unit in this example), and each level comes from For smaller units. Continue to form until the final unit is reached, which includes the actual dummy filling structure. The advantage of this method is that it can significantly reduce the file of storing dummy filling information. It is especially suitable for adding dummy filling in large blank areas. You don't need to save the coordinates of each dummy, you only need to store the unit size and unit coordinates. . The grading method is due to the need to greatly reduce the file size, and can be edited in the layout to read the file faster. In comparison, a unit represents storage: a single dummy fills the memory amount of the target or unit coordinates. A single target has the same amount of recall, because both require the same amount of information: For a single two dummy filled target: the boundary block coordinates (χι ,; x2, y2) For the unit: the lower left coordinate (Χι, yi; unit size m χ η) 2 • If the units are arranged hierarchically-4x4 units require 8 units of information, as shown in Figure 23. In this example, each 4X4 unit contains two 2X4 units, 96, each 2x4 unit contains two 1X4 units, 97, each 1x4 unit includes two 1x2 units, 98, and each 1X2 unit contains two independent units, 99 units Calculate 8 units of information for all required units or targets. It must be

200405184200405184

五、發明說明(109) 獨立 級方法而言,16組獨立單元將需要16標的以描 單元之四組邊界區塊座標。 :?而言’當單元尺寸成長時(亦即’可填 入之區域)’利用分級方法所節省之記憶量會增加;。一 ηχη單元不使用分級方法所需之儲存量為n2〇4,胃其ϋ中需用 四組座標以訂定每一虛設填入。但若在虛設填/系統^中使 用分級方法,所需之儲存量係隨η呈線性關係。一般而 言,一組mxn單元所需儲存量係與η (或m )呈線性^係,V. Description of the invention (109) For the independent level method, 16 sets of independent units will need 16 marks to describe the four sets of boundary block coordinates of the units. :? In terms of 'when the unit size grows (that is,' fillable area '), the amount of memory saved by using the grading method will increase;. The storage capacity required for a ηχη unit that does not use the grading method is n204. Four sets of coordinates are needed in the stomach to define each dummy fill. However, if the grading method is used in the dummy fill / system ^, the required storage amount is linearly related to η. Generally speaking, the required storage capacity of a group of mxn units is linearly related to η (or m).

若m或η為2的次方,則等於4 (表示單一單元所需之量)。 _ 2 4圖係顯示分級虛設填入之聚集與配置之一步步詳細流 程圖。將虛設填入規則表,4 4 - 2 - 7 - 6,與填入標的資料 庫,34-2-7-10,導入配置演算規則中。選取每一虛設填 入區域’34-2-7-8-1,計算並決定填入區域中可被配置之 尺寸之虛設填入標的數目,34-2-7-8-2。利用定義虛設填 入參數之輸入參數’34-2-7-8-3 ’產生,34-2-7-8-4,單If m or η is a power of 2, it is equal to 4 (representing the amount required for a single unit). _ 2 4 is a step-by-step detailed flow chart showing the aggregation and configuration of hierarchical dummy filling. Fill the dummy into the rule table, 4 4-2-7-6, and the target database, 34-2-7-10, and import them into the configuration calculation rules. Select each dummy filling area '34 -2-7-8-1, calculate and determine the number of dummy filling targets of the size that can be configured in the filling area, 34-2-7-8-2. Generated using the input parameter ‘34 -2-7-8-3 ’which defines the dummy fill-in parameters, 34-2-7-8-4, single

元配置演算規則可形成,34-2-7_8-6,之各類尺寸之虛設 填入單元資料庫,34-2-7-8-5,選取最大尺寸之單元填入 被選取區域並進行配置,3 4 - 2 - 7 _ 8 _ 7。將剩餘虛設填入區 j分隔成新區域,34-2-7-8-8,並以演算規則決定是否還 ,可填入之區域,34一2-7 —8 — 9。若是,則選取新區域, 34—f — 7 — 8 — 1,並重複擔原配置流程。若否,即完成虛設填 入單元配置,若其他標的需填入時完成檢查動作, 34-2-7-9,第 1 9 圖。Meta allocation calculation rules can be formed, 34-2-7_8-6, various sizes of dummy fill in the unit database, 34-2-7-8-5, select the largest size to fill in the selected area and configure , 3 4-2-7 _ 8 _ 7. Separate the remaining dummy filling area j into a new area, 34-2-7-8-8, and use calculation rules to decide whether to return or not, the area that can be filled in, 34-2-7-8-8. If so, select a new area, 34—f — 7 — 8 — 1, and repeat the original configuration process. If not, the configuration of the dummy filling unit is completed. If other targets need to be filled in, the check operation is completed, 34-2-7-9, figure 19.

1057-5667-PF(Nl).ptd 第112頁 200405184 五、發明說明(110) g.應用 此流程所描述之虛設填入方法大多應用在研磨與電化 學沈積製程,因其中維持某層之薄膜厚度平坦為相當重要 之課題。 虛設填入可與溝槽製程流程相結合以改進電化學沈積 (ECD)與化學機械研磨(CMP)時銅填入之薄膜厚度之平 坦度,在形成單層或多層内連線時會一起採用兩 ECD與CMP之圖案附屬模型可用以4主* 上* 多重層級之效應。此溝;===鄰内連線層級間 巧下列功能: )上,或做為網路服務,以提 •佈局擷取 •圖案附屬模型量测與預測 • IC設計之虚设填入尺寸與配置 •薄膜厚度平坦化之最佳化 •電性影響最小化 具兩製程之流程中改善薄膜厚度 以此可於ECD或CMP製程或 平坦化或電性效能。 在溝槽製程流程之應用中,1057-5667-PF (Nl) .ptd Page 112 200405184 V. Description of the invention (110) g. Applying the dummy filling method described in this process is mostly used in the grinding and electrochemical deposition process, because it maintains a layer of thin film Flatness is a very important issue. The dummy filling can be combined with the trench process flow to improve the flatness of the thickness of the copper filling film during electrochemical deposition (ECD) and chemical mechanical polishing (CMP). It will be used together when forming a single or multiple interconnects. Two ECD and CMP pattern auxiliary models can be used for 4 main * on * multiple levels of effects. This ditch; === The following functions are connected between adjacent interconnected levels:), or as a network service to improve • layout capture • pattern attached model measurement and prediction • IC design dummy fill in the size and configuration • Optimization of film thickness planarization • Minimization of electrical effects. Improve the film thickness in the two-process process so that it can be used in ECD or CMP processes or planarization or electrical performance. In the application of trench process,

IjECD與CMP製程步驟。利用第〇 |用一第14圖所示之方法 IC佈局,並描述於第b節。於弟^圖所示之步驟與方法擷卑 之量測。.组合量測方法成—製一製程中執行第14圖所开 c節所示之步驟預測- *步及二:程’並^ 用第d節所述之表單檢查與臨界厚;之平坦度。禾 4點檢查,或利用成本函絮IjECD and CMP process steps. IC layout using the method shown in Figure 14 and described in Section b. The steps and methods shown in Yu Di ^ 's measurement are shown below. .Combined measurement method is to perform the prediction of the steps shown in section c in Figure 14 in a manufacturing process-* step and two: process' and ^ check the critical thickness with the form described in section d; flatness . Wo 4 o'clock check or use cost function

五、發明說明(111) 的方式比較結果與預期之薄 用第16圖所示之步驟,經由第2子4 =電性效能之差異。利 虚設填入之演算規則。利用此方ς、第e與『節之方法使用 於每-層,使薄膜厚度之非妝可將虛設填入分別應用 性參數之變異,如電阻、薄;電:”小化,會最小化電 耗、介電常數、或電容。13:、壓降、驅動電流損 U括應用於層級之間以產生多層内連線之虛設填入 龜之ΪΓΓ用UHr與65nm之技術而言’亦可將虛設填 以取得較佳之製程整合,並改進薄 、少、穑;去::。大多習知大量鋼材質填入係採用電化學 沈積方法,以各類化璺嫵也丨+ ^ w t貝化予栻制之添加物,如催化物、平坦 劑、或抑制劑等改善金屬層之 士 止 械性手段改it # to —又卩又備製把商亦朝機 槭〖生乎奴改進千坦度。Nutool已 圓,並以墊(pad)導入雷 巧 ^ 从%轉曰日 _ ^ ^ 等入電鍍的解決方案。此接觸平坦技 =點c域性與全面性地平坦化銅薄膜。此方 法之另一優點為可降低柄m危 ^旦 」降低銅厚度,減少後續CMP所需移除之 銅置0 魏體ί二= 結合電化學機械沈積(ECMD)以改進 :-:運用:勺、:一致性。虛設填入方法可與ECMD製 L括弟1 4圖中所示之流程以量測整 =模型’以及如第15圖所示將模型加入製程中㈡ -曰曰片之預測。就改進薄膜厚度之平坦度、碟陷、 而言,可利用NuTool、内部或其他第三供應者所開發:姓V. Description of the invention (111) The comparison of the results and the expected thinner Using the steps shown in Figure 16, through the second sub 4 = the difference in electrical performance. The calculation rules are filled in falsely. Use this method, section e, and "sections" to apply to each layer, so that the non-makeup of the film thickness can be filled into the variation of the applicable parameters, such as resistance, thin; electricity: "Minimization, will minimize Power consumption, permittivity, or capacitance. 13: Voltage drop, drive current loss, including dummy applied between layers to create multilayer interconnects. Fill in the turtle's ΪΓΓ with UHr and 65nm technology. The dummy filling is used to achieve better process integration, and the thickness, thickness, and thickness are improved. To :: Most of the conventional steel material filling systems are electrochemical deposition methods. Pre-made additives, such as catalysts, flatteners, or inhibitors, improve the mechanical properties of the metal layer. It is changed to # 卩 — again and again. Degrees. Nutool has been rounded, and pads have been used to introduce Lei Qiao ^ from% to _ _ ^ ^ Wait for the plating solution. This contact flattening technique = point c domain and comprehensively flatten the copper film. This Another advantage of the method is that it can reduce the thickness of the handle, reduce the thickness of the copper, and reduce the need for subsequent CMP. In addition, the copper is set to 0, Wei Ti, the second = combined with electrochemical mechanical deposition (ECMD) to improve:-: Application: spoon,: consistency. The dummy filling method can be used with the process shown in Figure 14 of the ECMD system to measure the entire model = model 'and add the model to the process as shown in Figure 15 to predict the film. In terms of improving the flatness of the film thickness, dishing, can be developed using NuTool, internal or other third-party suppliers:

200405184200405184

網路、外部網路、或内部 以提供下列功能: ECMD模型併同虛設填入方法達成 此方法可利用於網路(網際 網路)上’以及做為網路服務, •佈局擷取 •圖案附屬模型量测與預測 • I C設計之虛設填入尺寸與配置 •薄膜厚度平坦化之最佳化 •電性影響最小化 以此可 在 月良。不 層、銅 之後, 題在於 害、碟 虛 以調整 低整體 2特徵 晶圓 為薄膜 層做為 自動地 數之變 於ECMD製程 溝槽製程中 僅不易製造 上之阻障蓋 亦不易維持 銅之CMP步馬 陷、侵I虫, 設填入可於 該層之結構 晶片之空間 化(包括使 開發整體晶 厚度平坦度 内連線層級 將虛設填入 異。此方法 中改善薄膜厚度平坦化。 導入低介電常數介電質有許多課題需克 低介電層,在所有整合步驟如蝕刻終止 層(barrier cap )以及CMp終止層完成 其介電常數。許多低介電材質之良率問 替,低介電層之軟質特性將導SCMp損 以及後續之電性缺陷。 内連線製程流程時加入低介電材質層, 特性,可達到預期之有效介電常數並降 電容。圖案附屬可根據有效介電常數予 用晶圓狀態模型與電性參數)。利用測 片模型以預測有效介電常數之變異,其 、碟p曰、或4文名虫之函數。告敕南供介雷 之材質時,利用圖案複數I特徵化結果 加入低介電層中,以最小化有效介電常 可利用於網路(網際網路、外部網路、Network, external network, or internal to provide the following functions: ECMD model and virtual filling method to achieve this method can be used on the network (Internet) and as a network service, • layout capture • pattern Auxiliary model measurement and prediction • Dummy filling size and configuration of IC design • Optimization of film thickness flattening • Minimization of electrical effects can be achieved in Yueliang. After the layer is not copper, the problem is the damage, the dish is adjusted to a low overall 2 feature wafer as a thin film layer as the number of automatic changes in the ECMD process trench process, it is not easy to manufacture the barrier cover, and it is not easy to maintain the copper. CMP step horse traps, invasion of insects, and the filling of the structure wafers that can be layered in this layer (including the development of the overall crystal thickness flatness interconnecting level will be filled into the dummy. This method improves the film thickness flattening. There are many issues for introducing low-dielectric constant dielectrics to overcome the low-dielectric layer, and to complete its dielectric constant in all integration steps such as the barrier cap and CMP termination layer. The yield of many low-dielectric materials is questioned. The soft characteristics of the low dielectric layer will lead to SCMp loss and subsequent electrical defects. The low dielectric material layer is added during the interconnection process. The characteristics can achieve the expected effective dielectric constant and reduce capacitance. The attachment of the pattern can be based on The effective dielectric constant applies the wafer state model and electrical parameters). Use the film model to predict the variation of the effective dielectric constant, which is a function of 碟, p, or 文. When reporting the material for the dielectric lightning, use the pattern I to characterize the result and add it to the low dielectric layer to minimize the effective dielectric. It can be used in the Internet (Internet, external network,

200405184200405184

或内部網路)上 •佈局擷取 或做為網路服務 以提供下列功能: 圖案附屬模型量測與預測 與配置 化 I C設計之虛設填入尺寸 薄膜厚度平坦化之最佳 電性影響最小化 以此可改進低介雷^ |电層之結構特性,以及改進利用低介電層 之製程步驟之開發與整合。Or internal network) • layout capture or as a network service to provide the following functions: pattern attached model measurement and prediction and configuration of the dummy IC design fill the size of the thin film thickness flatness minimizes the best electrical impact This can improve the structural characteristics of the low dielectric layer, and improve the development and integration of the process steps using the low dielectric layer.

此應用可與虛設填入方法相結合以改變低介電層之物 •、結構、卩及電性特性,並在CMP時促進平坦化,如第 圖所不_之溝槽製程。將低介電層導入製程流程之步驟與 第7圖所不相類似。此應用需採用低介電層之ecd、ecmD、 與CMP之模型之量測,34,如第丨4圖所示之線上狀態。此 應=亦需調整電性模型,33-2,以計算整體晶片之有效介 電常數之變異。輸入預期之有效介電常數資料與其他設計 參數,32,至成本函數,以指示虛設填入策略最佳化低介 電層之電性特性,改進溝槽製程中薄膜厚度之平坦度。 結構與操作 包含本發明之元素(components)係建構於軟體中 (如Java,Tcl,Basic,SQL )並予以模組化,在配置虛 =填入時可選擇是否完全使用所有元素。例如,虛設填入 資料庫可僅包括一種虛設填入標的,自動虛設填入演算規 則可不需電性模型或模擬即可根據降低製程變異而最佳化This application can be combined with dummy filling methods to change the low dielectric layer's properties, structure, structure, and electrical characteristics, and promote planarization during CMP, such as the trench process shown in the figure. The steps to introduce the low dielectric layer into the process flow are similar to those in Figure 7. This application requires the measurement of the ecd, ecmD, and CMP models of the low-dielectric layer, 34, as shown in Fig. 4 and online status. This should = also need to adjust the electrical model, 33-2, to calculate the variation of the effective dielectric constant of the overall chip. Enter the expected effective dielectric constant data and other design parameters, 32, to the cost function to indicate the dummy filling strategy to optimize the electrical characteristics of the low dielectric layer and improve the flatness of the film thickness in the trench process. Structure and Operation The elements (components) containing the present invention are built in software (such as Java, Tcl, Basic, SQL) and modularized. You can choose whether to use all the elements completely when the configuration is filled in. For example, the dummy filling database can include only one type of dummy filling target, and the automatic dummy filling calculation algorithm can be optimized based on reducing process variation without the need for electrical models or simulations.

l〇57-5667-PF(Nl).ptdl〇57-5667-PF (Nl) .ptd

200405184 五、發明說明(114) 地配置虛設填 第2 6圖係 法。使用者, 路瀏覽器,連 傳電子佈局設 一般而言 入 以下將敘述虛設填入方法之計算架構。 顯示一種較佳軟體結構以組成虛設填入之方 100 ’經由圖形介面系統(GUI ) 1〇1,如網 通至系統。G U I,1 0 1,允許使用者選擇並上 计播案至虛設填入糸統。 ' 格式之 定裝置 亦可利 %電子 用介面 入之形 電腦以 經虛設 空間密 為下列 電子媒 之電性 用此介 媒介來 自儲存 狀、尺 傳送或 填入調 度、預 格式: ,如本節所定義及使用,允許使用者自其他 介、預期之設計規則、以及設計檔案所述特 效此予以選取、上傳、或傳送處理。使用者 面自一伺服器選取製程與電子模型,或自另 源或電腦以傳送或負載模型。使用者亦可利 於伺服器之虚設填入標的資料庫選取虛設填 寸、以及圖案,或是自另一電子媒介來源或 負載模型。使用者亦可利用此介面檢閱佈局 整後之結果,亦/或檢視最後整體晶片佈局 測製程薄膜厚度亦/或電性參數。此結果可 長條圖或其他統計圖 &晶圓狀態之全晶片影像,或是在某點上即時之電性參數 在製程步驟或流程時整體晶片之薄膜厚度、碟陷、侵蝕 過程之影片 •整體晶片之電性參數變異,如薄膜電阻以及電容變異之 影片 •數值表單 1057-5667-PF(Nl).ptd 第117頁 200405184 五、發明說明(115) 此GU I,1 0 1,與一系列軟體元素、服務、或是函數, 10 2’ (此處標s己為服務模組)相連通,以管理通過系統 至資料庫,1 0 5,以及計算核心流程,1 〇 3,之資料流。將 服務,1 0 2,予以模組化,並導入以起始計算核心流程, 1 03,以執行部分演算規則,整合並格式化在GU I中顯示之 内容。此元素之實例為Java或Tel語言,可使採用嵌入SQL 核心之資料庫與採用HTML之GUI之間互動更為簡易。此類 元素亦可起始數學流程,進行計算以決定佈局中正確之虛 |填入配置。 此服務模組,1 0 2,連通至流程與函數之計算核心, 1 〇 3,執行虛設填入演算規則與繁雜之計算程序,如製程 與電子模型與模擬過程等。此核心亦進行有效圖案密度之 計算。此計算包括指令、資料、模型參數、預測結果表 格、圖像、或影像格式,以及檔案系統中檔案之指標。 此服務模組,1 0 2,亦可連通至電子IC設計軟體, 1 0 4 ’處理佈局貧訊’如設計標的之位置與座標,以及決 疋將虛設填入單元配置於何處。 資料庫,1 〇 5,經由S0L指令連通至服務模組,丨〇 2, I乂管理系統資料,如虛設填入資料庫標的;使用者簡介,φ 包括限定允許以及較喜愛之内容與呈現方式;使用者資 料’包括佈局擷取資料、先前佈局設計檔案、特定工具與 衣私之模型參數、以及如表面拓撲、電阻、電容等整體曰 片預測結果。實例中所採用之資料庫可為〇racle、 θθ200405184 V. Description of the invention (114) Dummy arrangement of landfill Figure 26 method. Users, browsers, and serial electronic layout design Generally speaking, the calculation structure of the dummy filling method will be described below. A preferred software structure is shown to form a dummy filling square 100 ′ via a graphical interface system (GUI) 101, such as a netcom to the system. G U I, 1 0 1, allows users to select and upload reports to the virtual system. 'The fixed device of the format can also use the computer with the electronic interface to pass the virtual space to the following electronic media. Use this media from the storage state, ruler transmission, or fill in the schedule and pre-format: as in this section Definition and use, allowing users to select, upload, or transmit from other media, expected design rules, and special effects described in design files. The user selects the process and electronic model from a server, or transmits or loads the model from another source or computer. Users can also use the server's dummy filling target database to select dummy fillings and patterns, or source or load models from another electronic medium. Users can also use this interface to review the layout results, and / or view the final overall chip layout. Measure the film thickness and / or electrical parameters. This result can be a bar graph or other statistical image & full wafer image of wafer status, or a film of the overall wafer film thickness, dishing, and erosion process in real time at a certain point in electrical parameters during the process steps or processes • Variation of the electrical parameters of the overall chip, such as a film of film resistance and capacitance variation • Numerical form 1057-5667-PF (Nl) .ptd Page 117 200405184 V. Description of the invention (115) This GU I, 1 0 1, and A series of software elements, services, or functions, 10 2 '(here marked as a service module) are connected to manage through the system to the database, 105, and core computing processes, 103, and Data stream. The service, 102, is modularized and imported to start the core calculation process, and 03 is to execute some calculation rules, integrate and format the content displayed in GUI. An example of this element is Java or Tel language, which makes it easier to interact with a database embedded in the SQL core and a GUI using HTML. Such elements can also start the mathematical process and perform calculations to determine the correct imaginary | fill in configuration in the layout. This service module, 102, is connected to the calculation core of processes and functions, 103, and executes dummy filling calculation rules and complicated calculation procedures, such as manufacturing process and electronic model and simulation process. This core also calculates the effective pattern density. This calculation includes instructions, data, model parameters, prediction result tables, images, or image formats, and metrics for files in the file system. This service module, 102, can also be connected to the electronic IC design software, 104, which deals with the poor layout of the layout, such as the position and coordinates of the design target, and determines where the dummy fills in the unit configuration. Database, 105, connected to the service module via S0L instructions, 〇〇2, I 乂 management system data, such as a dummy fill in the database target; user profile, φ includes limited permission and favorite content and presentation methods ; User data 'includes layout extraction data, previous layout design files, model parameters of specific tools and clothing, and overall chip prediction results such as surface topology, resistance, and capacitance. The database used in the example can be 〇racle, θθ

200405184 五、發明說明(116)200405184 V. Description of Invention (116)

Informix 、Access 、SQL Server 、f〇取〇 。 檔案系統,106,連通至所有元素1〇1、ι〇2、ι〇3、 104、1 05以接收資料並儲存成檔案。 假若圖中區塊A,107,與區塊β,1〇8,係位於 J腦二’則可獨立地配置此系、统。假若區塊Α與區塊Β:部 於不同的電腦,且透過網路相連^位 -伺服器端之結構配置。 則糸、,充通吊以客戶端 本節所述並未完全揭示虛設入、^ ^ ^ ^ ^ ^ -乃提c之操作組成。本節述及三類』但 黧-。成:if ί以組成操作與傳送功能之較佳方法。 置/如第m圖所示,其中所有元素 第二類二成為一1交0 6 系位於^09中,並1單一電腦取得。 之1〇1)位於一客戶端二第其 i说去於一伺服器或多重飼服器之飼服器架構中之 ;:m〇2:i〇6)。此連通機制可經由網際網路、内 部網路、或外部網路〗 戶端或使用者 11且飼服器可服務一或多組客 J三=成,如第28圖所示,為客戶端-伺服器模型 组m二由網路’114 ’連通至含此系統-或更多 由們服^ )之其他的電腦。例如,設計公司可經 Γ:電:以iV:用虛設填入方法,但同時遠端地使用 rf , 委卜製造,其中電腦週儲存一晶圓代工商 ry所提供之製程模型與模型參數,11 7。此組合Informix, Access, SQL Server, f0. The file system, 106, is connected to all the elements 101, ι02, ι03, 104, 105 to receive data and save it as a file. If blocks A, 107 and β, 108 are located in J Brain II 'in the figure, this system and system can be configured independently. If block A and block B: are on different computers and are connected through the network ^ bit-the configuration of the server side. Then, it is sufficient to suspend the client as described in this section. It does not fully reveal the operation of the dummy entry, ^ ^ ^ ^ ^ ^-Nai c. This section deals with three types "Dan 但-. Cheng: if ί is a better way to compose operation and transmission functions. Set / as shown in the m-th diagram, where all the elements of the second type become a 1-crossing 0 6 system located in ^ 09, and 1 single computer acquisition. (101) is located in a feeder architecture of a client, a server or a multi-feeder;: m〇2: i〇6). This connection mechanism can be via the Internet, Intranet, or Extranet. The client or user11 and the feeder can serve one or more groups of customers. As shown in Figure 28, it is the client. -The server model group m2 is connected to the other computers containing the system-or more by our network from the network '114'. For example, a design company can use the Γ: electricity: iV: fill method by dummy, but at the same time remotely use rf, commissioned manufacturing, in which the computer stores a process model and model parameters provided by a wafer foundry ry, 11 7. This combination

第119頁 200405184 五、發明說明(117) 亦包括可使用連接至虛設填入方法 型與模擬處理,117,其可儲存於二供應者之電性模 1 1 8,並經由網路,4,相連接。 态或伺服器群, 為使虛設填入方法可服務全世 利用第2_28圖所示之客戶端 # =客戶端,必須 送虛設填入之服務。在此操作型結構;、二由網路傳 功能發展成網路型服務,透過網路g虛,填入方法與 網際網路可達處接可與之連通存取11見',位於世界各地 •之為一般架構,適用於透過網路提供虛設填 魯之服務。在此組合中,使用者,100,經、 腦,121,存取一伺服器電腦或伺服器群,123,豆可娣 網路(如内部網路、外部網路、網際網路),1 、,"、二 虛设填入之操作。當連接至網路時,丨2 2,客戶端,1 2工丁, 上傳或傳送佈局檔案或檔案至伺服器,123,亦可傳送設 計規則以及位於伺服器之虛設填入系統使用之參考資^料^。 虛設填入系統,1 2 3,處理佈局資訊,配置虛設填入標 的’並將佈局檔案經由網路連接,1 2 2,回傳至使 100 〇 此架構之實例為提供網路服務格式之虛設填入功能。 響路服務為-種做為功能、内容或流程之標的,並與網路 瀏覽器、資料庫、或其他服務產生互動。在較佳實施例 中,此網路服務架構可使每一虛設填入功能與内容回傳至 使用者並依需求予以模組化地製造、組合、與增減,使得 龐大的使用群可更輕易地使用此方法。另一優點為第三供Page 119, 200,405,184 5. The invention description (117) also includes a connection to the dummy filling method type and analog processing, 117, which can be stored in the electrical mode of the two suppliers 1 1 8 and via the network, 4,相 连接。 Phase connection. State or server group, in order to make the virtual filling method serve the whole world, use the client # = client shown in Figure 2_28, you must send the virtual filling service. The operational structure is here. Second, the network transmission function is developed into a network-type service. Through the network, the filling method and the Internet can be reached. It can be connected to it. • It is a general structure, which is suitable for providing fake filling services through the Internet. In this combination, the user, 100, warp, brain, 121, accesses a server computer or server group, 123, Dokko network (such as internal network, external network, Internet), 1 ,, ", Second operation of dummy filling. When connected to the network, 2 2, clients, 12 workers, upload or send layout files or files to the server, 123, can also send design rules and the virtual information on the server to fill in the reference information used by the system ^ 料 ^. The dummy filling system, 1 2 3, handles the layout information, configures the dummy filling target's, and connects the layout file via the network, 1 2 2 and returns to 100. The example of this architecture is a dummy providing a network service format. Fill in function. Soundway Service is a target for functions, content or processes and interacts with a web browser, database, or other service. In a preferred embodiment, this network service architecture enables each virtual filling function and content to be transmitted back to the user and can be modularly manufactured, combined, and added or subtracted according to requirements, so that a large use group can be updated. Use this method easily. Another advantage is the third offering

1057-5667-PF(Nl).ptd 第120頁 2004051841057-5667-PF (Nl) .ptd Page 120 200405184

應者所提供之網路服務可自動地存取並整人 各史 j:W 矣固 路服務中。本實施例之另一優點為透過網路之其、 務之開發可規格化虛設填入演算規則,並有效地推5至全 此網路服務之較佳實例可為Java、Tci、SQL 。 式,可與一SQL致動資料庫與數學程式核心相連接,Λ以产壬 理佈局資訊並決定虛設填入之功能。此服務將限定並公地 所需之輸入參數,其為選擇性之輸入,以及提供回傳之參 數與資料。此系統將依據使用者之參數與所需功能整合1 服務。 口 第30圖所示為虛設填入系統之一實施例。使用者, 1〇〇,抑位於一區域級客戶端,124,使用一GUI型態之網路 瀏覽器經由網路(如網際網路、内部網路、外部網路), 125」連接至伺服器,126。虛設填入方法所提供之功能係 為模組與可配置之網路服務,1 2 8。虛設填入之網路服 務,128,係位於服務模組中,如第26圖中之1〇2所示,並 可建立於第三供應者如IBM、微軟、ARSDigUal、或bea等 之網路應用平台,此伺服器,1 2 7,可包含網路服務以管 g使用者,1 〇 〇,以及使用者所屬公司之簡介,以及以及 1許特定使用者登入以增減内容與功能。某些網路服務可0 經,網路予以整合,並由第三供應者公布服務内容,其中 可藉由中央網路伺服器或伺服器群,1 27,加以整合。某 些兀素可做為網路服務項目,包括製程模型與模擬、電性 模型與模擬(129)、佈局擷取(13〇)、分級單元配置The internet service provided by the respondent can be automatically accessed and integrated into the history j: W 矣 fixed road service. Another advantage of this embodiment is that through the development of other services on the network, it is possible to normalize the virtual filling calculation rules and effectively push 5 to 4. The best examples of this network service can be Java, Tci, SQL. It can be connected to a SQL actuation database and the core of a mathematical program. Λ lays out information based on the principle of production and determines the function of dummy entry. This service will limit and common the required input parameters, which are optional inputs and provide the parameters and data returned. This system will integrate 1 service according to user parameters and required functions. Figure 30 shows an embodiment of a dummy filling system. The user, 100, is located at a regional client, 124, and uses a GUI-type web browser to connect to the server via the network (such as the Internet, intranet, and external network), 125 " , 126. The functions provided by the dummy filling method are modules and configurable network services, 1 2 8. The filled-in network service, 128, is located in the service module, as shown in 102 in Figure 26, and can be established on the network of a third provider such as IBM, Microsoft, ARSDigUal, or bea. The application platform, this server, 1 2 7 can include web services to manage users, 100, and the profile of the company to which the user belongs, and 1 specific user to log in to increase or decrease content and functions. Some network services can be integrated through the Internet and published by a third provider. Among them, they can be integrated by a central network server or server group, 1 27. Some elements can be used as network service projects, including process models and simulations, electrical models and simulations (129), layout extraction (13), hierarchical unit configuration

1057-5667-PF(Nl).ptd1057-5667-PF (Nl) .ptd

第121頁 200405184 五、發明說明(119) (1 3 4 )、虛設填入尺寸與配置(1 3 2 )、虛設填入標的資 料庫(1 3 3 )、以及設計規則產生、調整、或公布(1 3 1 )。伺服器,126,讓使用者,100,建立專屬適用特定問 題或用途之網路層級之虛設填入應用功能,透過使用精靈 (use of wizard)提示使用者相關問題並自128中整合出 合適的服務。此類服務之使用,1 28,亦可為試用時期提 供折價或免費之服務。 根據使用者需求,可由數組較小型服務(或功能)中 整合出中繼服務(meta-service)或完整的網路應用。此 順|為何易於產生相當模組化之網路服務,以提升整合之虚0 設填入應用型式之彈性。第3 1圖顯示在1 〇 2之服務模組中 如何增減、配置、以及整合一網路型虛設填入之網路應 用。一使用者自一特定公司登入,1 3 5,一服務腳本 (service script )’ 136,進行許可檢測,137,使用者 依據系統内之標的:如佈局、工具與量測資料、虛設填^ 功能等取得認證。利用此許可初始產生虛設填入網路應 用1 3 8。當使用者使用此系統時,服務模組持續接受使 用者之輪入。假若同一使用者載入一特定佈局,丨3 9,服Page 121 200405184 V. Description of the invention (119) (1 3 4), dummy fill size and configuration (1 2 2), dummy fill target database (1 3 3), and design rule generation, adjustment, or publication (1 3 1). The server, 126, allows the user, 100, to create a dedicated network-level dummy fill application function that is suitable for a specific problem or purpose, and prompts the user with related problems through the use of a wizard and integrates appropriate ones from 128 service. The use of such services, 1 28, can also provide discounted or free services for the trial period. According to the needs of users, meta-services or complete network applications can be integrated into smaller arrays of services (or functions). This Shun | Why is it easy to generate a fairly modular network service to improve the flexibility of the integrated virtual setting into the application type. Figure 31 shows how to add, subtract, configure, and integrate a network-type virtual-filled network application in the 102 service module. A user logs in from a specific company, 135, a service script '136, performs license check, 137, the user is based on the target in the system: such as layout, tools and measurement data, dummy fill ^ function Wait for certification. Use this permission to initially generate a dummy fill-in web application 1 3 8. When users use this system, the service module continues to accept user rotation. If the same user loads a specific layout, 3, 9

|腳士、’ 1 40,取得此佈局之型式之所有功能與標的,以 已里测之製程工具、模型以及使用者所取得之配方, 旅Φ° 腳本整合許可標的之連結進入虛設填入網路服 以產生I。同一使用者可選擇一或多組製程工具或配方 以f生—製程流程,143。服務腳本,144,接著 之里測模型,並將此類模型整合至製程流程中,1 4 5于。此| Footprints, '1 40, to obtain all the functions and targets of this type of layout, use the process tools, models and recipes obtained by the user to test the integration of the target link of the script integration license into the virtual filling network. Road service to produce I. The same user may select one or more sets of process tools or recipes to produce a production-process flow, 143. Service script, 144, followed by a beta model, and integrating such models into the process flow, 1 4 5 5. this

第122頁 200405184 五、發明說明(120) 製程流程即成為虛設填入網路服務之一部分,1 4 6。使用 者傳送清求至祠服器’並得服務回應時,此互動將持續進 行,1 4 7。 、 當所有元件配置於佈局中之後,設計者可以一系列裂 態使用此虛設填入方法與系統。在此類操作或運用中,如 第32圖所示,設計規格與規則,148,將傳送至使用者, 1 4 9。設計者設計並配置元件,1 5 〇,以完成佈局,1 5工。 將完成之I C設計上傳至伺服器,1 5 2,虛設填入方法與系 統修正ic佈局並將設計規格回傳至設計群,153。若=虛 填入策略可付合設計規格,將會告知設計者,1 5 $。晶 圓廠或晶圓代工商可利用此配置方式以提供虛設填入服務 亦/或確認設計公司送出之佈局之可製造性。Page 122 200405184 V. Description of the invention (120) The process flow becomes a part of the dummy filling in network services, 146. This interaction will continue when the user transmits the Qingqiu to the temple server and receives a service response, 1 4 7. After all the components are arranged in the layout, the designer can use this dummy filling method and system in a series of crack states. In such operations or applications, as shown in Figure 32, the design specifications and rules, 148, will be transmitted to the user, 149. The designer designs and configures the components, 150, to complete the layout, 15 workers. Upload the completed IC design to the server, 152, the dummy filling method and the system to modify the IC layout and return the design specifications to the design group, 153. If = dummy fill strategy can meet the design specifications, the designer will be informed, 1 5 $. The wafer factory or wafer foundry can use this configuration method to provide dummy filling services and / or confirm the manufacturability of the layout sent by the design company.

當每一元 設計者 中,提 並配置 為進行 之元件 時,確 者之 填入方 立即通 可重複 供設計 每一 1C 完整之 需假設 認設計 一中央 法無法 知設計 件配置於佈局中時(此模式亦稱為即時) 式地使用此虛設填入方法與系統。在第3 3 E 規格,155,至設計群,156。當設計者設t 元件時’ 1 5 7,將佈局上傳至伺服器,1 5 8 佈局’即使僅配置有部分元件,其他未配] 付合δ又计規格。當虛設填入方法修正佈局 規格並回傳至設計群,或電子式更新所有言 佈局(central layout ),159。假若虛設 決定出符合設計標準之虛設填入策略時,^ 者0When each meta-designer extracts and configures the components to be carried out, the entrants can immediately repeat the design for each 1C complete. It is necessary to assume that the design-central method cannot know the design components are placed in the layout ( This mode is also called real-time using this dummy filling method and system. In Section 3 3 E, 155, to Design Group, 156. When the designer sets “t 5 components” and uploads the layout to the server, “1 5 8 layouts” even if only some components are deployed, others are not configured. When the dummy filling method is used, the layout specifications are revised and transmitted to the design group, or the central layout is updated electronically, 159. If a dummy is used to determine a dummy filling strategy that meets the design criteria, ^ is 0

網際網路可使全世界不同公司之設計群合作開發設 计。此艱鉅課題在於需確認所有設計者可符合設計^格The Internet enables design groups from different companies around the world to collaborate on design development. This difficult task is to confirm that all designers can meet the design requirements.

200405184 五、發明說明(121) 是否存在虛設填入機制’使此預先設計之凡件可整合至一 新設計規格中。 假若所有設計者皆同意此設計規格,系/統操作將如第33圖 所示-假設無配置元件符合設計規格,當元件違反規袼時 適當地置入虛設填入結構。當選取立異設計規則(如經授 權之I p或設計)下所設計之元件時,虚u又填入糸統可決定 i · 結果 本發明之虛設填入系統已於上述施行’本節將利用圖 與測試描述施行之結果。第34圖所示為Layout Manager 之圖形使用者介面(GU I )’使用者可透過網際網路之瀏 覽器上傳佈局,網路服務將依據使用者定義之設計規則 (亦經由類似之GU I輸入)為適當之製程加入虛設填入。 三組設計161,1 62,163正利用佈局擷取演算規則計算有 效密度。選項中提供使用者使用佈局擷取方法以計算線寬 或線間距,或從其他資料來源1 6 4,1 6 5,1 6 6上傳資訊。200405184 V. Description of the invention (121) Is there a dummy filling mechanism? 'This pre-designed piece can be integrated into a new design specification. If all designers agree to this design specification, the system / system operation will be as shown in Figure 33-assuming that no configuration component meets the design specification, and when the component violates the regulations, a dummy filling structure is appropriately placed. When selecting the components designed under the unique design rules (such as authorized IP or design), the virtual u can be filled into the system to determine i. As a result, the virtual filling system of the present invention has been implemented as described above. This section will use the diagram Describe the results of the implementation with the test. Figure 34 shows the graphical user interface (GU I) of the Layout Manager. The user can upload the layout through an Internet browser. The web service will be based on user-defined design rules (also input through similar GU I ) Add dummy entry for proper process. Three sets of designs 161, 1, 62, and 163 are using the layout retrieval algorithm to calculate the effective density. The options provide users to use the layout capture method to calculate line width or line spacing, or upload information from other data sources 1 6 4, 1, 6 5, 1 6 6.

第3 5圖所示為利用此系統之佈局擷取之結果。根據線 寬所在位置顯示整體晶片之空間線寬。將此資訊輸入方法 j以預測製程與電性之變異。 第36與37圖所示為虛設填入方法與系統之結果。在第 36A圖中顯示鄰近氧化區之金屬線,I??,其中利用cmp薄 膜厚度、碟陷、知餘計算,3 1,以及電阻與電容電性模 型,32,以及可接受之RC變異誤差範圍内加入金屬層之虛 設填入,176。在第36B圖中則於金屬層,179,中加入氧Figures 3 and 5 show the results of using this system's layout capture. The line width of the whole chip is displayed according to the position of the line width. Enter this information into method j to predict process and electrical variations. Figures 36 and 37 show the results of the dummy filling method and system. In Figure 36A, the metal lines adjacent to the oxidized area are shown, I ??, which uses the cmp film thickness, dishing, and surplus calculation, 31, and the electrical model of resistance and capacitance, 32, and the acceptable RC variation error Add a dummy fill of the metal layer in the range, 176. In Figure 36B, oxygen is added to the metal layer, 179,

1057-5667-PF(Nl).ptd 第124頁 2004051841057-5667-PF (Nl) .ptd Page 124 200405184

五、發明說明(122) 化虛設填入’ 1 78。放大圖示,1 80,亦顯示可觀測得實際 氧化虛設填入之結構’ 181。氧化虛設填入亦利用CMp薄膜 厚度、碟陷、侵蝕計算,3 1,以及電阻與電容電性模贺, 32 ’以及可接受之RC變異誤差範圍内加入。虛設填入系統 亦於虛設填入配置之結果中採用動態線緩衝與動離溝槽比 例。第37圖顯示如何使用虛設填入方法與系統調^虛設填 入圖案,進而最小化電性影響。在第3 7圖中,金屬虛設填 入,1 8 4,係配置於鄰近金屬線,1 8 3,之一氧化區。為符 合設計之電性要求,自填入資料庫中選取一非對稱金屬圖 •I,調整尺寸並配置於此氧化區中以最小化電阻之影響。 插入圖示’ 1 8 6 ’可更清楚地觀察出此非對稱之金屬虛設 填入,1 8 7。V. Explanation of the invention (122) Fill in the dummy and fill in it '1 78. Enlarged icon, 1 80, also shows the actual oxidation dummy filled structure 181. Oxidation dummy filling is also calculated using the CMP film thickness, dishing, and erosion calculations, 31, and electrical resistance and capacitance modes, 32 'and acceptable RC variation errors. The dummy filling system also adopts the ratio of dynamic line buffering and moving grooves in the result of the dummy filling configuration. Figure 37 shows how to use the dummy fill method and the system to adjust the dummy fill pattern to minimize the electrical impact. In Fig. 37, the dummy metal filling, 1 84, is arranged in an oxidation area adjacent to the metal line, 1 8 3. In order to meet the electrical requirements of the design, an asymmetric metal figure • I was selected from the database, adjusted in size and placed in this oxidation zone to minimize the effect of resistance. Insert the icon '1 8 6' to more clearly observe this asymmetric metal dummy filling, 1 8 7.

第3 8圖顯示利用虛設填入服務之G UI,實例中可利用 網路瀏覽器做為GU I。此舉之優點為,目前幾乎所有電腦 皆配有網路瀏覽器,且可進行標準化橫跨兩種主要瀏覽器 Netscape與微軟。GUI内具有之虛設填入功能可區分為三 類主要元素:設計(1 9 9 )、製造(1 9 1 )、以及模型 ( 2 0 0 )。第38圖之螢幕擷取圖案顯示在標頭,190,與導 覽列(navigation bar) ,191 ’之中’使用者已選取製 t元素。製造元素中包含有次元素;其中已選取工廠、工 具、晶圓、製造資料等,螢幕擷取圖案中已選取工具項, 1 9 2。工具項中包含三類次元素:裂式、配方、以及流 程。在此螢幕擷取圖案中,使用者已選取型式,193。接 著向使用者顯示工具之型式與設定,194 °接著顯示此工Figure 38 shows the G UI using the dummy filling service. In the example, a web browser can be used as the GUI. The advantage of this is that almost all computers are currently equipped with a web browser and can be standardized across two major browsers, Netscape and Microsoft. The dummy filling function in the GUI can be divided into three main types: design (19 9), manufacturing (1 91), and model (2 0 0). The screen shot in Figure 38 is shown in the header, 190, and the navigation bar, 191 '. The user has selected the t element. The manufacturing element contains sub-elements; among them, the factory, tool, wafer, manufacturing data, etc. have been selected, and the tool item has been selected in the screenshot, 192. There are three types of sub-elements in a tool item: split, recipe, and process. In this screenshot, the user has selected style, 193. The type and setting of the tool is then displayed to the user, and then 194 ° is displayed.

1057-5667-PF(Nl).ptd 第125頁 2004051841057-5667-PF (Nl) .ptd p. 125 200405184

五、發明說明(123) 具型式可選取之配方,196,與此類工具型式可選取 方程序,197。對銅與STI CMP之校準量測與預測而士之配 螢幕擷取圖案中配置之系統提供使用者兩種製程模^此 1—98。設計元素,199,利用佈局管理者讓使用者可僂 官理佈局與佈局擷取。此虛設填入G U I設計之一目的為讓 使用者可管理虛設填入服務所提供之所有資料與結'果、、、。 第39與40圖所示為内建於軟體之比較工具。^工具允 許使用者選取並比較兩或多組、整體或部分晶片圖像了其 中包括厚度、薄膜電阻、銅之總消耗量、階 /、V. Description of the Invention (123) Formulas with selectable types, 196, and formulas with selectable types of such tools, 197. The calibration measurement and prediction of copper and STI CMP are matched. The system configured in the screen capture pattern provides users with two process modes ^ -98. Design element, 199, uses layout manager to allow users to manage official layout and layout capture. One of the purposes of this dummy filling GUI design is to allow users to manage all the data and results provided by the dummy filling service. Figures 39 and 40 show comparison tools built into the software. ^ The tool allows the user to select and compare two or more groups, whole or part of the wafer image, including thickness, sheet resistance, total copper consumption, step /,

_、以及侵蝕。 门沒殊 係顯示比較工具之GUI,纟實例中可採用網路 瀏見為做為GUI。在此螢幕擷取中,使用者已選取整體晶 像。此舉之優點為,a前幾乎所有電腦皆:有 、,罔路瀏見裔,且可進行標準化橫跨兩種主要瀏覽器 Netscape 與微軟。 σ 別臨ίΐ L 示比較工具之GUI,&實例中可採用網路 f見益做為GUI。在此螢幕擷取中’使用者已選取整體晶 =:厚度長條圖像。此舉之優點為,目前幾乎所有電腦皆 g有網路瀏覽器,且可進行標準化橫跨兩種主要瀏 Itscape與微軟。 口 描述用以確認並校正微影光罩製造之方法,積 5路、(IC) i之區域會因薄膜厚度與表面拓撲平坦度之 於、及製造積體電路造成之電性影響而影響微影製 釭。根據預測或模型化之1(:製造之物理與電性特性,係起_, And erosion. The door is a GUI for displaying comparison tools. For example, you can use the web browser as the GUI. In this screenshot, the user has selected the overall image. The advantage of this is that almost all computers before a: yes, no, and can be standardized across two major browsers, Netscape and Microsoft. σ Do not show the GUI of the comparison tool. In the example, the network can be used as the GUI. In this screen shot ’, the user has selected the monolithic =: thickness bar image. The advantage of this is that almost all computers currently have a web browser and can be standardized across two major browsers, Itscape and Microsoft. The description is used to confirm and correct the lithographic mask manufacturing method. The area of 5 channels, (IC) i will be affected by the thickness of the film and the topological flatness of the surface, and the electrical effects caused by the fabrication of the integrated circuit. Filming 釭. According to prediction or modelling 1 (: physical and electrical characteristics of manufacturing,

1057-5667-PF(Nl).ptd 第126頁 200405184 五、發明說明(124) 因於預設電路佈局圖案間之附屬與用於製造積體電路製程 之特徵’以進一步預測此確認與校正步驟。 此方法可應用於(a )形成淺溝槽絕緣(ST I )結構之 高,度電漿(HDP )化學機械研磨(CMP )製程;(b )形 成單一或多層内連線結構之微影、高密度電漿(HDp )、 電鐘銅沈積^ (ECD )、以及化學機械研磨(CMP )製程; γ c )形成氧化與低介電層之製程與流程;(d )電漿蝕刻 製程與關鍵尺寸之量測;(e )微影製程包括前與後光阻 沈積與移除步驟’以及後續利用電漿蝕刻以物理性地時蝕 ’ 3 ί化之特徵;(f )沈積光阻與選取光阻材質;(g 以符合預期之關:rc:寸⑴對光罩尺寸進行校正計算 拓撲内連線平坦度(亦指厚度與表面 寬、線間距、盥圖案之特徵(如材質密度、線 度通常導致對ϋ1ϊ欲尺寸)有關。非平坦之表面與厚 附屬通常會造成此力與製程整合發生不良影響。圖案 = =㈡:層1經沈積、平坦 轉換至石夕使定義電路尺寸之“ 面或沈積於晶圓表面續之姓刻,於晶圓表 路之幾何圖案尺寸。此實例括成”。特徵尺寸係指電 j匕括.線寬、結構間距(如線 200405184 五、發明說明(125) 陣列中兩線之間距,或是 距)、電路之關鍵尺寸(Γη、乍電路與虛設結構間之緩衝間 之最小距離)、線鎧列夕皆)(亦即電路中任何幾何圖案 別幾何圖形或幾何圖形群f或其他重複性結構,以及個 值、最大值、以及平均值)即線陣列)上之量測(如最小 寸,如側壁角度、特徵高片;特徵尺寸包括垂直與其他尺 括機構裝置(如步進器(如溝槽深度)。微影設備包 案轉換工呈(如光罩j ,用以投射圖案影像至晶圓與圖 晶圓。餘刻設備包括可選擇=路圖案轉換至覆蓋光阻之 %圓表面或晶圓上之薄ί Ϊ地移除經微影設備圖案化之 胃 飞日日圓上之4臈之機械裝置。 弟41圖係顯示基本投 或雷射…㈣將紐⑴以二二用上“如燈管 線通過具呈現電路特徵圖案之光=14 :身’引導光 二-縮小透鏡,將影像對: ㈣㈣方程式將可呈現之最小特徵尺寸定義為根據 八中 又為曝光波長,而NA為光學 深紫外線中通當介;^ 徑。參數心在 •化之變::二!丄 間,為隨製程與系統而 性等影響。 表柱改善先源、以及光罩特 弟4 2圖係顯示如何自I [設計中形成微 =助系統(叫_將功能電路轉= 表物理元件之電子式佈局設計槽案。此設計;代1057-5667-PF (Nl) .ptd Page 126 200405184 V. Description of the Invention (124) Due to the attachment between the preset circuit layout patterns and the characteristics of the integrated circuit manufacturing process' to further predict this confirmation and correction step . This method can be applied to (a) the formation of a shallow trench insulation (ST I) structure with a high degree of plasma (HDP) chemical mechanical polishing (CMP) process; (b) the formation of single or multi-layer interconnect structure lithography, High-density plasma (HDp), electro-clock copper deposition (ECD), and chemical mechanical polishing (CMP) processes; γ c) processes and processes for forming oxidation and low dielectric layers; (d) plasma etching process and key Measurement of dimensions; (e) Lithography process including front and back photoresist deposition and removal steps 'and subsequent use of plasma etching to physically etch back' 3; features; (f) deposition photoresist and selection Photoresist material; (g is in line with expectations: rc: inch⑴ Correct the size of the mask to calculate the flatness of the topological interconnection (also refers to the characteristics of thickness and surface width, line spacing, and toilet pattern (such as material density, line The degree usually leads to the desired size of ϋ1). Non-flat surfaces and thick attachments usually cause this force and process integration to have an adverse effect. Pattern = = ㈡: Layer 1 is deposited and flattened to Shi Xi to define the circuit size " Surface or deposited on the surface of the wafer The size of the geometric pattern of the wafer surface. This example is included. The characteristic size refers to the electrical dimensions. Line width, structure spacing (such as line 200405184 V. Invention description (125) The distance between two lines in the array, or the distance between them) ), The critical dimensions of the circuit (Γη, the minimum distance between the buffer between the circuit and the dummy structure), the line armour and the column) (that is, any geometric pattern in the circuit, the geometric figure or geometric group f or other repetitive structure , As well as individual values, maximum values, and average values, that is, line arrays) (such as the smallest inch, such as the angle of the side wall, the feature height piece; the feature size includes vertical and other ruler mechanisms such as steppers (such as Trench depth). Lithography equipment package conversion process (such as mask j, used to project the pattern image to the wafer and figure wafer. The remaining equipment includes optional = path pattern conversion to cover the% round surface of the photoresist Or the thin film on the wafer is used to remove the 4 装置 mechanical device on the stomach that is patterned by the lithographic equipment on the Japanese yen. The figure 41 shows the basic shot or laser ... "If the light pipe passes through the circuit Light of pattern sign = 14: body's guiding light II-reduction lens, pairing the image: ㈣㈣The equation defines the smallest feature size that can be presented as the exposure wavelength according to Bazhong, and NA is the medium of optical deep ultraviolet; ^ The change of the parameter is in the heart of the change :: Two! In between, it depends on the process and the system, etc. The source of the improvement of the column and the special mask of the mask 4 2 shows how to form a micrometer from the design = Assist system (called _turn the functional circuit = = electronic layout design slot for physical components. This design;

200405184 、發明說明026) 層,如電晶體層,描述裝詈卷 ^ + ^ 層,其可將信號於電晶— :至較高層’如内連線 /iL ^ m , 日曰體間傳达,並供應電源至晶片之各 ^=電子没計檔案於所謂下單(tape-out )時期 產f製造光罩1 2 03 7之規袼。接著製造光罩,12〇38,並利 用礒影工具將電路特徵轉換至晶圓上,12〇39。 許多投影系統利用步進_重複機制對晶圓之次級區域 ,晶方進:曝光,亦所謂光學區域,接著重複此製程直到 完成整片晶圓之映像。可控制步進器適應晶圓層級如扭曲 或曾曲之變異。通常需調整適應之變異發生於晶方至晶方 響’而非發生於每-晶方上。為確定投射成像之電路位於 光學裝置之焦距深度(D0F)之内’步進器可依據形成與 晶圓表面上之測試鍵(t e s t k e y )或對齊標記 (alignment mark )調整光學裝置之對焦;度,以 感層或光阻層之厚度變異。通常位於光阻下之 ^ 化會引發變異。 、手沒复 第43圖所示為步進器可計算晶方對晶方之變異,但並 不足以改善1C圖案附屬所造成於晶方内之變異。第ο ” 示之縮小透鏡12018顯示於第43圖之晶方表面上方。上圖所 g影系統使對焦長度1 20 24符合測試鍵或對齊標纪。δ周整 ,得之距離。對焦深度1 2028決定光軸上何種;徵二所 』解析度Mfs下產生。根據Ray 1 eigh方程式,對 可表示為: 對焦味度以將200405184, invention description 026) layer, such as the transistor layer, describes the decoration volume ^ + ^ layer, which can transmit the signal to the transistor-: to the higher layer 'such as the interconnect / iL ^ m, day to day communication , And supply power to each of the chip ^ = electronic count files in the so-called order-out (tape-out) period to produce f 1 12 03 7 rules. Next, a photomask, 1238, was fabricated, and the circuit features were transferred to the wafer using a shadow tool, 1239. Many projection systems use a step-and-repeat mechanism for the secondary area of the wafer, the crystal advances: exposure, also known as the optical area, and then repeats this process until the entire wafer is imaged. The stepper can be controlled to adapt to wafer-level variations such as distortion or zigzag. Usually, the variation that needs to be adjusted occurs from the crystal to the crystal effect 'rather than to the per-crystal. In order to determine that the projection imaging circuit is located within the focal depth (D0F) of the optical device, the stepper can adjust the focus of the optical device according to the formation of test keys or alignment marks on the surface of the wafer; degrees, Variations in thickness of the sensing layer or photoresist layer. Variation usually occurs under photoresist. Figure 43 shows that the stepper can calculate the crystal-to-crystal variation, but it is not enough to improve the variation in the crystal caused by the 1C pattern attachment. The reduction lens 12018 shown above is shown above the crystal surface of Figure 43. The shadowing system shown in the figure above makes the focus length 1 20 24 conform to the test key or alignment standard. Δ round, the distance obtained. Focus depth 1 2028 determines what kind of optical axis; Zheng Ersuo ’s resolution is generated under Mfs. According to the Ray 1 eigh equation, the pair can be expressed as:

As = 土钇2 λAs = earth yttrium 2 λ

1057-5667-PF(Nl).ptd 200405184 五、發明說明(127)1057-5667-PF (Nl) .ptd 200405184 V. Description of the invention (127)

為ί光波長,而NA為光學裝置之直徑。參數K ^在料外線或DUV影像系統中約^ )係 ^ 關特徵改變之等比例夂赵。v f 豕表矛壬相 或採用氧化層或銅之㈣時匕,當採用ECD沈積鋼材質Is the light wavelength, and NA is the diameter of the optical device. The parameter K ^ is about ^) in the outer line or DUV image system, which is the same proportion of the change of the characteristic 夂 Zhao. v f 豕 surface spear, or when using oxide or copper dagger, when using ECD deposition steel

生晶方内變異,12030。假若晶片層級I 焦深度,則映射之特徵12〇32可能無法正確地 化之職計之關鍵尺寸,形成誤差,並成 3於:圓上,對裝置之效能造成負面之影響。如下所述, f调正光罩設計以得成像找尺寸較適合設計之尺寸达 下列幾個圖示將描述製程相關丨c圖案附屬之成成因與 結果。 微影製程會在整個半導體製造中於每一層重複施行。 此處所描述之技術範疇對形成連接裝置元件之金屬線(内 連線)之溝槽製程特別有幫助。多層間之連結Variation within the crystal, 12030. If the wafer-level I focal depth, the mapped feature 1203 may not be able to correctly correct the key dimensions of the job plan, forming an error, and forming 3 on the circle, which will have a negative impact on the performance of the device. As described below, f-adjust the mask design to obtain the imaging size that is more suitable for the design. The following diagrams will describe the causes and results of the process-related c pattern attached. The lithography process is repeated at each layer throughout the semiconductor manufacturing process. The technical scope described here is particularly helpful in the trench forming process for forming metal wires (interconnectors) connecting device components. Connection between multiple floors

置元件間傳送信號與電源。 π &I 第44圖係顯示内連線層之溝槽製程流程。此製程係開 始於其内連線層(Ν—1層)之後CMP平坦化表面1 204 0。沈 2介電材質(如氧化或低介電材質),42,以絕緣前與目 内連線Ν-1與Ν。(此内連線係稱為層間介電質或ILD ^。雖然圖案附屬因下層特徵而需於ILD上進行CMp平坦化 步驟’但該步驟為選擇性且未示於本實例中)。沈積光感 層、(如光阻層)於丨!^晶圓表面1 2044。微影系統映射此晶 ,並採用第41圖所示之製程定義出目前内連線層之電路特 欲”、、員心器係選擇性地移除光阻1 2 0 4 8。利用電漿蚀刻選Place signals and power between components. π & I Figure 44 shows the trench process flow of the interconnect layer. The process starts with the CMP planarization surface 1 204 0 after its interconnect layer (N-1 layer). Shen 2 Dielectric material (such as oxidized or low-dielectric material), 42, to connect N-1 and N before insulation. (The interconnects are called interlayer dielectrics or ILD ^. Although the pattern is attached to the ILD by the CMP flattening step 'because of the underlying features, this step is optional and not shown in this example). A photosensitive layer (such as a photoresist layer) is deposited on the wafer surface 1 2044. The lithography system maps this crystal and uses the process shown in Figure 41 to define the current circuit characteristics of the current interconnect layer ", and the heart organ selectively removes the photoresist 1 2 0 4 8. Using plasma Etching selection

1057-5667-PF(Nl).Ptd 第130頁 2004051841057-5667-PF (Nl) .Ptd Page 130 200405184

擇丨生,移除氧化區域12〇50,接著移除殘餘光阻12〇52。沈 積阻障層1 20 54並以ECD沈積金屬,如銅1 2056。利用CMP研 磨移除選擇性的銅區域以及阻障材質12〇58。此時完成第N 層金屬=連線之形成。通常圖案相關非平坦度會自下層轉 換至覆蓋之内連線層,係導因於微影時丨LI)與光阻厚度之 變異。Alternatively, remove the oxidized region 1250 and then remove the residual photoresist 1250. A barrier layer 1 20 54 is deposited and a metal such as copper 1 2056 is deposited in ECD. CMP grinding was used to remove the selective copper area and the barrier material 1258. At this point, the formation of the Nth layer of metal = connection is completed. Usually, the pattern-related unevenness will be transferred from the lower layer to the covered interconnect layer, which is caused by the variation of LI) and photoresist thickness during lithography.

如第45圖所示,電鍍銅沈積(ECD )為形成銅溝槽製 程之步驟’用以沈積銅金屬於内連線結構中。此目的係欲 以無孔洞方法完整地填入經蝕刻之溝槽區域,可將沈積銅 #厚度與表面拓撲最小化。ECD中存在之圖案附屬係導因 於平坦表面之變異。如第45圖顯示,發生在窄線寬 '積銅厚度Tnar^。# 12070與發生在寬線寬12082之沈積銅厚 度丁“心1 2086間存在厚度Tdifference之差異。 、 化學機械研磨(CMP )製程中薄膜厚度之變異可區分 為幾類成分:批對批、晶圓對晶圓、以及晶方對晶方。一 般而言,較顯著之成分為圖案複數晶方層級。晶方層級』 度變異經常是因為晶片上佈局圖案之不同而產生。例如 在CMP製程中,下層金屬圖案互異時,即使達到區域性之As shown in FIG. 45, the electroplated copper deposition (ECD) is a step of a copper trench forming process' for depositing copper metal in the interconnect structure. This purpose is to completely fill the etched trench area by a hole-free method, which can minimize the thickness and surface topology of the deposited copper #. The pattern attachments present in the ECD are due to variations in flat surfaces. As shown in Figure 45, it occurs at a narrow line width 'Cunar thickness Tnar ^. There is a difference in thickness Tdifference between # 12070 and the deposited copper thickness Dingxin 2086 which occurred in the wide line width 12082. The variation in film thickness in the chemical mechanical polishing (CMP) process can be divided into several types of ingredients: batch-to-batch, crystal Circle-to-wafer and crystal-to-crystal. Generally speaking, the more significant component is the pattern complex crystal level. The crystal level variation is often caused by the different layout patterns on the wafer. For example, in the CMP process , When the underlying metal patterns are different, even if the regional

g坦化表面’仍會導致後CMP薄膜厚度發生大規模之變 、下列各圖即顯示發生於銅、氧化層、淺溝槽隔離 (ST I ) CMP之變異。 對氧化層之研磨而言,變異之主要來源為晶方内部圖 案密度變異1 2 1 〇 2,如第46A圖所示兩群金屬線為例。金屬 線1201 6位於第46A圖之左側,在積體電路之平面方向較右g Tanned surface 'will still cause large-scale changes in the thickness of the post-CMP film. The following figures show the variations that occur in copper, oxide, and shallow trench isolation (ST I) CMP. For the grinding of the oxide layer, the main source of the variation is the variation of the pattern density inside the crystal cube, as shown in Fig. 46A. The metal wire 1201 6 is located on the left side of FIG. 46A, and is more right in the plane direction of the integrated circuit

1057-5667-PF(Nl).ptd 第131頁 200405184 五、發明說明(129) 側之金屬線1 2 1 0 8具有低密度之組成。在此例中,圖案密 度定義為凸起氧化區域1 2 11 0之面積除以總面積之比率。 此區域面積可為某些長度,如平坦化長度之平方。此平坦 化長度通常由製程參數如研磨墊之型式、CMP工具、研磨 化學劑等所決定。1057-5667-PF (Nl) .ptd Page 131 200405184 V. Description of the invention The metal wire on the (129) side 1 2 1 0 8 has a low density composition. In this example, the pattern density is defined as the ratio of the area of the raised oxidized area 1 2 110 by the total area. The area of this area can be some length, such as the square of the flattened length. This flattening length is usually determined by process parameters such as the type of polishing pad, CMP tool, polishing chemistry, etc.

苐47A圖係顯示下層圖案密度如何影響薄膜厚度之變 異。第47B圖繪出對應每一密度型式之薄膜厚度變異。對 營平坦化長度所定義之正方區域而言12132,較高之下層 特徵密度導致較大之薄膜厚度變異丨2135。設計者通常需 餐|試維持密度約在50% 12133以提升平坦度。經過濾設計 佈局之密度後,針對晶方之每一區域計算有效圖案密度, 通常於區域附近採用互異兩尺寸之密度過濾器。第46A圖 顯不下層特徵12 106與12 108在區域表面拓撲(階梯高度) 12104與整體非平坦度121〇2所造成之變異。Figure 47A shows how the density of the underlying pattern affects the variation in film thickness. Figure 47B plots the variation in film thickness for each density pattern. For the square area defined by the flattening length, 12132, the higher the characteristic density of the lower layer leads to the larger film thickness variation 2135. The designer usually needs meals | try to maintain the density at about 50% 12133 to improve the flatness. After filtering the density of the design layout, the effective pattern density is calculated for each area of the crystal cube. Usually, two different density filters are used near the area. Figure 46A shows the variation caused by the topological features 12 106 and 12 108 on the surface of the area (step height) 12104 and the overall unevenness 12102.

在製造淺溝槽絕緣(STI )之結構時(如第46B圖所: ),於姓刻石夕12111之溝槽中沈積二氧化石夕,並利用cMp 坦?b電性絕緣裝置。當進行氧化層間介電層(il ”研 ^時’絕緣溝渠之下層圖案導致所沈積之二氧切產生$ ,直;為二之結果,如發生氮化石夕侵餘12H 1害Γ 章層可能裸露下方”而遭_ 了此^加寬溝槽並暴露出矽層1211〇而損宝 #When fabricating a shallow trench insulation (STI) structure (as shown in Figure 46B :), depositing dioxide in the trench with the name of carved stone 12111, and using cMp tank? b Electrical insulation device. When the interlayer dielectric layer (il) is being researched, the pattern of the lower layer of the insulation trench causes the deposited oxygen cut to produce $, which is the result of the two. If a nitride stone invades 12H, the layer may be damaged. "Under the Bare" and suffered this ^ widening the trench and exposing the silicon layer 1211〇 while the damage #

=陷將導2拓撲變異而影f後續微影製ns 2化 ,圖案密度為拓撲變異與其他CMP效應之重要特徵P= Trap will lead to 2 topological variation and subsequent lithography ns 2, pattern density is an important feature of topological variation and other CMP effects P

200405184 五、發明說明(130) 第46C圖係顯示在CMP製程中研磨嵌入金屬特徵(如 線12122與12126)至介電質(如二氧化石夕)1212〇之效'σ 應。就金屬研磨而言’圖案密度之計算得以特徵化整體曰 片之圖案附屬;然而,亦需決定其物理佈局效應,如線= 與線間距。兩類不需要之效應為導因於金屬溝槽製程之^ 陷與侵#。量測碟陷121 24做為金屬厚度於導線θ邊緣與中、 心之差°么:姓1 2 1 2 8係定義為金屬線上方氧化層厚度,通 常位於導線陣列中,與鄰近未圖案化區域之氧化層厚度之 差異。另一不需要之效應為殘餘銅丨2丨3 〇, 又 肩I之^電層(或上部區域)移除,並在完成研磨後仍殘存 於晶圓上。一般而言,製程工程師設定研磨時間使所有 存銅移除。對先移除銅之圖案化區域而言,仍會持續發生 碟陷與侵蝕’進而增加晶圓表面之非平坦度。第47圖 示密度與平坦度之間關係之另一實施例。 … 、、每一敘述之CMP製程接會導致表面不平坦,並對微影 造成不良之影響。此處所述之技術可應用至任何圖案複數 相關之製程,ECD與CMP為造成非平坦度問題之兩類製程。 雖然將使:此製程以說明本發明之方法,但此方法可適用 f圖案附屬相關之任何製程。 η ΐ4』!?示為圖案附屬對於微影製程所造成之影響。 符彳政寬度(FW)為任何標的之最小尺 等:且:i尺ί之佈局標&,如線形、長方形、多邊形 、(cd )為佈局中任何特徵之最小尺寸,亦200405184 V. Description of the Invention (130) Figure 46C shows the effect of grinding the embedded metal features (such as lines 12122 and 12126) to the dielectric (such as stone dioxide) 12120 in the CMP process. In terms of metal grinding, the calculation of the 'pattern density' characterizes the overall pattern attachment; however, the physical layout effects, such as line = and line spacing, also need to be determined. Two types of unwanted effects are caused by the pitting and invasion of the metal trench process. Measure dish depression 121 24 as the metal thickness at the difference between the edge of the wire θ and the center and center °: The last name 1 2 1 2 8 is defined as the thickness of the oxide layer above the metal wire, which is usually located in the wire array and is not patterned in the vicinity. The difference in the thickness of the oxide layer in the area. Another unwanted effect is the residual copper, 2, and 3, and the electrical layer (or upper area) of the shoulder I is removed and remains on the wafer after polishing. In general, the process engineer sets the grinding time to remove all copper deposits. For the patterned area where copper is removed first, dishing and erosion will continue to occur, thereby increasing the unevenness of the wafer surface. Figure 47 illustrates another embodiment of the relationship between density and flatness. …, The CMP process of each description will cause the surface to be uneven, and will adversely affect the lithography. The techniques described here can be applied to any pattern-related process. ECD and CMP are two types of processes that cause unevenness. Although this process will be used to illustrate the method of the present invention, this method can be applied to any process related to the f pattern. η ΐ4 』! ? Shown is the effect of pattern attachment on the lithography process. Fu Zhizheng's width (FW) is the minimum size of any target, etc .: and: the layout standard & of the ruler, such as line, rectangle, polygon, (cd) is the minimum size of any feature in the layout, also

200405184 五、發明說明(131) 即為最小之FW。 光罩121 84顯示具相同特徵寬度之兩特徵12 180與 1 2 1 8 2,用以映射至晶圓表面1 2 1 9 2。當執行微影時,因製 程相關圖案附屬(如第4 5、46、47圖所示)之晶方内部非 平坦度12192將導致兩映射導線寬度^ 12188與' 12190之 間具有薄膜厚度差異(△ h ) 1 2 1 8 6。在此例1 2 1 9 4中,映 射導線見度' 12190遠大於% 12188。雖然兩映射導線寬 度% 12188與' 12190具有相同之設計,並由相同尺寸之200405184 V. Invention Description (131) is the smallest FW. The mask 121 84 displays two features 12 180 and 1 2 1 8 2 with the same feature width, and is used to map to the wafer surface 1 2 1 9 2. When performing lithography, the internal unevenness of the crystal cube 12192 due to the process-related pattern attached (as shown in Figures 4, 5, 46, and 47) will result in a difference in film thickness between the two mapped wire widths ^ 12188 and '12190 ( △ h) 1 2 1 8 6. In this example 1 2 1 9 4, the mapped wire visibility '12190 is much greater than% 12188. Although the widths of the two mapped wires,% 12188 and '12190, have the same design and consist of

光罩所製造,表面層之非平坦度會導致顯著之尺寸差異, g而影響I C之效能。 ’、 圖案附屬相關之製程亦可應用於於微影本身,特徵 度通常會影響映射特徵重製設計之能力。在第49圖中: 罩12214具有兩組特徵:一為高密度1221〇而一為低密产 當晶片上之特徵彼此相當接近時(亦即增加特1 在又),何射(diffrecti0n)圖案及其變化會 尺寸發生異於設計之變異。即使在完全平垣晶 哥/ 12216,映射特徵尺寸(如線寬)(w + M )丨® + Δ2)12219亦可能發生變異1222〇。 ” 拓撲變異可能發生於晶片之所有元件上,# $The non-flatness of the surface layer produced by the photomask will cause significant dimensional differences, and g will affect the performance of the IC. The process related to the pattern attachment can also be applied to the lithography itself. The feature degree usually affects the ability of the mapping feature to reproduce the design. In Figure 49: The cover 12214 has two sets of characteristics: one is high density 1221 and the other is low density. When the features on the wafer are quite close to each other (that is, the special 1 is added), the diffrecti0n pattern And its changes will vary in size from design. Even in completely Hiragaki / 12216, the mapped feature size (such as line width) (w + M) ® + + Δ2) 12219 may vary 1222. Topology variation may occur on all components of the chip, # $

%預測整體晶片。在某些實例中係將重點^特徵 電路:單元次級網路上。整體晶片預測 』 網路中任何拓撲變異之情形。 匕括關鍵次 1C圖案附屬關係可做為驗證微 與設計相符,若否’則調整設計佈局與% Predict the overall wafer. In some examples, the emphasis will be on the characteristic circuit: on the unit's secondary network. Overall Chip Prediction ”Any topology variation in the network. The critical relationship of the 1C pattern can be used to verify that the micro is consistent with the design. If not, then adjust the design layout and

200405184 五、發明說明(132) 徵。’影模型可與蝕刻模型相結合以預測 理特彳政尺寸。電性擷取盥掇 物 之特徵(如見度、深度、側壁角度) 乃 響,並對特定誤差進行微調。 、所以成之電性影 次區Γ:广2二係明之一方法實施例。第5。A圖之 人 L· 鬼(12310、12400、12600w 一船ΤΓ〇+次上, 1 2 80〇 )將於以下詳述。 ,-/ & =计貝料以電子式如圖形資料流(GDS )格式 = 280 Λ Λ料庫中定義積體電路中每-層之位置與結 _、,雖μ奴製程改變之相關特徵可更有效率的被描 赵旦^類檔案卻相當龐大。佈局擷取1231〇之流程將利 4 =多數,如特徵寬度、特徵空間、以及密度總結1 C設 網格(次級部分)。佈局擷取並非必I,但有助 於计异貝料之限制。下節將詳述如何執行佈局擷取。 1 9 9 7 Π在預測兀素(Pr ) 1 2 3 0 0中,設計之佈局特徵係對應 1^10至晶圓拓撲之參數(Δ1ι) 58〇,如薄膜厚度、碟200405184 V. Description of the invention (132). The shadow model can be combined with the etch model to predict the size of the litter size. The characteristics of the toilet (such as visibility, depth, and side wall angle) are captured electrically, and specific errors are fine-tuned. Therefore, the electric shadow region Γ is one of the method embodiments of the Guang 2 Er Ming. number 5. The man in Figure A, L. Ghost (12310, 12400, 12600w a boat TΓ〇 + times, 1 2 80) will be detailed below. ,-/ & = Counting materials in electronic format such as graphic data stream (GDS) format = 280 Λ Λ Defines the position and junction of each-layer in the integrated circuit, although the relevant characteristics of the μ slave process change But the more efficient archives of Zhao Dan's paintings are quite large. The layout extraction 1231〇 process will benefit 4 = majority, such as feature width, feature space, and density summary 1 C set grid (secondary part). Layout capture is not necessary, but it helps to limit the number of different materials. The next section details how to perform layout capture. 1 9 9 7 Π In the prediction element (Pr) 1 2 3 0 0, the layout characteristics of the design correspond to the parameters from 1 ^ 10 to the wafer topology (Δ1ι) 58, such as film thickness, dish

、k蝕、以及銅總體流失。製程模型(模型)可 1用此資訊或一組製程模型Mp (如ECD與多步驟iCMp製 或更複雜之製程流程)144〇〇,當佈局特徵所表現之 热2在模型化製程被製造時,預測或模擬製程結果與對應 月匕I生之變異。最後製造元件之變異可被物理性地量測 ,得’如對薄膜厚度或晶圓表面輪廓利用光學量測進行測 ΐ以決定實際拓撲資料(如碟陷、或階梯高度以及侵蝕或 陣列两度)。計算整體晶片之晶片層級之表面拓撲以及與 比車父預期規格相關之電性參數丨2 5 8 0,同時於晶方與多重 mu l〇57-5667-PF(Nl).ptd 第135頁, K-etch, and overall loss of copper. The process model (model) can use this information or a set of process models Mp (such as ECD and multi-step iCMp manufacturing or more complex process flow) 1440. When the heat expressed by the layout features 2 is manufactured in the modeling process , Predicting or simulating the process results and the corresponding variations of the moon. The variation of the final manufactured component can be physically measured, such as' using optical measurements on the film thickness or wafer surface profile to determine the actual topological data (such as dishing, or step height, and erosion or array twice ). Calculate the surface topology of the wafer level of the overall wafer and the electrical parameters related to the expected specifications of the car parent 丨 2 5 8 0, at the same time on the cube and multiple mu l 057-5667-PF (Nl) .ptd page 135

五、發明說明(133) 晶方中進行。 將預測之晶片屑 U600,將晶圓表面拓撲12580輸入微影模型化圪步驟 之映射特徵尺寸之變異1 2580對應至特定微影工具 格與設備以最小化鞋2680。此對應步驟可利用工具規 據表面拓撲(如第88^寸(M4與對焦深度(Df),根 光學近似校正工| I )计算特徵尺寸變異,並利用 (如第89圖所示;、計算: = 本)根據特徵密度 第76A與76β以及第f ^ \/尺寸、艾異。另一方法則是利用 進行微影製程或流程:晶圓線多異 一種選擇係可將模型 且還包括前與後光阻沈積盥以=微影製程步驟, 假若實際次裡='////=之/影製程流程 杈型提供之圖案化特徵選擇之一。、17做為微影 钮刻模型,其中提供如侧壁角度與溝^ 案附屬 尺寸。此步驟終結預測元素匕123〇〇。邠荨額外之特徵 _ 將此預測尺寸變異1 268 0與欲犋胜料p丄 •750輸入驗證與校正元素8〇〇中、'、規袼與誤差 ;失真之特徵。此元素亦可…J;;;二U = 中被確認特徵之尺寸,以完成整體晶片欲成 因此舉係為1C設計做調整’因此需重新置 入結構,並產生新的佈局。 A U周整虛狄填 200405184V. Description of the invention (133) Performed in crystal. The predicted chip chip U600 is input to the wafer surface topology 12580 into the lithography model. The mapping feature size variation 1 2580 corresponds to a specific lithography tool grid and equipment to minimize shoes 2680. This corresponding step can be used to calculate the feature size variation based on the tool's surface topology (such as the 88th inch (M4 and depth of focus (Df), root optical approximation correction | I), and use (as shown in Figure 89; : = This) According to the characteristic density of 76A and 76β and f ^ \ / size, Ai Yi. Another method is to use the lithography process or process: there are more choices for the wafer line. After the photoresist deposition, the photolithography process steps are used. If the actual time is equal to '//// = 之 / photographic process flow, one of the patterning feature options provided., 17 as the photolithography button model, where Provide additional dimensions such as sidewall angles and grooves. This step terminates the prediction element d 1230. Additional features of 邠 _ Variation of this predicted size 1 268 0 and the desired material p 丄 750 input verification and correction elements The characteristics of distortion, this element can also be ... J ;;; two U = the size of the confirmed feature in the U = to complete the overall chip, so the system is adjusted for the 1C design. Therefore, the structure needs to be re-inserted and a new layout generated. 200 405 184

虛 經由加 為金屬 案密度 移除部 他參數 間將同 於導線 現存佈 設填入 入結構 量除以 。相反 分金屬 ,如線 時改變 中將改 局將改 爲改進積體電路中 或移除現有結構的 已知總面積,故加 地,加入氧化虛設 線’將降低圖案密 見與線間距。假若 兩線之間距。同樣 變有效線寬。利用 變如圖案密度、線 薄膜厚 方法達 入金屬 填入( 度。加 虛設金 地,假 加入虛 寬、與 成。因密 虛設填入 亦即加入 入填入亦 屬置於兩 若氧化虛 設填入的 線間距等 K定義 將增加_ 狹縫)而 可改變其 平行線之 設填入I 方法調整 物理參The density is removed by adding the metal case density, removing other parameters, and the same as the existing layout of the wire. On the other hand, if the line is changed, the general will be changed to improve the integrated circuit or remove the known total area of the existing structure. Therefore, the addition of oxidized dummy lines ’will reduce the pattern visibility and line spacing. If the distance between the two lines. Also change the effective line width. Use methods such as pattern density and line film thickness to achieve metal filling (degrees. Add a dummy gold ground, and add false widths and finishes. Because of the dense dummy filling, that is, adding the filling, it is also placed in the two oxidizing dummy filling. The definition of K such as the line spacing will be increased by _ slit) and the setting of its parallel lines can be changed. Fill in I method to adjust the physical parameters.

y接著,將新佈局輸入預測元素以確定新設計不僅符人 微影相關特徵尺寸條件,亦符合設計與電性規則鱼規林σ 此操作將反覆執行直到符合所有條件為止。 〃。。y Next, enter the new layout into the predictive elements to determine that the new design not only meets the lithography-related feature size conditions, but also meets the design and electrical rules. The rule will be repeated until all conditions are met. Alas. .

第50Α圖顯示設計驗證與光罩校正之較佳流程。第5 p 50C圖係別顯示更詳細之流程。設計驗證之動機係為預 ’則2徵寬度與拓撲變異,並以電子式模擬確認已知設計是 否符合設計規範。為此需調整設計以反應每一内連線層之 特徵尺寸。如第10Β圖所示,第一部先產生一内連線層之 g局(如第Ν層)。利用整體晶片設計、電路設計之關鍵 區域、或佈局之擷取以預測微影製程(以及如電漿蝕 刻等)造成之特徵寬度變異1 222 2。此舉與第10A圖所示之 預测元素3 0 0相類似。儲存此原始設計丨2 2 2 3以供未來使 用’假若此設計通過驗證,將可利用原始設計製造光罩。 修改一暫時設計播案以反應因微影(以及如電漿蝕刻等)Figure 50A shows the preferred process for design verification and mask correction. Figure 5 p 50C shows a more detailed process. The motivation for design verification is to predict the two-sign width and topological variation, and confirm whether the known design meets the design specifications by electronic simulation. To this end, the design needs to be adjusted to reflect the characteristic size of each interconnect layer. As shown in Figure 10B, the first part first generates an g-board of the interconnect layer (such as layer N). Use the capture of the overall chip design, the key area of the circuit design, or the layout to predict the feature width variation caused by the lithography process (and such as plasma etching etc.) 1 222 2. This is similar to the prediction element 3 0 0 shown in Figure 10A. Save this original design 丨 2 2 2 3 for future use ’If the design is verified, the original design can be used to make a photomask. Revise a temporary design broadcast to reflect lithography (and plasma etching etc.)

第137頁 200405184Page 137 200405184

造成之特徵寬度變異。特徵寬度變異之電性影響評估可 用電阻-電容(RC )擷取進行整體晶片之關鍵電路模擬或^ 其他電性模擬1 2 22 5。此舉可檢驗因内連線寬度變異所造 成之問題,如耦合電容、雜訊、時序等。依據元件之1 ,驗物理特徵(如銅總損耗量、碟陷、侵蝕)以及電性牲 徵(如薄膜電阻變異、時序終結、信號整合、電源網袼: 以及整體效能)丨2 226。確認步驟對此結果進行加權處 理,或通過或駁回此設計層級。假若通過此設計,則利用 原始,案製造光罩1 2228。若駁回或拒絕通過此設計,則 特彳玫寬度與拓撲變異結果提供給設計者,或輸入一設計 或光罩校正元素1 2 229,如前述之光罩校正方法。設計驗 證與光罩校正之方法如第e節之内容所述。Variation in feature width. The electrical impact assessment of the characteristic width variation can be performed by using resistor-capacitor (RC) capture to perform key circuit simulation of the overall chip or other electrical simulations 1 2 22 5. This can test for problems caused by variations in interconnect width, such as coupling capacitance, noise, timing, etc. According to component 1, check physical characteristics (such as total copper loss, dishing, erosion) and electrical characteristics (such as thin-film resistor variation, timing termination, signal integration, power grid, and overall performance) 2 226. A validation step weights this result or passes or rejects this design level. If this design is adopted, the original mask 1 2228 will be manufactured. If the design is rejected or rejected, the results of the special width and topological variation are provided to the designer, or a design or mask correction element 1 2 229 is entered, as in the aforementioned mask correction method. The methods of design verification and reticle correction are described in Section e.

第50C圖係顯示光罩校正技術,可與電子設計自動化 (EDA )工具(如第94與95圖所示)互相整合,或單獨使 用(如第96圖所示)。首先產生内連線層(如第1^層)之 佈局1 2 23 1。一般而言利用EDA工具產生佈局以配置電路元 件與内連線層之迴路佈線。通常加入虛設填入以改善平坦 度。虛,填入可於此階段執行,或當計算因圖案配^而產 拓撲變異時,於預測步驟中執行1 2235。接著下一步驟 2 23為物理性驗證此設計以確認符合所有設計規則與製 造^格(如晶圓代工廠制訂)之參數。物理性驗證通常為 一般EDA工具流程之一部份,包括步驟1 223 ι、丨223 2、 1 2233以及電性模擬1 2234。當光學近似模擬(〇pC )完 成,其為物理性驗證之一部份,進一步調整特徵以補償次Figure 50C shows reticle correction technology that can be integrated with electronic design automation (EDA) tools (shown in Figures 94 and 95) or used separately (shown in Figure 96). First, the layout of the interconnect layer (such as layer 1 ^) 1 2 23 1 is generated. Generally speaking, EDA tools are used to generate layouts to configure the circuit elements and the interconnect routing layers. Dummy filling is usually added to improve flatness. False, filling can be performed at this stage, or when calculating the topological variation due to pattern matching, execute 1 2235 in the prediction step. The next step 2 23 is to physically verify the design to confirm that it meets all design rules and manufacturing parameters (eg, foundry parameters). Physical verification is usually part of the general EDA tool process, including steps 1 223 ι, 223 2, 1 2233, and electrical simulation 1 2234. When the optical approximation simulation (〇pC) is completed, it is part of the physical verification, and the features are further adjusted to compensate

第138頁 200405184Page 138 200405184

級波長之失真誤差。然而此處建議此元素可於在任何設計 流程中形成互動,且此0PC方法可於步驟1 2235取代之。若 同時採用兩方法’則在適當評估微影之拓撲圖形效應前調 整製造光罩之設計。下一建議步驟為電性模擬,用以驗證 設計之特徵寬度符合電性規格1 2 234。接著將整體晶片設 片-人級電路網路、或没計佈局之擷取輸入特徵寬度預測 元素,以特徵化微影製程(以及如電漿蝕刻等)中圖案附 屬之影響1 22 35。此與第50A圖中預測元素丨23 00相類似、。 光學近似校正(0PC ) 1 2 236可於第62A圖之1 264 0中所示之 %驟中執行,或如12236中所示採用現有之商業工具。下 一步驟為校正1 2 2 3 7,修正設計使光罩特徵得以補償寬度 隻異。在此建議需協調以此類元素(12235與12236 )對設 計檔案所進行之任何修改丨2 2 3 7。每一内連線層需重複此 類步驟12230直到最高層之内連線層為止。當完成用於每 一内連線層之光罩設計之設計檔案時,送出電子檔以製造 光罩。維持個別的設計檔案係為非常重要課題。被修正以 補償線寬變異之設計檔案僅做為光罩製作之用。若經適當 地修正,光罩之特徵尺寸將非常接近原始設計檔案之内 j °如此即可進一步地使用原始設計檔案進行模擬與分 1 ’此尺寸將於製造電路時正確地重複操作。 苐5 1與5 2圖係顯示如何運用溝槽製程流程之兩實例, 为別標示為模式A與B。溝槽製程流程為很好的實例,因非 平坦狀態將由第一層擴散至第二層,一直至最後第N層為 止’以下各圖將顯示重複此方法之流程。為簡化製程流程Order wavelength distortion error. However, it is suggested here that this element can form an interaction in any design process, and this OPC method can be replaced in step 1 2235. If both methods are used at the same time, the design of the reticle is adjusted before the topographic pattern effect of the lithography is properly evaluated. The next recommended step is electrical simulation to verify that the feature width of the design meets electrical specifications 1 2 234. Then the whole chip is designed-human-level circuit network, or the input feature width prediction element without layout is used to characterize the impact of pattern attachment in the lithography process (and plasma etching etc.) 1 22 35. This is similar to the prediction element 23 00 in Fig. 50A. The optical approximation correction (0PC) 1 2 236 can be performed in% steps shown in 1 264 0 in Figure 62A, or an existing commercial tool can be used as shown in 12236. The next step is to correct 1 2 2 3 7 and modify the design so that the mask features can compensate for the width. It is recommended to coordinate any changes made to the design files with these elements (12235 and 12236) 2 2 3 7. This step 12230 is repeated for each interconnect layer until the highest interconnect layer. When the design file for the mask design for each interconnect layer is completed, an electronic file is sent to make the mask. Maintaining individual design files is a very important issue. Design files that have been modified to compensate for line width variations are only used for mask making. If properly corrected, the characteristic size of the mask will be very close to the original design file. This allows further simulation and analysis using the original design file. 1 'This size will be repeated correctly when the circuit is manufactured.苐 5 1 and 5 2 are two examples of how to use the trench manufacturing process. They are marked as modes A and B respectively. The trench process flow is a good example, because the non-flat state will diffuse from the first layer to the second layer, all the way to the last Nth layer. 'The following figures will show the process of repeating this method. To simplify the process

五、發明說明(137) 後狀:m:i二著影響晶圓拓撲圖形之處理晶圓前 層二:m成上位型式之溝槽流程,「内連線 或钱刻步驟以形成此:;未顯不任何額外氧化沈積 雙=或其他溝槽製程。此外,第51與52圖所示 且經個另":=刻並未包含在微影製程模組1 260 0中’ 成特。假若採用此觀點預測韻刻或物理性形 微与制、而;比較步驟1 224 6或修改步驟1 2260之前於 =办衣輊'机程元素1 2600中採用蝕刻模型1 225〇。 作虚$ ΐ式入,中,兩方法間存在差異,此設計係於光罩製 :种之雨予以修正以產生欲得之尺寸,所以原始設計 _ ° 0反映出實際映射電路之尺寸(假若採用光罩校正 _ p i原始°又计特徵)。原始設計之佈局擷取仍反映出此 姨ίη ,徵尺彳,或可相當充分地假設設計之寬度係用於後 續ECO製程步驟。 在杈式β中,此設計被修正以反映出因微影而造成寬 ς變異之影響。每一層之特徵尺寸變異會在後續具圖案附 之步驟反映出來。如此可調整設計檔案,執行其他佈局 取而變異將延遞至下一層内連線層以檢驗多層效應。 模式A應用於光罩校正中以降低最小特徵尺寸之變 ,。模式B可用以特徵化微影製程影響。此舉亦可用於決 疋特彳政變化影響之量測計畫—或可存在於製造裝置流程 中’其中已製造出光罩且應用於產品中。因此,整體晶片 特彳政尺寸變異可視為後續製程影響,此設計可適當地修正 200405184 五、發明說明(138) 以產生新式佈局擷取,並運用於後續製程預測。假若檢驗 微影變異之所有物理與電性影響,特徵尺寸之變化需在模 擬前調整修正(如利用RC擷取器或EDA工具)。此舉可使、 微影變異之電性影響得以特徵化。 第5 1圖係描述在模式A中,於蝕刻預測之後,調整修 正此設計以降低最小特徵尺寸變異。請注意後續各節中/每 一步驟之敘述,以下各敘述將引導第5〇圖中各類元素之流 程與操作。 ”1 先在内連線層1上進行樣本應用,由層丨至最終層N產 佈局,利用製程模型元素124〇1擷取佈局參數1 224〇,並 利用ILD製程模型1 2242預測整體晶片介電層厚度,於第5〇 圖中標示為Ah。利用微影模型元素6〇〇預測特徵尺 △ fw ^可選擇將特徵寬度變異導人電性模擬工具以特徵ς H 影響,並將特徵寬度變異之電性特徵傳送至驗證 1 “ 4 b 〇 =元:::246比對預測與規格,並確認出問題區 Ϊ = 進8修正此設計使得微影製程達到預期之 映射特徵目前符合(或足夠接近某可 蠕i : 參數1 2 240 ’除非特徵規格設定 ° ' 而新式的佈局。因以將微影變異最小 化,故可利用此項技術較開淤々从袖於对俽〜蔓吳敢小 為針對内連線層2產生微旦:f、设計規則。 步驟間所以製程步驟之下層拓'撲圖、开人必J頁描述出兩微影 晶圓拓撲△}),預測元素M ;、:二為計算層2之進人V. Description of the invention (137) After the state: m: i II affects the processing of the wafer topological pattern. The front layer of the wafer 2: m is a high-level trench flow. "Interconnection or money engraving steps to form this :; No additional oxidative deposition double or other trench processes are shown. In addition, Figures 51 and 52 are shown separately and are not included in the lithography process module 1 2600. If this point of view is used to predict the rhyme or physical shape and system, and the comparison step 1 224 6 or the modification step 1 2260, the etching model 1 2225 is used in == 轾 轾 'machine element 1 2600. As a virtual $ There are differences between the two methods. This design is based on the mask system: the rain of the species is modified to produce the desired size, so the original design _ ° 0 reflects the size of the actual mapping circuit (if a mask is used Correction _ pi original ° and counting features). The layout extraction of the original design still reflects this aunt, η, or the size of the design can be fairly assumed to be used in the subsequent ECO process steps. In the branch β, This design has been modified to reflect the effects of wide variation due to lithography The feature size variation of each layer will be reflected in the subsequent steps with patterns. In this way, the design file can be adjusted to perform other layouts and the variation will be passed to the next interconnecting layer to test the multilayer effect. Mode A is applied to light Mask correction to reduce the minimum feature size change. Mode B can be used to characterize the impact of lithographic processes. This can also be used to determine the measurement of the impact of special government changes—or it can exist in the manufacturing device process' The photomask has been manufactured and used in the product. Therefore, the overall wafer feature size variation can be considered as a subsequent process impact. This design can appropriately modify 200405184 V. Invention Description (138) to generate a new layout capture and apply it to Subsequent process prediction. If all physical and electrical effects of lithographic variation are examined, the change in feature size needs to be adjusted and corrected before the simulation (such as using an RC picker or EDA tool). This can make the electrical characteristics of lithographic variation The effect is characterized. Figure 51 depicts in Mode A, after etching prediction, the design is adjusted to reduce the minimum feature size variation. Please Note the description of each step in each subsequent section. The following descriptions will guide the processes and operations of various elements in Figure 50. "1 First perform sample applications on the interconnect layer 1, from layer 丨 to the final layer. For the N-product layout, the process model element 12401 is used to capture the layout parameters 1 2240, and the ILD process model 1 2242 is used to predict the overall wafer dielectric layer thickness, which is labeled Ah in Figure 50. Using the lithographic model element 600 to predict the feature scale △ fw ^ can optionally introduce the feature width variation into the electrical simulation tool to influence the feature ς H, and transfer the electrical feature of the feature width variation to the verification 1 "4 b 〇 = Yuan :: 246 Compare predictions and specifications, and confirm the problem area. Ϊ = 8 Correct this design so that the lithography process achieves the expected mapping characteristics currently meet (or close enough to a certain creep i: parameter 1 2 240 'unless characteristic Specification setting ° 'and a new layout. Because the lithography variation is minimized, this technology can be used to open up the micro-denier for the interconnecting layer 2 rather than suffocate ~ Man Wu Gan Xiao: f 、 Design rules. Therefore, the steps below the process steps are shown in the figure below. The first page describes the two lithographic wafer topologies △}), the predictive elements M;,: The second is the entry of the calculation layer 2.

Tp層2 1 2402需採用預測ILD拓撲圖Tp layer 2 1 2402 needs to use predictive ILD topology

200405184 五、發明說明(139) 案1 2242、蝕刻模型預測1 22 50、ECD模型預測拓撲、來目 内連線層1之CMP模型預測拓撲圖案1 2252、以及來自層2之 後續ILD拓撲圖案1 2256。在内連線層2微影時映射此^ 案,其中將層2之設計擷取1 2254並輸入至微影模型中。最 後’透過模型流程之前饋延遞抑制輸入拓撲圖形變異 1 2256,其伴隨層2擷取參數1 2254輸入微影模型以預測内 連線層2特徵變異1 260 0。 “ 此外,可選擇第51圖中之運用,將步驟126〇〇與1 2 250 中計算得之特徵寬度變異與步驟丨22 52中計算得之^撲變 醃,送至電性模擬中,特徵化内連線層丨之電性效能,、並 於每一層中重複此操作。 第52圖係描述模式B。模式β可決定晶片與晶圓層級之 圖案附屬對多重内連線層或整體晶片於微影製程時之影 響:在此方法中,微影製程流程形成之映射或蝕刻特‘ =與預期特徵尺寸不同,故在後續製程步驟中任何圖案= ί 映射或蝕刻之尺寸。因電路尺寸差異很大,此處 依^差異更新設計或擷取。當設計更新以反映J L时而執仃另一擷取並傳送至後續模型預測步驟。下列 疇:ϊί?細地描述每一步驟,此處將描述第50圖中元素 中Γί;作:第51與第52圖之關鍵差異在於在第52圖 ]99βη、仏尺寸變異之微影模型預測6 〇〇係用以修正佈局 十。冗你故可正確地表示實際映射於晶圓表面上之特徵尺 程+驟現存擷取或新式擷取1 22 62並導入後續蝕刻製 王^ 。可選擇利用蝕刻模型更新佈局,執行新擷200405184 V. Description of the Invention (139) Case 1 2242, Etching Model Prediction 1 22 50, ECD Model Prediction Topology, CMP Model Prediction Topology Pattern 1 2252 of Inner Link Layer 1, and subsequent ILD Topology Pattern 1 from Layer 2 2256. Map this solution when interconnecting layer 2 lithography, where the design of layer 2 is captured 1 2254 and input into the lithography model. Finally, through the model flow, feed-forward delay is used to suppress the input topological graph variation 1 2256, which is accompanied by layer 2 fetching parameters 1 2254 input to the lithography model to predict the characteristic variation 1 2 0 0 of the interconnect layer 2. "In addition, you can choose the application in Figure 51 to combine the feature width variation calculated in steps 12600 and 1 2 250 with the ^ flutter variation calculated in steps 丨 22 52 and send it to the electrical simulation. Transform the electrical performance of interconnect layers and repeat this operation in each layer. Figure 52 depicts mode B. Mode β determines the pattern attached to the wafer and wafer level to multiple interconnect layers or the overall wafer. Impact during the lithography process: In this method, the mapping or etching characteristics formed by the lithography process flow are different from the expected feature size, so any pattern in the subsequent process steps = the size of the mapping or etching. Because of the circuit size There is a big difference. Here we update the design or capture according to the difference. When the design is updated to reflect JL, another capture is performed and sent to the subsequent model prediction steps. The following domains: ϊ? Detailed description of each step, here The element in Figure 50 will be described. The key difference between Figure 51 and Figure 52 is that in Figure 52] 99βη, the lithography model prediction of 仏 size variation 6 is used to modify the layout. Correctly represent actual mapping Wherein the upper surface of the wafer scale process step + existing or new fetch fetch 12,262 and introduced into a subsequent etch king ^ Alternatively etching updated layout model, implementation of the new captures

200405184 五、發明說明(140) -------- =,並導入後續ECD步驟1 22 52。在模式B中可利用對 流程進行驗證操作,決定出量測與取樣計畫,進有^ 測心生特徵尺寸變異問題之區域。 里 :第50圖中可選擇加入電性擷取或模擬元素以預 被衫導致特徵尺寸變異而對電阻、電容、以及所有電性之 影響,其中微影製程流程包括蝕刻製程。亦可結合對後姨 ECD或CMP預測之特徵寬度與拓撲圖形變異,特徵化所有: 、線層之電性,並將此資訊提供至電性擷取或模擬工具。 為評估第51圖中之電性影響,步驟1 2600中計算得之 %徵寬度變異與後續製程步驟1 2252中計算得之拓撲變異 5达至電性模擬中,特徵化内連線層丨之電性效能,並於 每一層中重複此操作。 、 為評估第52圖中之電性影響,步驟126〇〇中計算得之 特徵寬度變異檢驗並傳送至驗證元素1 2246與1 2 25〇,浐 製程步驟1 2252中計算得之拓撲變異傳送至電性模擬中,、, 特徵化内連線層1之電性效能,並於每一層中重複此操 作0 ” 在最後確認時通過1C設計,利用製程模型與電性模型 •結合量測1C設計,並比對預期晶圓品質與電性對 1計規則規範1 2 8 0 0之預測。 乂 1 m 下列各節將描述另一實施例··第a節係描述利 產生製程。第b節描述擷取製程變異相關之佈局泉二 將龐大設計檔案轉換成可管理之特徵組合。雖不數、' 局擷取,但較佳的情況下仍需要。第。節描述使用而製執:與200405184 V. Description of the invention (140) -------- =, and import subsequent ECD steps 1 22 52. In mode B, the verification operation of the process can be used to determine the measurement and sampling plan, and enter the area where the problem of measuring the size variation of the heart is generated. Lane: In Figure 50, you can choose to add electrical capture or analog elements to pre-shirts to cause feature size variations that affect resistance, capacitance, and all electrical properties. The lithography process includes the etching process. It can also combine the feature width and topological graph variation predicted by the aunt ECD or CMP to characterize all:, the electrical properties of the line layer, and provide this information to the electrical capture or simulation tools. In order to evaluate the electrical impact in Figure 51, the% sign width variation calculated in step 1 2600 and the topological variation 5 calculated in subsequent process step 1 2252 are reached in the electrical simulation to characterize the interconnect layer. Electrical performance, and repeat this operation in each layer. In order to evaluate the electrical impact in Figure 52, the feature width variation calculated in step 12600 is tested and transmitted to the verification elements 1 2246 and 1 2 250. The topological variation calculated in step 1 2252 of the process is transmitted to In the electrical simulation, the electrical performance of interconnect layer 1 is characterized, and this operation is repeated in each layer. 0 ”Pass the 1C design at the time of final confirmation, and use the process model and electrical model to measure the 1C design. And compare the expected wafer quality and electrical prediction with 1 meter rule specification 1 2800. 乂 1 m The following sections will describe another embodiment. Section a describes the production process. Section b Describe the layout related to the variation of the extraction process. Transform the huge design file into a manageable feature combination. Although it is not a countless, 'station extraction, but it is still needed in a better situation. Section. Section describes the use and control: and

200405184 五、發明說明(141) 電子模型以特徵化圖案附屬與製程變 〜〜〜' 響。第d節描述晶圓拓撲 々對於日日片層級 因微影製程流程之預測#二匕=)電路特徵對: 比對整體晶片上預測與預期特徵尺寸值,=節描述 用以調整設言十特徵並產生新⑽設計檔案父正流程, 節描述製造並使用測試晶片以 〃 /成光罩。第f 附屬。第g節描述第b節至第f節之^二景=,矛呈之圖案 g節之方法與應用之結構與計算網:用二^ 之方法與系統。 使用者所操作 a · 產生佈届 根據此技術之使用(如第50B與5〇C圖所示),口 · 如第95圖所示之EDA設計流程,或是利用如第96圖可厂利用 EDA設計流程進行微影預測。 不之 在第51與52圖中,微影模型化可於佈局擷取元素之前 或之後執行。一般而言,佈局設計經由〇PC校正步驟以產~ 生後0PC佈局設計檔案。此0PC校正可根據規則或模型進 行’但在任一實例中修正佈局設計檔案,在通過微影製程 _光學裝置後,使實際映射於晶圓表面之線路幾乎與原始 1呈現的線路相同。在第6 9 C圖中,在預期特徵解析度下 執行驗證,且以佈局擷取取代特徵簡介。以此,在此例中 特徵化微影變異,並在特徵尺寸解析度下進行校正。 佈局擷取元素需於前0PC設計檔案上執行,估算0PC校 正任何可能發生之錯誤,或於後0PC設計檔案上執行,必200405184 V. Description of the invention (141) The electronic model is attached with a characteristic pattern and the process changes ~~~ 'ring. Section d describes the wafer topology. Prediction of the daily wafer level due to the lithographic process flow # 二 匕 =) Circuit feature comparison: Compare the predicted and expected feature size values on the overall wafer. The features and processes of creating a new design file are described in the following paragraphs. This section describes the fabrication and use of test wafers to create / form photomasks. Fth subsidiary. Section g describes the two scenes of sections b to f =, the pattern of the spear. Structure and calculation network of the method and application of section g: using the method and system of two ^. User operation a · Generate the cloth according to the use of this technology (as shown in Figures 50B and 50C), or · EDA design flow as shown in Figure 95, or use the factory as shown in Figure 96 The EDA design process performs lithographic prediction. No. In Figures 51 and 52, lithography modeling can be performed before or after capturing elements from the layout. Generally speaking, the layout design goes through the 0PC calibration procedure to produce ~ 0PC layout design files after birth. This 0PC correction can be performed according to rules or models. However, in any example, the layout design file is modified. After passing through the lithography process _ optical device, the lines actually mapped on the wafer surface are almost the same as the lines presented by the original 1. In Figure 6 C, verification is performed with the expected feature resolution, and feature extraction is replaced by layout extraction. With this, the lithographic variation is characterized in this example and corrected at the feature size resolution. The layout extraction elements need to be executed on the first 0PC design file. It is estimated that 0PC can correct any errors that may occur, or executed on the latter 0PC design file.

l〇57-5667-PF(Nl).ptd 第144頁 200405184 五、發明說明(142) 須移除0PC校正效應以呈現實際映射於晶圓表面之結果。 若於0 P C上利用微影模型元素並信賴其能力改變j) s設 計槽案’將可獲得設計成GDS之檔案,接著根據CMP之拓撲 變異修正,並亦可移至微影模組化/〇PC區塊之上。 換言之,假若將此技術整合至EDA工具中,在〇pc前即 可修正特徵寬度,故0PC工具可置入並調整改變成GDS檔案 (在其正常操作模式下)。此外,拓撲變異(△ h )可導 入0PC工具中,並同時調整表面變異與光學近似。上述所 皆為可選擇之選項,完全依據如何使用此技術以及是否 用EDC工具與〇pc元件。 Ο 第53A與53B圖係顯示兩種產生製程佈局(或電子設計 檔案)之方法。第53A圖描述用於設計流程形成之佈局之 才父正光罩方法,一般實例係採用EDA工具。佈局產生1 2 2 8 0 描述將功能性電路設計轉換成佈局之流程。IC設計一般表 示成佈局設計檔案(如圖形資料流格式,GdS ),擋案資 料庫中定義積體電路每一層之結構與位置。此流程開始於 光罩’其主要元件(電路區塊)係位於物理性晶片上 12282。接著執行配置與迴路繞線21284以決定每一單元或 j塊之位置’以及各元件間如何連接。執行虛設填入加入 T286以修正一層材質密度,以最小化電性影響。虛設填 入亦可稍後於特徵化拓撲變異之後執行,做為預測元素 1 2300之一部分。下一步驟1 2288為物理性驗證,檢驗此設 計以確認符合所有製造限定(如晶圓代工廠)之設計規 格與參數。〇57-5667-PF (Nl) .ptd Page 144 200405184 V. Description of the invention (142) The 0PC correction effect must be removed to present the result of actual mapping on the wafer surface. If you use the lithography model element on 0 PC and trust its ability to change j) s design slot, you will get a file designed as a GDS, and then amend it according to the topological variation of CMP, and you can also move to lithography module 〇 Above the PC block. In other words, if this technology is integrated into the EDA tool, the feature width can be corrected before 0pc, so the 0PC tool can be placed and adjusted to change to a GDS file (in its normal operating mode). In addition, the topological variation (△ h) can be imported into the 0PC tool, and the surface variation and optical approximation can be adjusted at the same time. All of the above are optional, depending on how to use this technology and whether to use EDC tools and 0pc components. Ο Figures 53A and 53B show two methods for generating a process layout (or electronic design file). Figure 53A depicts the masking method used in the layout of the design process. A general example is an EDA tool. Layout Generation 1 2 8 0 Describes the process of converting a functional circuit design into a layout. The IC design is generally expressed as a layout design file (such as a graphic data stream format, GdS), and the file structure database defines the structure and location of each layer of the integrated circuit. This process starts with the photomask 'whose main component (circuit block) is located on a physical wafer 12282. Then perform the configuration and loop winding 21284 to determine the position of each unit or j 'and how the components are connected. Perform a dummy fill and add T286 to correct a layer of material density to minimize electrical effects. The dummy filling can also be performed later after characterizing the topology mutation as part of the predictive element 1 2300. The next step 1 2288 is physical verification. Check the design to confirm that it meets the design specifications and parameters of all manufacturing constraints (eg foundry).

1057-5667-PF(Nl).ptd 第145頁 2004051841057-5667-PF (Nl) .ptd p. 145 200405184

五、發明說明(143) 一般而言,在物理驗證步驟之間或之後,此設計經由 光學近似校正(0PC ),利用根據特徵密度形成光罩以調 整設計檔案。在此所述之方法中,此步驟可於微影模組化 元素1 2 6 00中執行,製造之變異可視為特徵密度。 通常當執行電性擷取與模擬1 22 90以驗證$晶片時, 確認前步驟並加入虛設填入,以符合電性效能條θθ件/在此 所述之方法内容中,電性影響亦包括整體晶片之預測,包 括薄膜電阻、銅總消耗量、電容、驅動電流、以及時序終 結參數。V. Description of the Invention (143) Generally, during or after the physical verification step, the design is optically corrected (0PC), and a mask is formed according to the characteristic density to adjust the design file. In the method described here, this step can be performed in the lithographic modular element 1 2 6 00, and the variation produced can be regarded as the characteristic density. Usually when performing electrical capture and simulation 1 22 90 to verify the $ chip, confirm the previous steps and add dummy fills to comply with the electrical performance bar θθ pieces / In the method content described here, the electrical impact also includes The overall chip prediction includes sheet resistance, total copper consumption, capacitance, drive current, and timing termination parameters.

% 在佈局設計檔案格式中產生設計修正,並整合至資料 庫,。為獲得較小之電子檔案大小,可採用分級方法壓縮 此設計擋案之大小。當佈局完成時,可將此擋案輸入佈局 擷取兀素1 2 3 1 0中。此佈局擷取為特徵解析度或某部分如 關鍵網路之電路之實際整體晶片設計導入預測元素 12300 。 ” 第53Β圖之佈局產生流程包括一設計之產生與驗證。 與第53Α圖所述之元素相同,並沿用前述之圖案。但因次 序不同,故特徵寬度變異之物理與電性影響將直接導入設 •流程+。第53Β圖所示之流程與第m圖相似,此流程開 局,其主要元件(電路區塊)係位於物理性晶片 上1 2282。接著執行配置與迴路繞線以“彳以決定每一單元 或H之位置’以及各兀件間如何連接。執行虛設填入加 入1 228 6以修正-層材質密度,以最小化電性影響。虛設 填入亦可稍後於特徵化拓撲變異之後執行,做為預測元素% Generate design corrections in the layout design file format and integrate them into the database. In order to obtain a smaller electronic file size, a hierarchical method can be used to compress the size of this design file. When the layout is complete, you can enter this file into the layout capture element 1 2 3 1 0. This layout is extracted as the feature resolution or the actual overall chip design for a certain part of a circuit such as a critical network with a predictive element of 12300. The layout generation process of Figure 53B includes the generation and verification of a design. The elements described in Figure 53A are the same, and the aforementioned pattern is used. However, due to the different order, the physical and electrical effects of the feature width variation will be directly introduced. Let • Process +. The process shown in Figure 53B is similar to the process shown in Figure m. This process starts with the main components (circuit blocks) located on the physical chip 1 2282. Then perform the configuration and loop winding to “彳 以Decide on the location of each unit or H 'and how the components are connected. Perform a dummy fill and add 1 228 6 to correct the -layer material density to minimize electrical effects. Dummy filling can also be performed later after characterizing the topology mutation as a predictive element

200405184 五、發明說明(144) 1 23 00之一部分。下一步驟1 2288為物理性驗證,檢驗此設- 計以確認符合所有製造限定(如晶圓代工廠)之設計規格 與參數。 在此模式中,此處所描述之技術係與物理性驗證元素 共同運用,如後續第9 4與9 5圖所示,將物理性驗證直接嵌 入或整合至EDA工具中。在某些實例中係限制計算負載, 可執行佈局擷取(將於第b節詳述)。在其他實例中,實 際設計檔案或部分電路(如關鍵次級網路)可直接導入物 理性驗證1 22 88與預測元素1 2300。 % 此預測元素檢驗並特徵化特徵寬度變異1 2 3 0 0,且更 新一設計權案,反映出假若光罩採用步驟1 228〇中原始佈 局程序,電路製造會發生之變異。電路效能上之變異的電 性衫響可採用電性掘取與模擬來評估1 2 2 9 〇,以驗證此晶 片付a電性效能之要求。在此例所述之方法中,電性影響 亦包括整體晶片之預測,包括薄膜電阻、銅總消耗量、電 容、驅動電流、以及時序終結參數。對内連線層及而言, 可依據預期裝置規格以評估特徵寬度變異在物理與電性特 徵之整體影響。 在下列敘述與圖示中,佈局產生將標示為L,,,亦包 1於此節所包含之所有實例,但並不包括第53A與53β圖之d 實例。 b ·佈局參數擷取 如第a節所述,佈局係為一組電子檔案,儲存積體電200405184 Part of the description of the invention (144) 1 23 00. The next step 1 2288 is physical verification. Check the design to confirm that it meets the design specifications and parameters of all manufacturing constraints (eg foundry). In this mode, the technology described here is used in conjunction with physical verification elements. As shown in Figures 9 4 and 9 5 below, physical verification is directly embedded or integrated into the EDA tool. In some instances, the computational load is limited and layout fetching can be performed (described in detail in section b). In other examples, actual design files or some circuits (such as key secondary networks) can be directly imported into physical verification 1 22 88 and predictive elements 1 2300. % This predictive element examines and characterizes the feature width variation of 1 2 3 0 0, and updates a design right to reflect the variation that would occur in circuit manufacturing if the photomask used the original layout procedure in step 1 2280. The variation of the electrical performance of the circuit can be evaluated by electrical excavation and simulation to evaluate the electrical performance of this chip. In the method described in this example, the electrical impact also includes the prediction of the overall chip, including sheet resistance, total copper consumption, capacitance, drive current, and timing termination parameters. For the interconnect layer and the expected device specifications, the overall impact of feature width variation on physical and electrical characteristics can be evaluated. In the following descriptions and illustrations, the layout generation will be marked as L ,, and also includes all the examples included in this section, but does not include the d examples of Figures 53A and 53β. b. Extraction of layout parameters As described in section a, the layout is a set of electronic files that store the integrated power

1057-5667-PF(Nl).ptd 第147頁 200405184 五、發明說明(145) 路母一層之結構與幾何分佑 坦之製程變異與設計之空門六空間位置。影響製程層級平 徵化此關係、,實施例中將二、度與線寬之變異有關。為特 …何描述梅取取; 通當用以外= 超過設計規格之區域。 度。雖然虛設填入方法需採用:局參數為有效之圖案密 可採用擷取線間距與線寬方飞4 =之袷度,其他實施例中 徵,不論是電性主動!構因:=需考慮所有特. •入之設計以及伴隨之佈局=以==用加入虛設 〃数以達到擷取佈局參數之目 的〇 (ί 第54Α、54B、54C圖係顯示佈局擷取第5〇圖步驟ΐ23ΐ〇 之流程圖。在第9圖中’佈局檔案係傳送或上傳至虛設填 入系統’12311。佈局被分割成分離之網格,尺寸小到足 以聚集計算,最大與最小元件可用以表示格中結構,並可 正確地配置虛設填入’ 1 231 2。網格解析度之高低關鍵在 增加的擷取、校準、以及預測計算時間,對應更具可信之 佈局呈現與更精確之預測。此處建議採用之網格尺寸小於 g徵尺寸;但第e節與第69A圖顯示可採用網格大小為4〇" Fx 4〇 進行驗證與校正。網格可依序或排列,12313。 較佳實施例中係採用多重處理器平行計算網格,12314。 選取一網格,12315,且在此網格中,每一標的i23i6,具 有已計算之線寬,12317。在此網袼中每一標的上重複此 流程’ 12318。計算每組鄰近標的(如鄰近標的或距1057-5667-PF (Nl) .ptd Page 147 200405184 V. Description of the invention (145) The structure and geometry of the first layer of the road mother The six steps of the empty door of the Tan process variation and design. Influencing the process level to equalize this relationship, in the embodiment, the second and the degree are related to the variation of the line width. For the purpose of ... description of what is taken; not for general use = areas beyond the design specifications. degree. Although the dummy filling method needs to be adopted: the local parameters are valid for the pattern density. The line spacing and line width can be used to capture 4 degrees of 其他. In other embodiments, whether it is electrically active or not! Factors: = All features need to be considered. • The design of the design and the accompanying layout = = = use the dummy number to achieve the purpose of capturing layout parameters. (Ί 54Α, 54B, 54C shows the layout of the capture Figure 50: Flow chart of step ΐ23ΐ〇. In Figure 9, 'Layout file is transmitted or uploaded to the dummy filling system' 12311. The layout is divided into separate grids, small enough to aggregate calculations, and the largest and smallest components It can be used to represent the structure in the grid, and can be correctly configured with dummy fills. '1 231 2. The key to the resolution of the grid is the increased acquisition, calibration, and prediction calculation time, which corresponds to a more reliable layout presentation and more accurate. The grid size suggested here is smaller than the g-sign size; however, section e and 69A show that the grid size can be used for verification and correction. The grid can be ordered or arranged. , 12313. In the preferred embodiment, a multi-processor parallel computing grid is used, 12314. A grid is selected, 12315, and in this grid, each target i23i6 has a calculated line width, 12317. Here Every bid in the network Repeat this process ’12318. Calculate each group of neighboring targets (such as neighboring targets or distance

200405184 五、發明說明(146) 標的於某定義距離内之標的)之最大、最小、與平均線間 距,1 2 3 1 9。接著計算全網格之有效密度,;i 2 3 2 0。在其餘 網格上重複此流程,1 2 3 2 1。因於所有網格上執行上述步 驟,從不同處理器上可重新組合擷取之特徵1 2 3 2 2。 產生一表單並填入每一網格之最大、最小、與平均線 I、線間距、以及密度’以及整體晶片之最大、最小、與 平均寬度,1 232 3。利用最大與最小之線寬計算出一範” 圍。 統計區(b i ns )係用以計算區域範圍内佈局參數之統 #與機率分佈。統計線寬範圍(Μ )除以欲得之統計區數 (Ν ),1 2324,以決定每一Ν統計區之相對尺寸。例如第 一統計區為最小線寬,或是小非零值Α對應到線寬(Μ/ν )’直到第Ν條統计區’其中線寬將從最小m丨nf wBinN = ( n ) • (Μ / Ν )到最大m a x F WBinN = Ν · (Μ / Ν )為止。此時有三組統計 區’ 一組統計區包含最大、最小與平均線寬。每一網袼根 據最大、最小、與平均線寬分離成合適之統計區, 1 2 3 2 5。母一統计區形成長條圖以顯示統計區之分佈值, 1 2326。將此資訊儲存與資料庫並匯入製程模型中丨232 7。 在整體晶片上計算最大、最小、與平均線間距範圍, 胃3 28。線間距範圍(M )除以欲得之統計區數(N ), 1 2329例如第一統計區為最小線寬,或是小非零值△對應 到線間距(M/N ),直到第N條統計區,其中線間距將從最 小minFWBinN = (N-l) · (M/Ν)到最大max FWBinN = N · (M/N)為 止。統計區之限定條件亦可由使用者手動設定。此時有三200405184 V. Description of the invention (146) The maximum, minimum, and average distance between the target and the target within a defined distance, 1 2 3 1 9 Then calculate the effective density of the full grid; i 2 3 2 0. Repeat this process on the remaining grids, 1 2 3 2 1. Since the above steps are performed on all grids, the extracted features can be recombined from different processors 1 2 3 2 2. Generate a form and fill in the maximum, minimum, and average line I, line spacing, and density of each grid, and the maximum, minimum, and average width of the overall wafer, 1 232 3. Calculate a range using the maximum and minimum line widths. The statistical area (bi ns) is used to calculate the uniformity and probability distribution of layout parameters within the area. The statistical line width range (M) is divided by the desired statistical area. (N), 1 2324, to determine the relative size of each N statistical area. For example, the first statistical area is the minimum line width, or a small non-zero value A corresponds to the line width (M / ν) 'until the Nth Statistical area 'where the line width will be from the minimum m 丨 nf wBinN = (n) • (Μ / Ν) to the maximum max F WBinN = Ν · (Μ / Ν). At this time there are three groups of statistical areas' Contains maximum, minimum, and average line widths. Each network is divided into appropriate statistical areas based on the maximum, minimum, and average line widths, 1 2 3 2 5. The parent-statistic area forms a bar chart to display the distribution value of the statistical area , 1 2326. Store this information and database into the process model 丨 232 7. Calculate the maximum, minimum, and average line spacing range on the overall chip, stomach 3 28. Line spacing range (M) divided by the desired The number of statistical areas (N), 1 2329. For example, the first statistical area is the minimum line width, or a small non-zero value. Corresponds to the line spacing (M / N), up to the Nth statistical area, where the line spacing will be from the minimum minFWBinN = (Nl) · (M / N) to the maximum max FWBinN = N · (M / N). Statistical area The limiting conditions can also be set manually by the user. At this time there are three

200405184 五、發明說明(147) 組統計區,-組統計區包含最大、最小與平 — -網格根據最大、最小、與平均線間距合適::: 區,1 2 33〇。每一統計區形成長條圖以顯示統計區〜之之^计 二二331。將此責訊儲存與資料庫並匯入製程模型中佈 在整體晶片上計算最大、最小、與平均密度範圍, 1 2333。密度範圍(M)除以欲得之統計區數(n),固 1 2334。例如第一統計區為最小線寬,或是小 應到密度(M/N),直到第^条統計區,其 度 ^對 «nFDB.nN^N-l )o(M/N) ^ t Amax FDB, nN.;0 ^ ^ 止。此時有二組統計區,一組統計區包含最大、最小盥 均密度。每-網格根據最大、最小、與平均密度分離成人 二之區,1 2 33 5。每一統計區形成長條圖以顯示統計 品之刀布值,1 2 3 3 6。將此資訊儲存與資料庫並匯入製程 模型中,特別是ECD模型,並產生虛設填入規則,1 233 7。 最,,所有將線寬、線間距、密度資訊儲存於資料庫或檔 案糸、、先中以應用於後續之製程模型預測1 2 4 0 0、1 2 6 0 0、 12800 中,12338 。 <1 第55圖所示為如何利用第54a、54B、54C圖所示之流 曰產生(表不整體晶片或晶方)擷取表1 2 3 6 2。將晶片或 曰曰方1 2 3 6 〇分割成網格1 2 3 6 4,並利用第5 3圖所示之擷取程 序計算每一網格元件之線寬1 236 8、線間距1 237 0、與密度 12372。對晶方12364上每一分離網袼12368而言,在擷取 表中存有特徵與相關圖案附屬特徵之網格座標1 2 3 6 6,如200405184 V. Description of the invention (147) Group statistics area,-group statistics area contains maximum, minimum and flatness--grid is suitable according to maximum, minimum, and average line spacing: :: area, 1 2 33. A bar chart is formed for each statistical area to display the statistical area ~ of the number 222.331. Storing this database and database into the process model and calculating the maximum, minimum, and average density ranges on the overall chip, 1 2333. Divide the density range (M) by the number of statistical areas (n) you want to obtain. For example, the first statistical area is the minimum line width, or the density should be as small as the density (M / N), up to the ^ statistical area, the degree of which is ^ nFDB.nN ^ Nl) o (M / N) ^ t Amax FDB , nN .; 0 ^ ^ only. At this time, there are two groups of statistical areas, and one group of statistical areas contains the maximum and minimum average density. The per-grid separates the adult two areas according to the maximum, minimum, and average density, 1 2 33 5. A bar chart is formed for each statistical area to display the knife cloth value of the statistical product, 1 2 3 3 6. This information is stored with the database and imported into the process model, especially the ECD model, and a dummy fill rule is generated, 1 233 7. Mostly, all the line width, line spacing, and density information are stored in the database or file, first, and then applied to the subsequent process model prediction 1 2 4 0 0, 12 6 0 0, 12800, 12338. < 1 Fig. 55 shows how to use the flow shown in Figs. 54a, 54B, and 54C to generate (representing the whole chip or crystal cube) to retrieve the table 1 2 3 6 2. Divide the wafer or square 1 2 3 6 0 into grids 1 2 3 6 4 and use the extraction procedure shown in Figure 53 to calculate the line width of each grid element 1 236 8, line spacing 1 237 0, and the density of 12372. For each separated mesh 36812368 on the crystal cube 12364, the grid coordinates of the features and associated features of the associated patterns are stored in the extraction table, such as

200405184200405184

密度特徵寬度(FW )、以及特徵寬度(FS )。此圖亦顯干 =格之實例,其座標(x,y)分別*(1,1 ) 1 23 7 6與“ 饤將廿2 2二8 ’以及如何出現於擷取表中。第53圖顯示如 二將此類特徵二特徵寬度(FW) 1 23 68、特徵間距(fs)如 、以及岔度12372之值填入擷取表12362。在許多實 :a ’此表早中將存有每一網格之最λ、最小、與平均之 特被。 〜 c ·圖案附屬製程模型 Φ利用製程模型或-系列模型(如模型流程)預測 设计之貫際1C元件之物理與電性參數之製造變里。利1 ”化IC結構之製程變異,可預測晶片上拓撲圖形: 異,並評估微影期間映射特徵尺寸之變異, t 姓刻造成物理特徵尺寸。 / ° I〜或 如第56圖所述,利用圖案附屬製程模型 ㈣之1巧案與特徵12310對應至晶片、層級=變 異1 258 0。母一製程工具具有特定特徵,故模型 要1對特定配方與工具進行校準125〇〇。因此,此 = g模型7G素1 240 0包括校準步驟125〇〇與 步驟、 =〇、。或是拓撲變異之電性影響。以下將描述校準步: 一般實務中會根據1C設計物理性地進行積體 程,以決定至製程於物理與電性參數之影響,&開發或校Density feature width (FW) and feature width (FS). This figure also shows an example of Gan = lattice, whose coordinates (x, y) are * (1, 1) 1 23 7 6 and "饤 Jiang 廿 2 2 2 8 'and how it appears in the capture table. Figure 53 The display of such features fills in the extraction table 12362 with the values of such feature two feature width (FW) 1 23 68, feature distance (fs) such as, and fork degree 12372. In many cases: a 'This table will exist The maximum lambda, minimum, and average of each grid are special. ~ C · Pattern attached process model Φ Use the process model or-series model (such as model flow) to predict the physical and electrical parameters of the 1C component of the design Manufacturing variation. Lee 1 ”process variation of the IC structure can predict the topological graphics on the wafer: differences, and evaluate the variation of the mapping feature size during lithography. The t feature name causes the physical feature size. / ° I ~ or As shown in Fig. 56, a pattern-attached process model is used to match the feature 12310 to the wafer, and the level = variation 1 258 0. The master-manufacturing tool has specific characteristics, so the model needs to be calibrated for specific recipes and tools by 12500. Therefore, this = g model 7G prime 1 240 0 includes a calibration step of 125 〇 and steps = 0. Or the electrical effects of topological variation. The calibration steps will be described below: In general practice, the integration process will be physically performed according to the 1C design to determine the impact of the process on physical and electrical parameters, & development or calibration

1057-5667-PF(Nl).ptd 第151頁 200405184 五、發明說明(149) 正特定工具或配方之製程模型,如第57A圖所示。在第57 圖所示之校正製程1 250 0中,利用配方1 2465於特定工具 1 2466對實際製造晶圓1 2464進行製程。利用前製程晶^量 測1 2 4 6 7與後製程晶圓量測1 2 4 6 8以符合模型參數1 2 4 6 9。1057-5667-PF (Nl) .ptd Page 151 200405184 V. Description of the Invention (149) The process model of a specific tool or formula is shown in Figure 57A. In the calibration process 1 2500 shown in FIG. 57, the actual manufacturing wafer 1 2464 is processed by using the recipe 1 2465 and the specific tool 1 2466. Pre-processed wafers were used to measure 1 2 4 6 7 and post-processed wafers were measured to 1 2 4 6 8 to meet model parameters 1 2 4 6 9.

利用半經驗模型特徵化製程中之圖案附屬。利用任何計數 方法擷取出校準模型參數或符合參數1 2470,如迴歸、非 線性最佳化、或是線性演繹(如神經網路)。最後模型可 校準以適用特定工具與配方1 247 1。換言之,其為適用於 特定工具與配方之模型,可用以預測根據特定晶片設計製 耱之已完成I C之特性。 潘 此處可觀察出’某些1 c特徵如元件密度、線寬、線間 距直接與電鍍、沈積、與CMP製程之拓撲變異相關。此處 亦顯示改變晶方上某些區域之特徵之測試晶圓,可用以建 立在已知工具與配方下’設計參數(如線寬、線間距、密 度)對應製造變異(薄膜厚度、碟陷、侵蝕)之關係。^ 試晶圓具多樣町能性’用以評估製程的影響,一般而言製 造成本較低,測試晶圓設計可用以特徵化廣泛I c設計之各 類製程與配方。如第5 7B圖所示,亦可利用測試晶圓產生 校準製程模型或多重^製程模型或一製程流程。利用與第 •A圖相同之方法計异校準模型參數。其間差異之一在於 可利用測試晶圓製造商所提供,並由電子型式,如經由網 路、電子郵件、磁片、或CD、或文件型式取得,而導入前 製程量測1 24 74。另一々差異在於最終量測丨2478,通常跨越 大區域之線間雜、線寬、與密度特徵,故可應用於大^域Use semi-empirical models to characterize pattern attachments in the process. Use any counting method to retrieve the calibration model parameters or meet parameter 1 2470, such as regression, non-linear optimization, or linear deduction (such as neural networks). Finally the model can be calibrated for specific tools and recipes 1 247 1. In other words, it is a model suitable for a specific tool and recipe, and can be used to predict the characteristics of a completed IC based on a specific wafer design. Pan Here we can observe that certain 1 c characteristics such as component density, line width, and line spacing are directly related to the topological variation of plating, deposition, and CMP processes. Also shown here are test wafers that change the characteristics of certain areas on the crystal cube, which can be used to establish 'design parameters (such as line width, line spacing, density) corresponding to manufacturing variations (thin film thickness, dish depression) under known tools and recipes. , Erosion). ^ Test wafers have a variety of performances to evaluate the impact of the manufacturing process. Generally speaking, the manufacturing cost is low. The test wafer design can be used to characterize various types of processes and recipes of a wide range of IC designs. As shown in Figure 5B, a test wafer can also be used to generate a calibration process model or a multiple process model or a process flow. Distinguish the calibration model parameters in the same way as in Figure A. One of the differences is that it can be provided by the test wafer manufacturer and obtained by electronic type, such as via network, e-mail, magnetic disk, or CD, or file type, before the introduction of pre-process measurement 1 24 74. Another difference lies in the final measurement, which is usually 2478, which usually spans large areas, and has characteristics such as line width, and density.

200405184 五、發明說明(150) 之元件上。因測試晶圓通常被設計成符合大量的設計規 格,故建議採用第5 7B圖所述之校準製程。 第5 8圖係顯示測試晶圓於校準製程之利用。一測試晶 圓晶方1 2 4 7 9 ’係以線寬與線間距值4 8 0進行圖案化處理。 製程(如CMP、ECD、或沈積)此測試晶圓之工具係利用已 知配方1 2 4 8 1 ’且利用量測工具量測晶片1 2 4 8 3之最終變異 (如薄膜厚度1 2484 )。此對應關係1 2482為一模型中,以 此工具與配方產生廣域的線寬與線間距值以及特定薄膜厚 度變異之間的對應關係。 ' φ 如第5 9 A圖所示,利用此對應關係可預測新式j c設計 之製程變異,不需實際製造出光罩並完成設計之製程。從 新I C佈局擷取出線寬與線間距之特徵(其範圍落於測試晶 方以及晶圓之範圍内1 2486 ) 1 24 85。將跨越晶片擷取得之 線寬與線間距特徵1 2 4 8 6輸入對應關係中1 2 4 8 7,可得到特 定工具與配方之薄膜厚度變異之預測12489與12490,而不 需實際去生產昂貴光罩並製程此新的丨c設計。 如第59B圖所示,此預測之製程變異1 249 1 (可包含因 微影而產生之變異)可導入電子模型或模擬中1 249 2以評 ^製程在晶片之電性效能的影響1 24 93。接著調整此設:十 彎佈局(如加入虛設填入或調整設計),擷取佈局參又數, 並重複製程變異之評估。重複直到特定佈局可達欲得之 ,變異水準。可計算之電性參數包括薄膜電阻、電阻、 容、内連線RC延遲、壓降、驅動電流損耗、介電 號積體性、IR下降”戈串擾雜訊之變異。,】用此類預測‘200405184 V. The element of invention description (150). Because test wafers are usually designed to meet a large number of design specifications, it is recommended to use the calibration process described in Figure 57B. Figure 58 shows the use of test wafers in the calibration process. A test crystal The round crystal square 1 2 4 7 9 ′ was subjected to a patterning process with a line width and a line spacing value of 4 8 0. Manufacturing process (such as CMP, ECD, or deposition) This test wafer tool uses a known recipe 1 2 4 8 1 ′ and uses a measurement tool to measure the final variation of the wafer 1 2 4 8 3 (such as film thickness 1 2484) . This correspondence relationship 1 2482 is a model that uses this tool and formula to generate a correspondence relationship between wide-area line widths and line spacing values, as well as specific film thickness variations. 'φ As shown in Figure 5 9 A, this correspondence can be used to predict the process variation of the new j c design, without the need to actually manufacture a mask and complete the design process. The characteristics of line width and line spacing are extracted from the new IC layout (the range falls within the range of the test crystal and the wafer 1 2486) 1 24 85. The line width and line spacing characteristics obtained across the wafer are input into the corresponding relationship of 1 2 4 8 6 and 1 2 4 8 7 to obtain predictions of film thickness variation 12489 and 12490 for specific tools and formulations, without the need to actually produce expensive Photomask and process this new design. As shown in Figure 59B, the predicted process variation 1 249 1 (which may include variations due to lithography) can be imported into electronic models or simulations 1 249 2 to evaluate the impact of the process on the electrical performance of the chip 1 24 93. Then adjust this setting: Ten-curve layout (such as adding a dummy to fill or adjust the design), retrieve the layout parameters and repeat the evaluation of the process variation. Repeat until the desired layout reaches the desired level of variation. Calculated electrical parameters include thin-film resistance, resistance, capacitance, interconnect RC delay, voltage drop, drive current loss, dielectric build-up, IR drop, and variations in “crosstalk noise.”, Using such prediction '

200405184 五、發明說明(151) 決定特徵尺寸、戀里# 一 之電性效能之影響。、正_晶片或關鍵網路(亦稱關鍵網) 以下圖示將描述利 變異。 製私與電性模型以特徵化微影之 第60圖係描述以特定工具盥 步驟。計算佈局擷取參數123;〇、,_標準化一製程模型之 晶圓提供者上傳。第二步驟1 25 0 1二田f試晶圓實例中由 圓。此量測包括薄膜厚度盥輪 1測設備量測此晶 度。第三步驟1 2 50 2對測試晶/進^^取得陣列與階梯高 秦製程流程。此製程或流 丁已特徵化之特定製程 f步驟。較佳的方法是對個別製程積:亦/或研 區段進行校準,可取得流程中接續製d亦對流程之 象。此處亦建議對不同配方參數,=0 u之輕合現 準。在此製程晶圓之相同位置 j間,進行模型的校 1 ? r m · 士曰、日丨a 相同别期量測進行量測 125 03,此篁測包括薄膜厚度、輪廓、或電性 '里測 ^此製程之變異1 2 504。上傳製程模型或^案12、可特徵 :期與後期量測以及計算出之變異對此模型或圖案進:: 疋工具亦/或配方之校準。規劃此模型,ϋ由使用案者上特 1,或自虛設填入電腦系統上之模型資料庫選取。 •期量測以及計算出之製程變異係用以符合特定工:與 或配方之模型或模擬參數1 2 506。最後製程模型被校準以 符合特定工具亦/或配方1 25 07。此結果中亦包含有一 可用以模擬製程流程之已校準製程模型。在饋進預測’、1 1 25 20時’將特定模型(如ECD、蝕刻、與CMP )之校準模200405184 V. Description of the invention (151) Determine the influence of the characteristic size and the electrical performance of Lianli # 一. , Positive_chip or critical network (also known as critical network) The following diagram will describe the profit variation. Figure 60 of the private and electrical model to characterize lithography. Figure 60 depicts the steps of using specific tools. Calculate the layout acquisition parameters 123; 〇 ,, _ standardized wafer process provider upload. The second step 1 25 0 1 in the example of Erita f test wafer. This measurement includes the film thickness of the wheel 1 measuring device to measure the crystallinity. The third step 1 2 50 2 pairs of test crystals / advanced ^^ to obtain the array and step height Qin process flow. This process, or a specific process f of a process, has been characterized. A better method is to calibrate the individual process product: and / or research sections to obtain the continuation of the process and the process. It is also suggested here that for different formulation parameters, a light-duty standard of 0 u is used. In the same position j of the wafer in this process, the calibration of the model is performed. 1 rm · Shi Yue, Japan 丨 a Measurement of the same period 125 03, this measurement includes film thickness, contour, or electrical properties Measure the variation of this process 1 2 504. Upload a process model or project12. Features: Phase and post-measurement and calculated variations to this model or pattern: 疋 Calibration of tools and / or recipes. This model is planned and selected by the user of the case, or filled in the model database on the computer system. • Periodic measurement and calculated process variation are model or simulation parameters 1 2 506 to match specific processes: and or formula. Finally the process model is calibrated to fit the specific tool and / or recipe 1 25 07. This result also includes a calibrated process model that can be used to simulate the process flow. When feeding prediction ’, 1 1 25 20’, calibration models for specific models (such as ECD, etching, and CMP)

lOH- 五、發明說明(152) _ 型參數、工具、配方、以 ☆ 第6i A圖描述㈣校=資料庫與模型中。 電性參數與效能變異之影變、 預測製程變異以及後續 程用以預測微影前晶圓二‘圖=驟:此例揭示溝槽製程流 不需取代任何流程或單一製二丄驟以顯示如何進行預測而 略過不會明顯地影響晶圓拓撲:前2簡化此流程描述’ 此實例成上位型式之溝槽流程,「^晶圓處理。為簡化 屬與插塞層。_中並未顯示任何額=線層」—詞係指金 驟。此溝槽製程顯示可_易地 :化沈$或蝕刻步 他溝槽製程。 了“地延伸應用於雙溝槽製程與其 將擷取12310載入預測元素1 254〇。預測元素擷取導入 之b曰圓拓撲12542。就大於一層之内連線層而言,此為前 内連線層之最後製程步驟。就第依内連線層而言,可利用 產生之圖案附屬模型化元素與初始平坦化預測導入之晶圓 拓撲圖形。 將導入拓撲圖形與擷取參數載入ILD製程模型,預測 最終晶圓表面1 2 5 4 4。IL D沈積模型可包括使用二氧化石夕或 低介電常數材料。此處建議包含圖案附屬以取得整體晶片 之預測,特別是當導入氧化CMP以平坦化I LD層時。因此, 圖案附屬氧化沈積與氧化CMP模型可使用,並需要載入模 型校正參數5 2 0。此方法之預測元素亦可促使將低介電材 貝導入溝槽製程流程中。此步驟之結果為預期出最終I L d 厚度 1 2546。 ' 此預測為模式A (第51圖)或模式B (第52圖)之一部lOH- 5. Description of the invention (152) _ type parameters, tools, recipes, and ☆ Figure 6i A description of the calibration = database and model. The electrical parameters and performance variation, the prediction process variation, and the subsequent process are used to predict the wafer before lithography. Figure = Step: This example reveals that the trench process flow does not need to replace any process or a single process step to display. How to make a prediction without skipping it will not significantly affect the wafer topology: the first 2 simplify this process description 'This example is a high-level trench process, "^ wafer processing. To simplify the generic and plug layer. _ 中 未Display any amount = line layer "— the word refers to the golden step. This trench process shows that it can be easily replaced by: sinking or etching step trench process. The "ground extension" is applied to the double trench process and it will extract 12310 and load it into the predictive element 1 254. The predictive element extracts the imported b-topology 12542. For the interconnect layer that is larger than one layer, this is the former internal The final process step of the connection layer. As far as the first interconnect layer is concerned, the generated pattern topology modelling element and the initial flattening prediction can be used to introduce the wafer topological graph. The imported topological graph and extraction parameters are loaded into the ILD. Process model that predicts the final wafer surface 1 2 5 4 4. The ILD deposition model can include the use of dioxide or low dielectric constant materials. It is recommended to include a pattern attached here to obtain the overall wafer prediction, especially when introducing oxidation CMP is used to planarize the I LD layer. Therefore, pattern-attached oxidative deposition and oxidized CMP models can be used and need to load the model correction parameter 5 2 0. The predictive elements of this method can also promote the introduction of low dielectric materials into the trenches In the manufacturing process. The result of this step is the expected final IL d thickness of 1 2546. '' This prediction is part of Mode A (Figure 51) or Mode B (Figure 52)

l〇57-5667-PF(Nl).ptd 第155頁 200405184 、發明說明(153) f,流程可予以選擇1 2548。在模式A中1 2552,利用落於 第一層規格之外之任何特徵尺寸變異調整設計,如第一層 之映射特彳政尺寸與而設計相對應。故對模式A而言,IL D厚 度1 254 6可直接饋入第61C圖中之蝕刻模型1 2566。 在模式B中1 25 50,因微影導致之特徵尺寸變異需用以 更新佈局擷取至合適特徵變異,讓後續製程接收。、在此模 式中’將導入晶圓拓撲與佈局參數載入微影模型〗2 5 5 4。 此處建礅包括微影模型中圖案附屬以取得整體晶片預測, 以及如取得並載入模型校正參數1 25 2〇。預測特徵尺寸變 異1 2 5 6 6 ’並用以調整佈局特徵、縮小或擴大特徵,以正 確地重複微影結果1 2558。產生佈局1 2560,並用以產生新 擷取1 2 562,更正確地表現微影特徵尺寸變異。將新擷取 1 2564饋入蝕刻步驟2566。對在模式Β*Ν層内連線製程流 程而言,每一微影步驟需重複此步驟,故在第N層將可觀 察出特徵尺寸之所有的效應。〇57-5667-PF (Nl) .ptd page 155 200405184, invention description (153) f, the process can be selected 1 2548. In Mode A 1 2552, adjust the design by using any feature size variation that falls outside the specifications of the first layer, such as the size of the mapping feature of the first layer corresponding to the design. Therefore, for mode A, the thickness of IL D 1 254 6 can be directly fed into the etching model 1 2566 in Fig. 61C. In mode B 1 25 50, the feature size variation due to lithography needs to be used to update the layout to capture the appropriate feature variation for subsequent processes to receive. 1. In this mode, ‘load the topology and layout parameters of the wafer into the lithography model’ 2 5 5 4. Jian Jian here includes the pattern attachment in the lithography model to obtain the overall wafer prediction, and if the model calibration parameters are obtained and loaded 1 25 2 0. The predicted feature size varies 1 2 5 6 6 ′ and is used to adjust the layout feature, shrink or expand the feature, to accurately repeat the lithographic result 1 2558. Layout 1 2560 is generated and used to generate new acquisitions 1 2 562 to more accurately represent lithographic feature size variations. The new acquisition 1 2564 is fed into the etching step 2566. For the connection process in the layer B * N of the model, this step needs to be repeated for each lithography step, so all the effects of the feature size can be observed at the Nth layer.

將前步驟1 2 56 6之ILD厚度與佈局參數載入蝕刻模型 中。此處建議包括蝕刻模型中之圖案附屬以取得整體晶片 之預測,且需要模型校準參數並載入1 252〇。此蝕刻模曰型 預測最後晶圓拓撲12568,並連同將佈局參數載入ecj)模型 12570。此處建議包括ECD模型12570中之圖案附屬以取得 整體晶片之預測,且需要模型校準參數並載入1 252 〇。此 步驟之結果平坦化後整體晶片之晶圓拓撲預測丨2 5 7 2。某 些製程可以電化學機械研磨(ECMD)步驟取代,並建議採 用圖案附屬模型。Load the ILD thickness and layout parameters from the previous step 1 2 56 6 into the etching model. It is recommended to include the pattern attached in the etching model to obtain the prediction of the overall wafer, and the model calibration parameters need to be loaded into 1252. This etch pattern predicts the final wafer topology 12568 and loads the layout parameters into the ecj) model 12570 together. It is recommended to include the pattern attached in the ECD model 12570 to obtain the overall wafer prediction, and the model calibration parameters need to be loaded into 1 252. The wafer topology prediction of the overall wafer after the result of this step is planarized 2 5 7 2. Some processes can be replaced by electrochemical mechanical milling (ECMD) steps, and it is recommended to use a pattern attached model.

ZUU4UD154 五、發明說明(154) 將因ECD而形成之晶圓拓撲與操取表 型1 2574。溝槽製程之CMP可為數個/牛數導入CMP製程模 利用整體CMP步驟移除大部分的鋼,驟。典型實例為 慢地清除此區域之所有銅’而特徵卻I:或終點研磨緩 蝕,最後執行阻障層研磨以移除阻 ‘,、、曰與侵 CMP模型中之圖案附屬以取得整 4。、此處建議包括 型校準參數並載入1 2520。最後產:預測,且需要模 ^圓拓撲。,晶圓拓撲部可: 廓、碟陷、與侵蝕。 祜尽度、表面輪 一選擇性步驟可為包括對 1 2576。整體晶片之CMp :::擷取或效能之分析 電容、驅動電☆、以及考D =性可包括薄膜電阻、 寸變異之影響。通= = =效能上微影特徵尺 標準,其可適當地量測 =^二或規格並未提供解析度 得較佳特徵之方法。、f寸i異之影響,並可能為獲 當CMP步驟為前内連繞 步驟時,目前内連線層(線如層第(如展第一層)中最終之物理 測以取得晶圓表面拓撲2第^層)之1LD沈積需給予預 層)之微影預測。將曰3測’用於目前内連線層(如第二 型以預測最終晶圓表拓撲與擷取參數載入ILD沈積模 用二氧化石夕或::=厚度58°°ILD沈積模型可包括使 取得整體晶片之預浏ί材料。此處建議包含圖案附屬以ZUU4UD154 V. Description of the Invention (154) Wafer topology and operation pattern 1 2574 to be formed by ECD. The CMP of the trench process can be introduced into the CMP process mold for several / new number. The entire CMP step is used to remove most of the steel. A typical example is to slowly remove all copper in this area, but the characteristics are I: or end-point grinding and corrosion inhibition, and finally perform a barrier layer grinding to remove the resistance. . It is recommended to include the calibration parameters and load 1 2520 here. Final output: prediction, and requires a model circle topology. The wafer topology can: profile, dish, and erode. Exhaustive, Surface Wheels An optional step may be to include 1 2576. CMp ::: capture or performance analysis of the overall chip Capacitance, driving power ☆, and test D = can include the influence of thin film resistance, inch variation. Pass = = = lithographic feature ruler for performance, which can be properly measured = ^ 2 or the specification does not provide a method for better characteristics with better resolution. And f inches, and may be obtained when the CMP step is a pre-internal winding step, the current physical measurement of the current interconnect layer (line such as layer first (such as the first layer)) to obtain the wafer surface Topography 2 layer ^) 1LD deposition needs to be given lithographic prediction. Use "3 test" for the current interconnect layer (such as type 2 to predict the final wafer table topology and capture parameters into the ILD deposition model with dioxide dioxide or: == 58 °° ILD deposition model can Includes pre-viewing materials to obtain the entire wafer. It is recommended to include a pattern attached to

層時。因此,圖案附屬巧是當導入氧化CMP以平坦化1LD τ 7萄乳化沈積與氧化CMP模型可使用,Layer time. Therefore, the pattern is attached when the oxidation CMP is introduced to planarize the 1LD τ 7 emulsion deposition and oxidation CMP models.

第157頁 200405184 五、發明說明(155) 並需要載入模型校正參數1 2 52〇。此方法之 促使將低介電材質導入溝槽製程流程中。此步驟、社亦可 執行微則258〇前預測晶圓之表面。晶;^面在拓加入;y且與 2料ί或播案系統中,用以預測後續内連 」不需將晶圓拓撲饋入内連線層之間,此處^ 是在IL D沈積後勿執行氧化c Mp步驟。 11 、別 -光並未揭示於此流程中,假若圖案附屬影 曰九阻之千坦度時,可於ILD沈積與微影模型 案附屬光阻模型(或利用測試晶圓,且社^之間導入圖 應成為一模型,直接導入微影模型)。、〜先阻與微影效 d·利用微影模型預測特徵尺寸變異 微,模型化與預測元素可視為製程模型 Λ,、、而,2程模型化元素J 24〇〇輸入前製程曰 口P刀。 流程中每-步驟之後製程晶圓㈣。微影曰曰拓撲並預測 晶圓拓撲’同時影像化設計或圖•特二=之 異。在此描述中係個別為分離之元素(=:巧寸變 如第62A圖所示,將預測整體即)。 麵(如因製程第…層之拓撲)與 126〇1、設計或操取(如自N + 1層之引佈局資訊 元素12_,將預測晶圓拓撲與 °輪入微影模型化 度(_)對應、至微影預測特徵 如=^之特徵寬 )變異_。微影製程流程咖亦可特以 1057-5667-PF(Nl).ptd 第158頁 200405184 五、發明說明(156) 晶圓或光學數值關係導 附屬12640。此對庫失真而形成之微影圖案 凡对愿可於糸統或計算, 曾 / 統並使用光學近似校正( 〆^二、V入糸 寸之預期變異將因拓撲與失直)而之;二 48與49圖所示。 、天”而”、、員不見度變異,分別如第 為擷取因蝕刻製程或對應拓撲 之圖案附屬寬度變異,可利爾叙^ ^J特诚而形成 #f Λ 5 4A, 了利用蚀刻核型1 2 6 4 1將映射特汽 對應至物理性㈣特徵。如第62β圖所示, 身ϋ 1 265 1因拓撲12620與失直12fi4i)而$ # ^素2641取付 m , 大具1 Z 6 4 0而形成之映射特徵#里。 利用蝕刻模型特徵化圖案号做复異 異對應至物理或蝕刻特忾忾f 、將正體日日片映射特徵變❿ 二„溝槽殊度、側壁角度、以及溝槽寬度。建立 至物一分離網格之映射變異對應1 26 55 f物理特被變異。此變異亦可應用至1 2626每一網格中之 佈局特徵,以因應映射與物理變異而調整整體晶片設計, 視預測解析度是否需應用於網格或個別特徵層級而定。 ^當1 2 6 00與驗證元素1281〇合併使用時’將網格層級特徵 文異應用至個別佈局特徵中,並略過步驟丨2 6 5 6 )。利用 微影流程模型1 2 60 0中元素1 262〇、1 264〇、1 264 1可特徵主 或預測特徵寬度變異之主要貢獻者。選擇性之蝕刻元素一 1 2641可與下述兩方法其中之一結合使用。 第6 3圖所示為將目前佈局資訊投射至晶方之預測表面 拓撲圖案12608。此晶方依步驟12312之佈局擷取中所選擇 之層級予以分離’並控制厚度之解析度與特徵尺寸變異預Page 157 200405184 V. Description of the invention (155) and need to load the model correction parameters 1 2 52. This approach has led to the introduction of low dielectric materials into the trench manufacturing process. In this step, the company can also perform micro-prediction of the surface of the wafer before 258. Crystal; ^ plane is added in the extension; y and is used in the 2 material or broadcast system to predict the subsequent interconnection '' no need to feed the wafer topology between the interconnect layers, where ^ is after the IL D deposition Do not perform the oxidative c Mp step. 11. Do n’t-light is not revealed in this process. If the pattern shadow is nine thousand resistances, you can attach a photoresist model to the ILD deposition and lithography model (or use a test wafer, and The temporal import map should become a model, and the lithography model is directly imported). , ~ First resistance and lithography effect d. Use lithography model to predict the variation of feature size. The modeling and prediction elements can be regarded as the process model Λ, and, the 2nd process modeling element J 2400 is input before the process. Knife. Wafers are processed after each step in the process. Lithography said topology and prediction wafer topography ’Simultaneous imaging design or figure • special two = different. In this description, they are separate elements (=: Qiao inch changes, as shown in Figure 62A, the whole will be predicted). Surface (such as due to the topology of the first layer of the manufacturing process) and 1260.1, design or manipulation (such as the layout information element 12_ from the N + 1 layer), the predicted wafer topology and the degree of lithography modeling (_) Corresponding to the feature width of lithography prediction features such as = ^) variation_. The lithography process can also be based on 1057-5667-PF (Nl) .ptd page 158 200405184 V. Description of the Invention (156) Wafer or optical numerical relationship guide attached 12640. The lithographic pattern formed by the distortion of this library can be used in the system or calculation, and the optical approximation correction ((^ 2, the expected variation of the V input will be due to topology and misalignment); Figures 48 and 49. The change in the visibility of the sky, the sky, the sky, the sky, and the sky, respectively, is to capture the variation in the width of the pattern due to the etching process or the corresponding topology, which can be formed by # 5 ^ 5 4A, using the etching The karyotype 1 2 6 4 1 maps the mapped steam to physical plutonium characteristics. As shown in Figure 62β, the body feature 1 265 1 is mapped to the feature # 1, which is formed by the topology 12620 and the misalignment 12fi4i). Use the etch model to characterize the pattern number to do complex and different mappings to physical or etching features, and change the orthopaedic sun-slice mapping features. Second, the groove degree, sidewall angle, and groove width. Establish the object-to-object separation The mapping variation of the grid corresponds to the physical special variation of 1 26 55 f. This variation can also be applied to the layout features in each grid of 1 2626 to adjust the overall chip design in response to the mapping and physical variation, depending on whether the prediction resolution is required Applies to the grid or individual feature level. ^ When 1 2 6 00 is combined with the verification element 1281〇 'Apply the grid-level feature text to individual layout features, and skip steps 丨 2 6 5 6) .Using the lithography process model 1 2 60 0, the elements 1 2620, 1 264, and 1 264 1 can be the main contributors of the feature master or predict the feature width variation. The selective etching element 1 2641 can be used with the following two methods One of them is used in combination. Figure 6-3 shows the predicted surface topology pattern 12608 projecting the current layout information to the crystal cube. This crystal cube is separated according to the level selected in the layout extraction of step 12312 'and the thickness is controlled. Variation of feature size resolution with pre

第159頁 200405184 五、發明說明(157) 型化元素1 26 00將位於網格之設計之寬度與晶Page 159 200405184 V. Description of the invention (157) The typed element 1 26 00 will be located on the grid's design

::ΐ Ϊ應至相同網格區域之特徵變異(如FW或CD S方之於所有網格區域上進行,並可獲得整體 日日方之特被尺寸變異之對應情形。 以下將描述兩種自晶片拓撲 法。第-種方法,如第64與=:寸變異之方 方次::6= 案干擾。 反長失真而形成之拓撲與圖 第64圖描述將晶月表面黑_ ι 徵對應至微影映射或特徵之影;尺:::::::特 1 2620。此包合备一八鈾一从 才做人才之變異之步驟 ,”設計或擷取12:01 :同:J 二晶片拓撲(心) 算晶片拓撲與一般參考之差 疋素12620。計 之測試或對準鍵。因影像系、^對’如接近晶片邊緣上 而調整,此舉可快速計算對隹深ς I依對準或測試鍵 合出-表單將每-分離:的特徵。整 距離之光學數值表示可用以對數二口 =析度之對焦 :巧:同樣地,針對整體晶片\每2?^^ 丨 合量測(如最大值或平均值),數;工網格、或聚 對應至伴隨特徵尺寸變異。 ^佈局擷取參數 與心,並導入㈣eigh方程:以先工學具;^適當的kl 予衣置之—般關 mm 1057-5667-PF(Nl).Ptd 第160頁 200405184 五、發明說明(158) 係。將特徵尺寸之變異可應 度中之佈局特徵,以產生映射特;網格解析 (如FW或CD ) 1 2 628。將映^ γ ^體晶片預測 (如線幻提供1 2 74〇給驗證元素二二之,體曰曰片預測 第6 5圖係描述將圖案特徵产 旦/ 、 特徵尺寸之變異之步驟1 264 〇。目X前設^級衫、于或影像 入並整合成表單,將佈局特 θ、 局被載 h ^ ^ ^ ^ 〇 # ^ f # / "J Δ 田ΐ?η A τ曰 w k /貝异規則’如許多商紫 :EDA工具,將特徵密度對應至特徵尺 商業 :之特徵密佈變異係落於佈局解析度與操取解析九;十: 徵解析度1 2646。特徵密度或特徵:度之計 异…果則k供至12740驗證元素12800。 夕蜜第m7圖係顯示施行微影模型化與預測元素12600 :弟一種方法。第二種方法利用第c節所述產生一校準微 1型,將表面高度、設計之⑶、以及特徵寬度⑽、以及 圖案干擾效應結合至特徵尺寸變異(如CD與躇)。利 C節此模型所述之步驟校準此模型。 第66A圖所示係採用校準特定工具與設定之微影模型 之測試晶圓。採用一寬度與間距值之範圍圖案化微影測試 晶圓晶方1 26 79 (可利用FW與FS計算密度),其中包括一 或多組結構層級。選取此層級之結構可表現線寬、線、插 塞鏈(如内連線層級)中變異之多層效應。第『節中提供 測試晶圓結構更詳細之描述與實例。利用一配方於微影工 具上處理測試晶圓1 2681,接著根據微影映射之關鍵尺寸:: ΐ Ϊ Should be to the feature variation of the same grid area (such as FW or CD S side on all grid areas, and can obtain the corresponding situation of the overall Japanese and Japanese side special size variation. The following two types will be described Self-topology method. The first method, such as the 64th and =: inch variation formula :: 6 = case interference. Topology and figure formed by anti-long distortion Figure 64 describes the black surface of the moon Corresponds to the shadow mapping or feature shadow; Ruler ::::::: Special 1 2620. This package contains eight steps of uranium and one step of doing talent variation. "Design or capture 12:01: Same as: J Second chip topology (heart) Calculate the difference between the chip topology and the general reference. 12620. The test or alignment key is calculated. It can be adjusted due to the image system and the pair's proximity to the edge of the chip. This can quickly calculate the contrast depth. ς I align with or test the bond-form to separate each of the: characteristics. The optical value of the entire distance can be used for the logarithm of two = resolution of the focus: Q: Similarly, for the whole chip \ each 2? ^^ 丨 combined measurement (such as maximum or average), number; grid, or poly corresponds to the accompanying feature size ^ Layout captures parameters and heart, and imports the ㈣eigh equation: using prior engineering tools; ^ appropriate kl for clothing-general close mm 1057-5667-PF (Nl) .Ptd p. 160200405184 V. Invention Explanation (158). The variation in feature size can be used to lay out features in the response to generate mapping features; grid analysis (such as FW or CD) 1 2 628. Will be mapped ^ γ ^ volume chip prediction (such as provided by line magic 1 2 74〇 Give the verification element to the second, the body prediction. Figure 6 5 describes the step 1 264 of the variation of the feature of the pattern, and the size of the feature. Before X, a ^ grade shirt, Yu or video Into and integrate into a form, the layout features θ, the bureau are loaded h ^ ^ ^ ^ 〇 # ^ f # / " J Δ Tian ΐ? Η A τ said wk / Bei Yi rules' as many Shangzi: EDA tools, Corresponds feature density to feature ruler Commercial: The feature density variation is based on layout resolution and manipulation analysis. Nine; ten: sign resolution 1 2646. Feature density or feature: the degree of degree is different ... If k is provided to 12740 verification Element 12800. The X7th picture shows the implementation of lithography modeling and prediction element 12600: a method. The second method uses Generate a calibration micro-1 type as described in section c, which combines surface height, design ⑶, feature width ⑽, and pattern interference effects into feature size variations (such as CD and 躇). Benefits of calibration in the steps described in this model in section C This model. Figure 66A shows a test wafer using a lithographic model calibrated with specific tools and settings. A pattern of lithographic test wafers using a range of width and pitch values 1 26 79 (FW and FS available Calculated density), which includes one or more sets of structural levels. Selecting the structure at this level can represent the multi-layer effect of variation in line width, line, and plug chain (such as the interconnect level). A more detailed description and examples of test wafer structures are provided in Section ". A recipe was used to process the test wafer 1 2681 on the lithography tool, and then based on the key dimensions of the lithography mapping

1057-5667-PF(Nl).ptd 第161頁 200405184 五、發明說明(159) 執行蝕刻製程以移除材質。利用量測工具1 2684 (如SEΜ、 物理表面研磨工具、或光學特徵描繪工具)量測晶片上特 徵尺寸(如CD或FW )之最終變異1 2 1 683。利用量測得之參 數校準微影模型,提供兩間距1 2 680與1 2684間之對應。由 校準模型參數形成之此對應可視為一模型,將特徵與表面 拓撲值之寬裕範圍1 2 6 8 0對應至此工具與配方之特定特徵 尺寸變異1 26 84。 此對應或校準模型可用以預測新IC設計之特徵尺寸變 異,如第68圖所示。落於測試晶方所擴及範圍内之特徵之 寬度、間距(以及密度)1 2 6 8 6將自新I C佈局中被擷取出 來0 將跨越晶片之空間位置丨248 6所擷取之特徵1 26 85輸入 對應程序1 26 82,便可在製造新IC設計前獲得已知工具與 配方之特徵尺寸變異之正確預測1 26 89與1 269()。 如同第5 9B圖所示,此預測之製程變異可導入電子模 型或模擬中以評估製程在晶片之電性效能的影響。可計算 二:性參數包括薄膜電阻、電阻、電容、内連線以延遲、 驅動電流損耗、介電常數、信號積體性、ir下降、1057-5667-PF (Nl) .ptd Page 161 200405184 V. Description of the Invention (159) An etching process is performed to remove the material. Use a measurement tool 1 2684 (such as SEM, physical surface grinding tool, or optical characterization tool) to measure the final variation 1 2 1 683 of the feature size (such as CD or FW) on the wafer. The measured lithographic model is calibrated using the measured parameters to provide a correspondence between two pitches 1 2 680 and 1 2684. This correspondence formed by the calibration model parameters can be regarded as a model, and the wide range of features and surface topological values 1 2 6 8 0 corresponds to the specific features of this tool and formula. Size variation 1 26 84. This correspondence or calibration model can be used to predict feature size variations in new IC designs, as shown in Figure 68. The width, pitch (and density) of the features that fall within the range of the test crystal 1 2 6 8 6 will be extracted from the new IC layout 0 will cross the spatial position of the wafer 丨 6 6 Feature extracted 1 26 85 Enter the corresponding program 1 26 82, and you can get the correct predictions of the characteristic size variation of known tools and recipes 1 26 89 and 1 269 () before manufacturing a new IC design. As shown in Figure 5B, the predicted process variation can be imported into an electronic model or simulation to evaluate the impact of the process on the electrical performance of the chip. Can be calculated Second: The sexual parameters include film resistance, resistance, capacitance, internal wiring to delay, drive current loss, dielectric constant, signal integration, ir drop,

^ M: 4· Ϊ Λ之I異。利用此類預測可決定特徵尺寸變異對 電性效能之影響。 4夂…打 寸變里。itl 5 ^ Γ利用圖案附屬微影模型計算預測特徵尺 與例7用以it ΪΓ可採用微影測試晶圓,如第,節所示之 只j,用以杈正模型,如特定微 計層級下堆疊之Μ你 亏诫 ^ θ ^ 田、及、以及光阻型式。此來自前次製程步^ M: 4. I of Λ Λ. Use such predictions to determine the effect of feature size variation on electrical performance. 4 夂 ... Hit inch change. itl 5 ^ Γ uses the pattern-attached lithography model to calculate the predictive feature scale and Example 7 for it ΪΓ can use a lithography test wafer, as shown in section, only j, for the positive model, such as a specific micrometer level The bottom stack of the M ^ ^ θ ^ field, and, and photoresistive type. This is from the previous process step

200405184 五、發明說明(160) 驟或步驟(如ILD沈積、氧化CMP、或光阻塗佈)之預測 (在某些實例中為量測)晶片層級表面高度變異△ h被載 入1 258 0。將伴隨目前設計層級之佈局資訊,其中可包含 佈局、擷取或其組合,自檔案系統或資料庫丨2 6 〇 1載入。 將,準模型參數載入模型以進行預測126〇2。利用圖案附 屬彳政影預測已知設計佈局之特徵尺寸變異,並將其提 12740提供至驗證元素12800。 e ·驗證並校正微影特徵尺寸變異 格以驗證映射 範圍。確認出 。如第5 0圖所 並導入電性模 亦可結合全内 方法内容中, 電阻、銅總消 。在驗證模式 要目的係因於 類設計檔案將 寬度變異將施 C或蝕刻 超過誤差 不’亦可 擬以檢驗 連線層級 電性影響 耗量、電 中,特徵 模擬,並 不會做為 行下列光 比對此預測特徵尺寸與設計規 )特徵並未超過設計之規格與誤差 範圍之特徵位置與座標並予以儲存 利用特徵寬度變異修正設計檔案, 對效能之電性影響。特徵寬度變異 電性特徵之拓撲變異。在此所述之 =包括整體晶片之預測,包括薄膜 容、驅動電流、以及時序終結參數 ,度變異之設計檔案之修正調整主 簡易地反映出包括製造之變異。此 光罩製造之用。為校正預測之特徵 罩校正方法。 統校正用於製作光罩之設計特徵, 預期或設計植。接著,在下單製生 ,使得貫際微影映射尺寸與特徵g200405184 V. Description of the invention (160) Prediction (160) measurement or step (such as ILD deposition, oxidized CMP, or photoresist coating) prediction (measurement in some examples) wafer level surface height variation △ h is loaded 1 258 0 . It will accompany the layout information of the current design level, which may include layout, capture, or a combination, and is loaded from the file system or database 丨 2 601. The quasi-model parameters are loaded into the model for prediction of 1260.2. Using the pattern attached to Zheng Zhengying to predict the characteristic size variation of the known design layout, and provide it to the verification element 12800. e. Verify and correct the lithographic feature size variation grid to verify the mapping range. Confirmed. As shown in Fig. 50, the electrical mode is also introduced. It can also be combined with the total internal method content, and the resistance and copper are always eliminated. The main purpose in the verification mode is because the class design file will vary the width and will not apply C or etch more than the error. It can also be used to verify the connection level electrical impact on power consumption, electricity, and characteristics simulation, and will not be used as the following The light ratio predicts the feature size and design rule. The feature position and coordinates do not exceed the design specification and error range and are stored. The feature width variation is used to modify the design file, which has an electrical impact on performance. Feature width variation Topological variation of electrical characteristics. What is described here includes the prediction of the overall chip, including the film capacity, drive current, and timing termination parameters. The correction and adjustment of the design file of the degree variation simply reflects the variation including the manufacturing. This mask is used for manufacturing. To correct the predicted features. Mask correction method. The system corrects the design features used to make the reticle. Next, the order is made to make the size and feature g of the interstitial lithography mapping.

使用者可選擇讓系 使實際映射尺寸將等於 光罩時利用此校正設計 200405184 、發明說明(161) 合原始設計與預期。下列各段與圖示係描述驗證與校 素。 第68圖係顯示驗證與校正元素如何操作以符合條件規 格之流程圖。佈局資訊可包括設計與擷取資料丨26〇 1、預 測關鍵尺寸、以及特徵尺寸1 268 〇,將其載入驗證與校正 το素1 2800。亦將此關鍵尺寸與特徵尺寸規格載入1 275 〇, 以及可選擇性地將電性規格載入以比較映射電路尺寸之模 擬電性效能。驗證步驟於預測與規格、 計(如特徵尺寸變異:=能; 之特斂。驗过兀素可用以單獨或合併至校正 ;=(=檔案)“製造預期之映射電路尺寸。 據早獨或一起採用之驗證與校正元素, 布 系統或資料庫以供使用者進一步觀察與分29^〇子。案 :=1 2830時,可進一步對最終佈局進行次級波長 失真與先學校正之測試,《直接 : 罩下單製程,此為光罩製程之第一步驟12=之格式工光 驗證步驟可採用三種方法完成,依使 局擷取之網格解析度12312而定, 〇可規範佈 =;;如第a節所述,掏取時較細: = = = =解 確的敢小特徵尺寸表現。然而,言十 又二棱供更正 需縮小網格尺寸以符合更細微之特徵。此將留增加,且 定做出正確之選擇;但下段將兩種驗證方:留:使用者決 析度(如第69A圖所示)大於特徵尺寸以及允許網格解 (如底6 9B圖所示)〇 if it -T 小於特徵尺寸 )此處並非可針對所有1C特徵選取= 200405184 五、發明說明(162) ^網格解析度。但可増減經分級之網 一 欲尺寸,第69C圖所示亦為驗證之方 析度成下層之特 析度:合特徵解析度’ $計算上需使’二用於當網格解 在所有狀況下,特徵寬度變異,格解析度時。 工具中,特徵化電性影響以及物理奢鍵,性模擬或擷取 線之電性效能,可結合後續ECD ^驟,證完整内連 將兩變異計算導入電性擷取工具 二驟之拓撲變異,並 體晶片層級或某些關鍵次級區域電 2,特徵化可於整 第69D圖係顯示另一種方法, 行。 述(如最大、最小、及平均二和寸用二-網格之統計描 任何違反失真範圍之特徵。當争^在度)決定晶片上 供較第69A、69B、69C圖更低^正確率修正連、時,此方法可提 之特徵。在此方法中,一般會使試刀H格中個別 徵相關之分佈(如縮小網格中最 、^的方式改變特 第,圖係描述大於最小IC尺寸之分離丄格之驗證。 載入目則佈局層級(如内連線層第N + 1声)鱼鳞旦, 步驟12812。同時亦載入12814整體曰層)與说衫 =異1 2 680。母一網格之預測變異根據網格中丁 ^ (可能之機率統計)而分配特徵12816丨連線 而言’許多此類分配可為縮小或擴大之錄$ : 綠層 816以提供共通基礎來比較佈局尺寸與預測又尺寸'成步曰驟 =知_級之設計規格與誤差範圍載入系統12818曰。曰比 車父步驟12816之對應變異與規格1 282 〇,並儲存超過誤差範 圍之值1 2822。目前設計中任何超過誤差範圍之區域將會The user can choose to use this correction design when the actual mapping size will be equal to the mask 200405184, the invention description (161) is in line with the original design and expectations. The following paragraphs and diagrams describe verification and calibration. Figure 68 is a flowchart showing how the verification and calibration elements operate to meet the conditions. The layout information may include design and retrieval data 丨 2601, key dimensions for prediction, and feature sizes 1 268 〇, which are loaded into the verification and correction το prime 1 2800. This critical dimension and characteristic dimension specifications are also loaded into 1275, and electrical specifications can be optionally loaded to compare the simulated electrical performance of the mapped circuit size. The verification steps are specific to predictions and specifications, such as feature size variation: = able; the tested elements can be used alone or combined into corrections; = (= file) "manufactured expected circuit circuit size. According to early alone or The verification and correction elements used together, the system or database is provided for users to further observe and divide 29 ^ 〇. Case: = 1 2830, you can further carry out secondary wavelength distortion and leading test of the final layout, " Direct: The mask-on-order process. This is the first step of the mask process. 12 = The format of the optical verification step can be completed by three methods, depending on the grid resolution 12312 obtained by the bureau. 〇 Can be standardized. ; As described in section a, the extraction is thinner: = = = = the solution is to dare to represent the small feature size. However, it is necessary to reduce the grid size to correct more subtle features. Retention is increased, and the correct choice is determined; but the next paragraph will have two validators: Retention: user resolution (as shown in Figure 69A) is greater than the feature size and allow grid solution (as shown in Figure 6 9B) ) 〇if it -T is smaller than the feature size) is not possible here Selection of all 1C features = 200405184 V. Description of the invention (162) ^ Grid resolution. However, the size of the graded net can be reduced. Figure 69C also shows the verified square resolution as the special resolution of the lower layer: Combining feature resolution '$' needs to be used for calculation when the grid solution is in all conditions, the feature width varies, and the grid resolution. In the tool, characterizing electrical effects and physical luxury keys, sexual simulation or extraction The electrical performance of the line can be combined with subsequent ECD steps to verify complete interconnection. The two mutation calculations are introduced into the topological variation of the second step of the electrical capture tool, and the chip level or some key secondary areas are electrically characterized. Figure 69D shows another method. The description (such as the maximum, minimum, and average squares and two-grid statistics is used to describe any characteristics that violate the range of distortion. When competing for degrees) determine the supply on the chip. Compared with the 69A, 69B, and 69C maps, this method can be used to correct the correct time. This method can improve the characteristics. In this method, the distribution of individual signs in the H grid of the test knife (such as reducing the most , ^ Way to change the special, the description of the big picture Verification of the separation grid at the minimum IC size. Load the layout level (such as the N + 1 sound of the interconnect layer) fish scale, step 12812. Also load the 12814 overall layer) and talk shirt = different 1 2 680. The predicted variation of the parent-mesh grid is assigned according to the features in the grid (possible probability statistics). 12816 丨 In terms of connections, many such assignments can be reduced or expanded. $: Green layer 816 to provide The common basis is to compare the layout size with the prediction and the size. The design specifications and error range of the step = Zhi_ level are loaded into the system 12818. The corresponding variation and specification of the car parent step 12816 is 1 282 〇, and the excess error is stored. The range value is 1 2822. Any area in the current design that exceeds the margin of error will be

2UU4U5184 五、發明說明(163) 告知使用者,若益,則破切 ^6QR R d, 、確0心此玟計通過驗證檢測。 第69B圖中選項八係描述網格尺 證。相較於第69A_n 尺寸之驗 在第6 9 B圖中,平均分離網格唯不同處在=步㈣8 2 6, i/r ife ia fi /j. 将彳政尺寸之值以於相同艇 析度與相同佈局中繼算一 j解 基礎來比較佈局特徵與預測尺寸。凡成此步驟以k供共通 第69C圖中選項(:係描^ 證。相較於第69A盥69B圖之:二尺寸4於最小IC尺寸之驗 換預測值成與佈乃相n解 不冋處在於移除任何需轉 丄腦或㈣ΓΓΠϊΐΓ’即不需任何步驟 以檢測是否達反解取解/用〃於一般嘗試錯誤步驟中 1 2830 ),並應用5丄析士度’计异出校正(在第70圖中之 有寬度之1 0 。、、,中所有特徵上(如縮小網格中所 算比較下較為簡則/田述作於僅第^圖中、,與其他已述方法之計 估。本發法不需將網= = = = 尺寸評 用最小、最大 所啊!轉換成佈局解析度,而是利 預測特徵尺寸之2 度或特徵尺寸產生每一網格中 異分佈比較:作Ϊ 。利用1 2828計算之特徵尺寸變 嘗試(如擴大;尺寸設計規格與誤差12829,並利用錯誤 )。除此之i 線寬10 %)進行校正(如第70圖1 2830 如第7〇圖所Π與J ί為相似。 此元素中,Α如不,將驗證結果導入校正元素12830。在 1 2832,並物^\過設計誤差範圍之個別特徵尺寸計算修正 性調整電子設計佈局中之特徵尺寸,並製2UU4U5184 V. Description of the invention (163) Informs the user that if it is beneficial, it will cut ^ 6QR R d, and make sure that the plan passed the verification test. Option eight in Figure 69B describes the grid size. Compared to the 69A_n size test in Figure 6 9B, the average separation grid is different only = step 2 8 2 6, i / r ife ia fi / j. Degree and the same layout relay to calculate a j-solution basis to compare the layout features and the predicted size. Where this step is used, k is used for the common option in Figure 69C (: Describe ^. Compared to Figure 69A and 69B: the second size 4 is the smallest IC size. The point is to remove any need to transfer to the brain or ㈣ΓΓΠϊΐΓ 'without any steps to detect whether the anti-solution solution is reached / used in the general trial and error steps 1 2830), and apply 5 analysis degrees to calculate the difference Correction (in the figure 70, there is a width of 1 0. ,,,, and all the features (as compared in the reduced grid is relatively simple. / Tian Shu is written only in Figure ^, and other methods already described) The calculation method does not need to convert the mesh = = = = minimum and maximum size evaluation! It is converted into layout resolution, but it is useful to predict 2 degrees of feature size or feature size to generate different distributions in each grid. Comparison: Make 。. Use the feature size calculated by 1 2828 to try (such as enlargement; dimensional design specifications and error 12829, and use errors). In addition, i line width 10%) to correct (such as Figure 70 Figure 1 2830 as the first The figure 7 is similar to J ί. In this element, if Α is not, the verification result is imported into the correction element 12830. In the 12832, and was ^ \ individual characteristic dimension error range by design calculation of the correction adjustment of the electronic design layout feature sizes, and system

200405184 五、發明說明(164) 造出預期映射或蝕刻 位虛設填入或其他幾何結構。接。著在某些實例中需0 1 2280,且假若明顯地調整卢設彳產生設計佈局 第71與72圖係顯示計/佈局^;則執行新的擷取。 敘述中,係利用特徵寬戶 ° ° =之兩種方法。在以下 關鍵尺寸(CD)相關之; 做Π間:(FS)、以及 計算特徵尺寸,但其他類特徵=;=何調整或 圖所示為第一種方法,丨 丌了相冋刼作。如第71 偏導數以將映射# 用L兀素之反相、擬似反相、戋 偏導數以將映射特徵寬度FWp對應至次 此方法先從第一網捻仞罢十如、m 即々i預认見度FW*。 1 9^4。w批&格位置或超過誤差範圍之特徵開始操作 1 2 8 3 4。攸掘取表單或亩接你曰1从ο 十甘接目則佈局層級可獲得預期之 S 、或其他關鍵尺寸1 260 1。從驗證元素中可獲得 1 2838從ML預測所得之預測微影基礎映射尺寸FWp、或是步 驟1281 6或1 2 826中計算得之特徵層級預測變異。表面拓撲 h亦可自驗證元素中取得1 28 38,並用於對應預期與映射之 線寬間距。第73B圖所述之計算係用以計算已知拓撲h之偏 dFW* 導數或梯度放% 。另一方法為將ML之轉換反相(倒數) 12600,如第 62、64、65 圖所示·· ^=f{FWp)h 4 其中,f為ML之詳細或大略之反相處理。叱之轉換1 26 00為 光學方程式(如由Ray 1 e i gh關係理論),可應用至開發使 用微影測試晶圓之特定之微影工具或圖案複數模型。此存 1057-5667-PF(Nl).ptd 第167頁 200405184200405184 V. Description of the invention (164) Create the expected mapping or etching. The dummy filling or other geometric structure is created. Pick up. In some cases, 0 1 2280 is required, and if the layout is significantly adjusted to generate the design layout, Figures 71 and 72 are display meters / layouts ^; then a new capture is performed. In the description, two methods using the characteristic wide household ° ° = are used. It is related to the following key dimensions (CD); do Π: (FS), and calculate the feature size, but other types of features =; = what adjustment or the figure shows the first method, and the related operations. For example, the 71st partial derivative is used to map # with the inverse of L element, pseudo-inversion, and the partial derivative to correspond to the mapped feature width FWp. This method first twists from the first net, m is 々i Anticipation FW *. 1 9 ^ 4. w Batch & grid positions or features that exceed the error range start operation 1 2 8 3 4. You can extract forms or acres to pick you up. From the top, you can get the expected S or other key dimensions 1 260 1 from the layout level. From the verification elements, 1 2838 is the predicted lithographic base map size FWp obtained from the ML prediction, or the feature level prediction variation calculated in step 12816 or 1 2 826. The surface topology h can also be obtained from the verification element 1 28 38 and used to correspond to the expected and mapped line width spacing. The calculation described in Figure 73B is used to calculate the partial dFW * derivative or gradient of the known topology h. Another method is to invert (invert) 12600 of ML conversion, as shown in Figures 62, 64, and 65. ^ = f {FWp) h 4 where f is the detailed or approximate inversion of ML. The conversion of 26 1 26 00 is an optical equation (such as the Ray 1 e i gh relationship theory), which can be applied to the development of specific lithography tools or pattern complex models using lithography test wafers. This deposit 1057-5667-PF (Nl) .ptd Page 167 200405184

在預期與映射尺寸間之誤差可計算為丨2 8 4 4 : E - f{FW^-FWp) 特徵調整可計算為: dFWp 其中,AW為特徵寬度或尺寸之調整846,可利用第33β圖 1示之程序完成操作。在内連線層級中,AW為線陣列之 細士或擴大。為調整寬度1 2 848需重新計算預測FWp變異, 此系統將重複步驟丨2844、1 2846、1 2848直到誤差落於設 計之誤差範圍中。執行一檢驗步驟確認所有超過誤差範圍 之網格或+特徵使否已進行調整,若無,則繼續此流程 1 28 52。若是1 28 5 1,則物理性地調整修正佈局丨292 〇。 欠如第72圖所示為第二種方法,利用微影測試晶圓取得 之資料,將映射特徵寬度FWp對應至佈局之預設寬度”*。 此方法先從第一網格位置或超過誤差範圍之特徵開始操作 1 2853。從擷取表單或直接從目前佈局層級可獲得丨卩“屯預 期=FW*、FS*、或其他關鍵尺寸126〇1。從驗證元素中可 ,知1 2 838從、預測所得之預測微影基礎映射尺寸FWp、或 疋步驟1 2 8 1 6或1 2 8 2 6中計算得之特徵層級預測變異。表面 拓撲h亦可自驗證元素中取得1 28 55,並用於對應預期與映〇 射之線寬間距。第73B與74C圖所述之計算係用以計算已知 dFW^ 拓撲h之偏導數或梯度郎。另一方法為將ml之轉換反相The error between the expected and mapped dimensions can be calculated as 丨 2 8 4 4: E-f {FW ^ -FWp) Feature adjustment can be calculated as: dFWp where AW is the adjustment of feature width or size 846, which can be used in Figure 33β The procedure shown in 1 completes the operation. In the interconnect hierarchy, AW is the finesse or expansion of the line array. In order to adjust the width 1 2 848, the predicted FWp variation needs to be recalculated. This system will repeat steps 2844, 1 2846, 1 2848 until the error falls within the designed error range. Perform an inspection step to confirm that all meshes or + features that have exceeded the error range have been adjusted. If not, continue with this process 1 28 52. If it is 1 28 5 1, the correction layout 292 is physically adjusted. As shown in Figure 72, the second method uses the data obtained from the lithography test wafer to map the mapped feature width FWp to the preset width of the layout "*. This method starts from the first grid position or exceeds the error range. Features start operation 1 2853. You can obtain "Tun expectation = FW *, FS *, or other key dimensions 1601" from the fetch form or directly from the current layout level. From the verification elements, it can be known that the predicted lithographic base map size FWp obtained by 1 2 838, or the feature level prediction variation calculated in step 1 2 8 16 or 1 2 8 2 6. The surface topology h can also be obtained from the verification element 1 28 55, and is used to correspond to the expected line width spacing from the mapping. The calculations described in Figures 73B and 74C are used to calculate the partial derivative or gradient Lang of the known dFW ^ topology h. Another method is to invert the conversion of ml

1057-5667-PF(Nl).ptd 第168頁 200405184 五、發明說明(166) 1 2 6 0 0,利用校準模型形成: FW^f{FWp}k 其中’f為ML之詳細或大略之反相處理。。此存在預期與映 射尺寸間之誤差可計算為12858 : E = f[FW*-FWp) 特徵調整可計算為·· 腺=五·^! dFWp 其中’ AW為特徵寬度或尺寸之調整846,可利用第33B圖 所示之程序完成操作。在内連線層級中,△ W為線陣列之 縮小或擴大。為調整寬度1 2 862需重新計算預測FWP變異, 此系統1 2865將重複步驟1 28 58、1 28 60、1 2862直到誤差落 於設計之誤差範圍中。執行一檢驗步驟確認所有超過誤差 範圍之網格或特徵使否已進行調整,若無,則繼續此流程 I 2868。若是1 2867,則物理性地調整修正佈局1 292 〇。 第73A圖所示為自預設特徵寬度或尺寸FW*對應至映射 特徵寬度或尺寸LWP之饋進。製程模型1 2873預測晶片表面 拓撲h 1 2874,接著伴隨設計iFW*、FG*、鱼⑶*之 尺 導入微影模型Ml 1 287 5。微影模型1 2875將與社寬1057-5667-PF (Nl) .ptd Page 168 200405184 V. Description of the invention (166) 1 2 6 0 0, formed by using the calibration model: FW ^ f {FWp} k where 'f is the detailed or roughly opposite of ML相 处理。 Phase processing. . The error between this existence expectation and the mapping size can be calculated as 12858: E = f [FW * -FWp) The feature adjustment can be calculated as ... gland = 5 ^! DFWp where 'AW is the adjustment of feature width or size 846, can Use the procedure shown in Figure 33B to complete the operation. In the interconnect hierarchy, W is the reduction or expansion of the line array. In order to adjust the width 1 2 862, it is necessary to recalculate the predicted FWP variation. This system 1 2865 will repeat steps 1 28 58, 1 28 60, 1 2862 until the error falls within the design error range. Perform an inspection step to confirm that all meshes or features that exceed the error range have been adjusted. If not, continue with this process I 2868. If it is 1 2867, the correction layout 1 292 is physically adjusted. Figure 73A shows the feed from the preset feature width or size FW * to the mapped feature width or size LWP. The process model 1 2873 predicts the surface topology h 1 2874 of the wafer, and then the lithography model Ml 1 287 5 is introduced along with the design of iFW *, FG *, and fish *. Lithographic Model 1 2875

II 8 ^ 6 # ^ ^ ^ ^ ^ ^ ^ F WP 1 2 8 7 6。此對應可用以於已知晶片. 寸與微影映射尺寸間之數值關係“撲中建立預設電路尺 當此類對應非可數值轉逆,或為複雜、非線性時,可II 8 ^ 6 # ^ ^ ^ ^ ^ ^ ^ F WP 1 2 8 7 6. This correspondence can be used in the known chip. The numerical relationship between the size of the lithography and the size of the lithographic mapping "create a preset circuit size in flutter.

1057-5667-PF(Nl).ptd 第169頁 五、發明說明(〗67) 利用偏導數提供反相接近 則顯示此類機制,將映射尺線近似。娜圖 :^ ί ;;: ; ',^1 映射尺寸計算預期尺;之梯二2 8:導數有幾種方式可根據 製程與量測微影測數晶片之導J。-種方法為採用 述於第f節中。另一方法為·^如第74c圖所述,其詳 導入Ml元素,並儲存最_ 徵寬度變異 可根細*隨FWp之變化外笪艾異FWp。利用此數值表 積分或岸用數二鉍偏v數’此流程可參考各類微 =值教科書。另一種方法為假若A包括一系列 化流尺寸之方程式。線性 驗證與多重變數控制之教科書。 ^ r, . . ^ 素為计异電子設計以應用於每一設計層 示之層級)之光罩製作中最後的步驟。第75圖所 = ϊ不如何結合並運用第a節至第e節所述之元素於 而/ ίίΐ層級上重複操作。冑第一内連線層級1 20 0 1 Ϊ失設計層級之特徵尺寸驗證並校正預期特徵Ϊ .+ , ^ 之裨盈1 3 0 1 4。重複此流程1 3 0 1 8直到所有映 尺寸、設計、以及電性參數(該層級)符合· 5又计與特徵尺寸之誤差範圍。 内連線層級丨之整體晶片拓撲將會延遞至層級2 箱如-。主對第二内連線層級1 3002而言,利用佈局13〇22與 、凡”13024產生晶片層級拓撲,伴隨目前設計層級之 第170頁 1057-5667-PF(Nl).ptd 200405184 五、發明說明(168) 關鍵尺寸(在此例中為層級2 )驗證並修正1 3 〇 2 8預設特徵 尺寸誤差之變異1 3 026。重複此流程1 3030直到所有映射1 蝕刻特徵尺寸、設計、以及電性參數(該層級)符合設:十 與特徵尺寸之誤差範圍。内連線層級2之整體晶片拓撲接* 著延遞至層級3,持續重複此流程直到最終層級。 ' f · 製造並使用微影測試晶圓 如第b節所述之校準程序所述,測試晶圓利用測試結 構之變異對應電路特徵與一或多組製程步驟中圖案附屬之 關係。五方法包括形成並使用測試晶圓以取得微影工具、 光阻材質、以及沈積或後續蝕刻之圖案附屬。微^測&晶 圓包含有測試結構,根據映射關鍵尺寸特徵化特徵密度與 導入拓撲圖形(單一與多重層級)。此測試晶圓模ς ^ /圖 案化之導入晶圓之拓撲變異,藉以控制設備使結構可隨可 能電路圖案之規格而改變調整。 第m圖係顯示如何利用測試晶圓特徵化微影製程之 圖案附屬。根據量測配方進行前製程測試晶圓拓撲之量 产位置136〇°量測資料整合於-表單中、,此表 二:與y位置之下層電路圖案(如特徵寬靜 興特彳政間距F S * )以及戾面;摊h ^广 最炊產口 拓撲h(如厚度)1 36 02。利用 ,二產扣1C之貫際微影製程流程對晶圓進行製程。微 私々丨1_私包括多類步驟,如光 蝕刻步驟。y _ n 積、微影成形、以及後續 Π二,製程此最終寬度變異後,量測1 36 06並計算 - y立置之映射或蝕刻特徵尺寸之型式(如寬度1057-5667-PF (Nl) .ptd Page 169 V. Description of the Invention (〖67) Use of partial derivatives to provide inverse approach shows such a mechanism and approximates the mapping rule. Natu: ^ ί;:;, '1, ^ 1 Map the size to calculate the expected ruler; Ladder 2 28: Derivatives There are several ways to derive the derivative J of the wafer based on the process and measurement of the photolithography chip. One way to do this is described in section f. Another method is as shown in Fig. 74c, which introduces the M1 element in detail and stores the minimum sign width variation, which can be thinned * as FWp changes. Use this numerical table to integrate or calculate the number of bismuth partial v-numbers. This process can refer to various micro-value textbooks. Another approach is if A consists of a series of equations for the size of the flow. Textbook on linear verification and multiple variable control. ^ r,.. ^ is the last step in the fabrication of photomasks based on the design of different electronics (applied to each design level). Figure 75 shows how ϊ does not combine and use the elements described in sections a to e to repeat operations at the / ίΐ level.胄 The first interconnecting level 1 2 0 0 1 The feature size of the design level is lost to verify and correct the expected features Ϊ. +, ^ The benefits 1 3 0 1 4. Repeat this process 1 3 0 1 8 until all mapping dimensions, design, and electrical parameters (this level) meet the 5 and calculate the tolerance range of the feature size. The overall chip topology of the interconnect level 丨 will be extended to the level 2 box such as-. Mainly for the second interconnect line level 1 3002, the layout 13002 and FAN 13024 are used to generate the chip-level topology, which accompanies the current design level, page 170 1057-5667-PF (Nl) .ptd 200405184 V. Invention Explanation (168) Critical dimensions (level 2 in this example) Verify and correct 1 3 0 2 8 Variation of preset feature size error 1 3 026. Repeat this process 1 3030 until all mapping 1 etch feature size, design, and The electrical parameters (the level) conform to the design: ten error range with feature size. The overall chip topology of the interconnect level 2 is extended to level 3, and this process is repeated until the final level. 'F · Manufacture and use Lithographic test wafers As described in the calibration procedure described in Section b, test wafers use variations in the test structure to correspond to circuit characteristics and pattern dependencies in one or more sets of process steps. Five methods include forming and using test wafers In order to obtain lithography tools, photoresist materials, and patterns deposited or subsequently etched. Micro-testing & wafers contain test structures, characterizing feature density and importing topological graphics based on mapped key dimensions (Single and multiple levels). This test wafer mold / / patterning introduces topological variation of the wafer to control the equipment so that the structure can be adjusted and adjusted according to the specifications of possible circuit patterns. Figure m shows how to use the test wafer The pattern of the characterization lithography process is attached. The pre-process test of the wafer topology according to the measurement recipe is carried out. The production position of the wafer is 136 °. The measurement data is integrated in the form. This table 2: the circuit pattern below the y position Features wide and quiet Xing special administrative distance FS *) and the surface; booth h ^ the most extensive production port topology h (such as thickness) 1 36 02. Utilization, the second production deduction 1C through the lithography process flow to the wafer Production process. Micro-private 々1_private includes many types of steps, such as photo-etching steps. Y _ n product, lithographic forming, and subsequent Π 2. After this final width variation of the process, measure 1 36 06 and calculate-y 立Mapping or etching feature size (such as width

200405184 五、發明說明(169) 與間距) % 5生纟"果表單13 6 1 〇用以校準圖案附屬微影模型、校 士 =冲特欲以符合預期映射或蝕刻尺寸、或評估特定製程 机知、彳政影與餘刻工具之最佳之實施方式(如工具與製程 配^ )以及消耗品(如光阻材料)。第76Β圖係顯示此類 表單’其中(X,y )位置儲存於行1 3 62〇與1 3622,設計或 1期線見之(x,y )為行1 62 4,量測表面拓撲之(X,y )為 626,映射或蝕刻尺寸之(x,y)為行ι3628,預設與映 射(餘刻)特徵之差異位於行1 3 63()。200405184 V. Description of the invention (169) and spacing)% 5 Health " Fruit Form 13 6 1 〇 Used to calibrate the pattern attached to the lithography model, scholastic = punch to meet the expected mapping or etching size, or evaluate specific processes The best implementation of the machine learning, the power of shadow and the tools (such as tool and process configuration ^) and consumables (such as photoresist materials). Figure 76B shows this type of form, where the (X, y) position is stored in rows 1 3 62〇 and 1 3622. The design or phase 1 line sees (x, y) as row 1 62 4, which measures the surface topology. (X, y) is 626, and the (x, y) of the mapped or etched size is line ι3628. The difference between the preset and mapped (remaining) features is located at line 1 3 63 ().

^ y映射與蝕刻”在此敘述中為可置換之用語。此因 二:艮難在微影成形後立即量測映射寬度,故執行蝕刻步塌 ίίίί地量測出特徵。蝕刻亦會影響整體寬度變異,女 二/日/木度與側壁一般,成為圖案附屬之最終結果。本^ ί 整ΐ特徵寬度或尺寸變異,同時考慮謂 二射與㈣之變異。… 1 . Γί ° ^一 4測态之有效性、以及量測方法 m刻t驟之需求’並提供映射特徵之直接量測。, 於同-實例中-同採用此方法與此類晶圓。 下列各圖係顯示在微影製程中刹m、,^ "y mapping and etching" is a replaceable term in this description. This is because of the difficulty: it is difficult to measure the mapping width immediately after lithography, so the etching step is performed to measure the features. Etching will also affect the overall Width variation, female second / day / woodiness is the same as the side wall, which becomes the final result of the pattern attachment. Ben ^ ί The variation of the width or size of the feature, taking into account the variation of the two shots and ㈣. 1. The validity of the measurement state and the requirements of the measurement method, and the direct measurement of the mapping features are provided. In the same example, this method is used with this type of wafer. The following figures are shown in micro Film brake m ,,

附屬。第77A圖顯示多重測試晶圓中堆矛^用測試晶圓操取圖堯 1056、接續為ILD層(如氧化或低^二開始於石夕晶圓 屬層1 1 3052、插塞層1 1 30 5 1、以/吊數層)1 30 54、4 測試晶圓堆疊因下層®❿關係到2層2 1 3050 °此 J托撰變里。 第77B圖係顯示金屬1層之佈局實W金屬層i中利Affiliated. Figure 77A shows a stack of spears in a multiple test wafer. The test wafer is used to manipulate Tuya 1056, followed by an ILD layer (such as oxidation or low ^ 2. Beginning in Shixi wafer metal layer 1 1 3052, plug layer 1 1 30 5 1 、 Several layers) 1 30 54、4 The stack of test wafers is related to 2 layers due to the lower layer ®❿ 1 1 3050 ° This commissioning change. Figure 77B shows the layout of the metal layer 1 and the metal layer i.

l〇57-5667-PF(Nl).ptd 第172頁 200405184 五、發明說明(170) 用改變線寬與間距之區塊1 3 1 〇〇以取得内連線層之線寬與 間距附屬。在金屬層1中利用改變陣列尺寸之區塊1 320 ()以 取得陣列與插塞間之圖案互動關係。在金屬層1中利用改 變狹縫結構之區塊1 3250以取得狹縫結構、線段、與插塞 間多層圖案之互動關係。 第77C圖係顯不插塞層級之佈局實例。在金屬層1中利 用固定尺寸與間距插塞陣列之區塊丨3 4 〇 〇以取得插塞陣列 與改變陣列結構間之圖案互動關係。在金屬層1中利用固 疋尺寸與間距插塞鏈之區塊1 3 5 0 〇以取得插塞鏈與改變狹 縫結構間之圖案互動關係。改變線寬與間距區域間之插塞 層級區域為I LD區,在並無結構以擷取線段間之互動關係 1 32 99。 第77D圖係顯示金屬2層之佈局實例。在金屬層2中利 用重疊線寬與間距結構之區塊1 3 3 0 〇以取得内連線層之線 寬與間距附屬。在金屬層2中利用重疊線寬與間距結構之 另一區塊1 3 4 0 1以取得插塞陣列與金屬層間之附屬。在金 屬層2中利用重疊線寬與間距結構之另一區塊丨3 5 以取得 插塞導線、陣列、與狹縫結構之層間附屬。 以下各段與圖示將分別描述區域1 3 1〇 〇、1 3 2 9 9、〇57-5667-PF (Nl) .ptd Page 172 200405184 V. Description of the invention (170) Use the block 1 3 1 00 that changes the line width and spacing to obtain the line width and spacing of the interconnect layer. In the metal layer 1, the block 1 320 () of changing the array size is used to obtain the pattern interaction between the array and the plug. In the metal layer 1, the block 1 3250 that changes the slit structure is used to obtain the interaction between the slit structure, the line segment, and the multilayer pattern between the plugs. Figure 77C shows an example layout of the non-plug level. In the metal layer 1, a block of a fixed size and pitch plug array is used to obtain the pattern interaction between the plug array and change the array structure. In the metal layer 1, the block size 1350 of the plug chain with a fixed size and spacing is used to obtain the pattern interaction between the plug chain and the slit structure. Change the plug between the line width and spacing areas. The level area is the I LD area. There is no structure to capture the interaction between line segments. 1 32 99. Figure 77D shows an example of the layout of two metal layers. In the metal layer 2, a block 1333 which overlaps the line width and the space structure is used to obtain the line width and the space of the interconnect layer. In the metal layer 2, another block 1 3 4 0 1 with overlapping line width and space structure is used to obtain the attachment between the plug array and the metal layer. In metal layer 2, another block with overlapping line width and space structure is used to obtain interlayer attachments of the plug wires, arrays, and slit structures. The following paragraphs and illustrations will describe the areas 1 3 1 0 0, 1 3 2 9 9,

1 3 3 0 0中跨越金屬1、插塞1、與金屬2具結構支各層線寬與 間距之互動區域。第7 8圖顯示改變金屬層1中跨越較大元 件13100之線寬與間距13110。第79圖係顯示金屬層1之每 一次級區域(如13120)中具固定寬度〇.35微米13123與間 距為0 · 3 5微米1 3 1 2 1之一陣列結構1 3 1 2 0。In 1 3 3 0 0, the interactive area of line width and spacing of each layer spans metal 1, plug 1, and metal 2 with structural support. Figure 7-8 shows changing the line width and spacing 13110 across the larger element 13100 in metal layer 1. Figure 79 shows an array structure 1 3 1 2 0 with a fixed width of 0.35 micron 13123 and a pitch of 0.35 micron 1 3 1 2 1 in each of the secondary regions (eg, 13120) of metal layer 1.

1057-5667-PF(Nl).ptd 第173頁 200405184 五、發明說明(171) "一 " "— ----- 辟妨^1^,1中區域131〇〇與金屬層2中區域1 33 0()間之插塞 二Μ : Q層如氧化或低介電常數材質),故其間並無 、; 姓〇圖係顯不在較大區域13300之區域13122中金屬 I #之^ °構型式。此處之目的係為特徵化金屬層間之線寬 二' 二距,故區域1 3 〇 〇已改變寬度與間距以與金屬層1元 痒 0之固定寬度與間距重疊。此重疊步驟允許結合寬 間距值成為較佳規格以製造電路。例如,元素丨3丨2 2 具有四組重疊結構(13128、13129、13130、13131 ), 其亦位於較大區域133〇〇中。一組區域具有線寬〇·託微米 與線間距為〇· 25微米13128。另一組區域具有線寬2微米與 線間距為〇微米13129。另一組區域具有線寬〇. 13微米與線 間距為0.13微米13130。另一組區域具有線寬〇·5〇微米與 線間距為〇 · 5 0微米1 31 31。 第81Α與81Β圖係顯示兩金屬層之重疊。第81Α圖顯示 在金屬層1中具固定線寬與線間距之結構13124。第81Α圖 亦顯示在金屬層2中具改變線寬與線間距之結構131 26。第 81圖係顯示測試晶圓如何利用將金屬2重疊上金屬j之方法 特徵化兩層之互動關係。此重疊結構為丨3丨4 〇、丨3丨4 2、 13144、13146。區域1 3299之插塞層1為較大之丨!^區域且k 電性隔離兩金屬層,此點並未顯示於圖中。 , 下組圖示與段落係描述特徵化陣列與插塞之結構區域 13200。第82圖顯示在金屬層1之區域13200中結構之佈 局。擴大1 3 21 2定義之區域以顯示氧化區1 3 21 〇中較大陣列 結構13211之型式。對金屬層1上插塞層1中區域13145而1057-5667-PF (Nl) .ptd Page 173 200405184 V. Description of the invention (171) " 一 " "------ ^ 1 ^, the region 13 in 1 and the metal layer 2 In the middle area, the plug 2M between 1 33 0 (): Q layer such as oxidized or low dielectric constant material), so there is no;; Surname 0 is not shown in the area 13122 of the metal I # ^ ° configuration. The purpose here is to characterize the line width of the metal layers between two and two distances, so the area 13 has changed the width and spacing to overlap the fixed width and spacing of the metal layer. This overlapping step allows the combination of wide pitch values to become better specifications to make the circuit. For example, the element 丨 3 丨 2 2 has four sets of overlapping structures (13128, 13129, 13130, 13131), which are also located in the larger area 13300. A set of regions has a line width of 0.1 micrometers and a line spacing of 0.25 micrometers. The other set of regions has a line width of 2 microns and a line spacing of 13129. Another set of regions has a line width of 0.13 microns and a line spacing of 0.113 microns. Another set of regions has a line width of 0.50 micron and a line spacing of 0.50 micron 1 31 31. Figures 81A and 81B show the overlap of two metal layers. Fig. 81A shows a structure 13124 having a fixed line width and line spacing in the metal layer 1. FIG. 81A also shows a structure 131 26 in the metal layer 2 with a change in line width and line spacing. Figure 81 shows how the test wafer uses the method of superimposing metal 2 on metal j to characterize the interaction between the two layers. This overlapping structure is 丨 3 丨 4 〇, 丨 3 丨 4 2, 13144, 13146. The plug layer 1 of the area 1 3299 is a relatively large area and k electrically isolates the two metal layers, which is not shown in the figure. The next set of illustrations and paragraphs describe the structural area 13200 that characterizes the array and plug. Figure 82 shows the layout of the structure in area 13200 of metal layer 1. The area defined by 1 3 21 2 is enlarged to show the pattern of the larger array structure 13211 in the oxidized area 1 3 2 0. The region 13145 in the plug layer 1 on the metal layer 1 and

1057-5667-PF(Nl).ptd 第174頁 200405184 五、發明說明(172) 言,第83圖顯示大型插塞陣列之型式13412,其為擴大區 域13410中灰色方塊區域。 第84A與84圖顯示金屬與插塞層之重疊。第84A圖顯示 金屬層1中大型陣列結構1 3 2 1 〇。第8 4 A圖亦顯示插塞1層中 插塞結構。第84B圖顯示測試晶圓如何利用將插塞1重疊上 金屬1之方法特徵化兩層之互動關係。此重疊結構為1 3 2 11 與 13412。 下組圖示與段落描述特徵化狹縫結構、插塞鏈、與重 疊金屬線間互動關係之結構。第85A圖顯示第85B描繪實例 中選取三區域13540、13542、13544之金屬層1之狹縫結構 區域1 3 2 5 0。在第8 5 B圖中,無狹縫材質之導線實例係顯示 為13540。兩狹縫型式之實例顯示於13542與13544。金屬1 (Ml)、插塞1、以及金屬2 (M2)層之圖示為13546。第 85C圖將插塞層之插塞鏈結構(如13500、13552、13554所 示)重疊至第85B圖所示之狹縫結構13540、13542、13544 上。第85D圖將金屬2重疊於導線上,此導線經由三型式 1 3560、16562、1 6 566之狹縫結構之插塞結構連接至金屬 層1。1 3 5 6 6係提供一^圖不。此處係完成描述此特定佈局實 例中三組結構區域。1057-5667-PF (Nl) .ptd Page 174 200405184 V. Description of the Invention (172) In Figure 83, a large plug array pattern 13412 is shown, which is a gray square area in the enlarged area 13410. Figures 84A and 84 show the overlap of metal and plug layer. FIG. 84A shows a large-scale array structure 1 3 2 1 0 in the metal layer 1. Figure 8 4 A also shows the plug structure in the first layer of the plug. Figure 84B shows how the test wafer uses the method of overlapping plug 1 with metal 1 to characterize the interaction between the two layers. This overlapping structure is 1 3 2 11 and 13412. The next set of illustrations and paragraphs describe the structure that characterizes the slit structure, the plug chain, and the interactive relationship with the overlapping metal wires. Fig. 85A shows the slit structure region 1 3 2 50 of the metal layer 1 with three regions 13540, 13542, and 13544 selected in the 85B drawing example. In Figure 8 5B, an example of a wire without a slit is shown as 13540. Examples of two slit patterns are shown in 13542 and 13544. The metal 1 (Ml), plug 1, and metal 2 (M2) layers are illustrated as 13546. Fig. 85C overlaps the plug chain structure of the plug layer (shown as 13500, 13552, 13554) on the slit structures 13540, 13542, and 13544 shown in Fig. 85B. Figure 85D superimposes metal 2 on the wire, and this wire is connected to the metal layer 1 through the plug structure of the slit structure of the three types 1 3560, 16562, 1 6 566. The 1 3 5 6 6 series provides a picture. This completes the description of the three sets of structural areas in this particular layout example.

别述各#又洛所述之微影測试晶圓並未限定應用於此類 結構,其中可包括許多結構以特徵化金屬層與其他插塞間 特徵寬度、特徵間距、虛設填入、或狹縫結構之互動關 係。此處不必執行所有流程以對微影製程進行特徵化處 理,在此建議擷取導入製程之型式可依據微影製程將接收The lithography test wafers described in the other #each Luo are not limited to such structures, and may include many structures to characterize the feature width, feature spacing, dummy fill, or dummy fill between the metal layer and other plugs, or Interactive relationship of the slit structure. It is not necessary to perform all processes here to characterize the lithographic process. It is recommended that the type of the imported process can be received according to the lithographic process.

200405184 五、發明說明(173) ----— 之圖案附屬而定。實際製造測試晶圓之製程亦可運用於 徵化微影之前的CMP與ECD製程。 g. 應用 上述方法可廣泛地運用。第48與49圖已顯示晶片層級 圖案附屬、拓撲變異、影像圖案密度分別影響微影特徵密 度變異之方式。下列圖示與段落將詳述利用流 之解決方案。 即 圖描述出現於第4 8與4 9圖中問題之解決方法 下列 第8 6 A圖描述如何降低晶片層級拓撲變異之第一問題。第 86B圖顯示以第8圖之表面拓撲變異與第86A圖之解決方 案、。在此應用中,將第N層佈局載入電腦中,其中已安 上述方法之軟體1 4 008。製程模型預測元素14〇12取得擷、取 並預測晶圓層級表面拓撲14〇14。此拓撲變異14〇46盘高产 變異14G48亦顯示於第86B圖中。將導人晶片層級拓撲- 14014與第N+1層佈局14〇26載入微影模型元素14〇16,以預 =特,尺寸(如線寬)變異14G18。從第Ν+ι層可擷取出圖 匕二f用14013。將設計誤差1 4 022載入電腦140〇8並 = ^1 4 020預測尺寸.驗證與校正元〇 到達成合格之映射特徵尺寸(如線寬) 局第NH層光罩。第86B所示為第46A圖所述 ’、”結果,其中在佈局中調整14040第N+1層光罩 1 4 040,使得映射_ /、w 為預期寬度。此解決方案使微影製程得200405184 V. Description of the Invention (173) ---- The pattern depends on the attachment. The actual process of manufacturing test wafers can also be applied to the CMP and ECD processes before levitation lithography. g. Application The above methods can be widely used. Figures 48 and 49 have shown how wafer level pattern attachment, topological variation, and image pattern density affect the lithographic feature density variation, respectively. The following diagrams and paragraphs detail the solutions that make use of streams. That is, the figure describes the solution to the problem appearing in Figures 4 8 and 49. Figure 8 6 A below describes the first problem of how to reduce wafer-level topological variation. Figure 86B shows the topological variation of Figure 8 and the solution of Figure 86A. In this application, the Nth layer layout is loaded into the computer, and the software of the above method 1 4 008 has been installed. The process model predictive element 1412 acquires, fetches, and predicts the wafer-level surface topology 1414. This topological variation of 1,046 plates of high yield variation, 14G48, is also shown in Figure 86B. The inductive wafer-level topology-14014 and the N + 1 layer layout 1426 are loaded into the lithographic model element 1416, and the size (such as line width) is changed by 14G18 in advance. The figure can be retrieved from layer N + ι. Load the design error 1 4 022 into the computer 140〇8 and ^ 1 4 020 to predict the size. Verify and correct the element 0 to reach the qualified mapping feature size (such as line width). Figure 86B shows the results of the "," described in Figure 46A, in which the 14040th N + 1 layer mask 1 4 040 is adjusted in the layout so that the mapping _ /, w is the expected width. This solution enables the lithography process to be

200405184 五、發明說明(174) 以調整映射特徵成晶方内薄膜厚度 第m圖係描述降低第49圖中特徵里第 / \弟$ 9圖相似之特徵密度變異與第 電腦中,其中已安裝上述方法之/上7佈局14°7°载入 m4m取得榻取並預測晶圓層級表面拓;ίΐ; ί:會與特徵密度資訊結合使用。因特徵密度產生之光學 干擾可能改變焦距深度,故可採用拓撲圖形資訊。千 將第ΝΗ層佈局14()71載人擁取n4()75 2:貧=利用第中謝工具之流程或光學近似校正工 具執灯擷取。將特徵密度擷取與拓撲資訊“”彳載入微 ,型元素1 40 76,以預測特徵尺寸變異14〇78。將設計為、差 圍1 4 082載入電腦1 40 6 9並比較14〇 80預測尺寸。驗證與 校正元素1 40 84重複調整製程與佈局直到達成合格之映制; 特徵尺寸。接著利用佈局製造佈局第N+1層光罩。第MB所 示為第87A圖所述之解決方案結果,其中在佈局中調整 1 4084 弟 N + 1 層光罩 1 4092 特徵尺fwa 1 40 96 與 wb 1 4 098, 使得映射特徵w +△ 1 14102與w +△ 2 14104為預期寬度。 此解決方案使微影製程不論在薄膜厚度為平坦丨41 〇 〇或&改 變1 4046 (如第86圖所示)之情況下,皆得以調整映射 _ 徵以改變特徵密度。 ’ 此方法亦提供與習知步進器相同之功能。可控制步進 器適應晶圓層級如扭曲或彎曲之變異(如彎曲或扭曲), 用此將微影影像調整至晶片層級或晶方内部之變異。第8 8 200405184200405184 V. Description of the invention (174) Adjust the mapping feature to form the film thickness in the crystal cube. Figure m describes the reduction of the feature density in Figure 49. The feature density variation similar to that in Figure 9 is the same as that in the computer, which has been installed. The above method / upper 7 layout 14 ° 7 ° load m4m to obtain the table and predict the wafer-level surface extension; ΐΐ; :: It will be used in combination with characteristic density information. Optical interference due to feature density may change the focal depth, so topological graph information can be used. Thousands of the Nth layer layout 14 () 71 manned to capture n4 () 75 2: poor = using the process of the second tool or optical approximation correction tool holder capture. The feature density extraction and topological information "" 彳 are loaded into the micro, type element 1 40 76 to predict the feature size variation 1408. Load the design with a margin of 1 4 082 on a computer 1 40 6 9 and compare the predicted size of 14 0 80. Verification and correction elements 1 40 84 Repeat the adjustment process and layout until a qualified image is reached; feature size. Then, the layout is used to fabricate the N + 1th layer photomask. Figure MB shows the result of the solution described in Figure 87A, where 1 4084 N + 1 layer mask 1 4092 feature scale fwa 1 40 96 and wb 1 4 098 are adjusted in the layout, so that the feature w + △ 1 is mapped 14102 and w + Δ 2 14104 are the expected widths. This solution enables the lithography process to adjust the mapping _ characteristics to change the feature density, regardless of whether the film thickness is flat 丨 41 〇 〇 or & Change 1 4046 (as shown in Figure 86). ’This method also provides the same functionality as a conventional stepper. The stepper can be controlled to adapt to wafer-level variations such as twists or bends (such as bends or twists), and use this to adjust the lithographic image to wafer-level or wafer-level variations. No. 8 8 200405184

圖顯示基礎之步進器技術,其中顯示具IC圖案之光罩 1 4299成像於晶圓表面上不同高度之點A 142〇8至6 142^)9。一般步進器會映射於一已定義區域或包含一或多 、、且日a方之區域上。微影光具量測對準標記〗4 2〗2與1 4 2 1 4之 X與^對準與傾斜。如彎曲或扭曲之晶圓層級變異1 4 2 1 〇較 為常見,晶圓表面上位於點A 1 4208上之特徵與為於6 14209上不同。工具將使光罩14220與伴隨之光學裝置適應 以補彳員此類長距離之變異。對焦平面f ! 4 2丨8可或不需被 調整以將解決電源最大化。步進與掃瞄工具對長條帶上的 晶方進行曝光,圖案為相連於每一長條帶上。在大多數應 用中,步進器調整至晶圓拓撲之長度範圍為i至5〇 。晶 方内或晶片層級拓撲與發生與晶圓層級相似範圍之變異, 但此長度範圍約在〇.〇〇〇〇8mm至25mm之間。第89圖所示為 此類情況,調整光罩1 42 23 (第88圖之晶圓表面)Π使^特 徵映射至晶圓之ILD層1 4 20 1。此調整需參考χ與乂對準桿記 1 4222與傾斜以及對焦距離1 4221。然而,晶片/層級變 1 4 2 2 4發生在更小長度範圍與某些特徵上,此差異並非如 同對焦長度,可能會超過設計規格中關鍵尺寸之誤差範圍 本發明所述之方法可應用於完整地習知步進器技術 上,並可如小型步進器作業般調整映射影像時之晶片^級 變異。此方法可應用於晶片層級微影校正步進器(CL )系統1 4266,以接收下列輸入:佈局與設計規格142^〇、 微影工具參數與設定1 4 2 6 2以及測試晶圓資料1 4 2 6 4。The figure shows the basic stepper technology, in which a mask with an IC pattern 1 4299 is imaged at points A 1420-8 to 142 ^ 9 at different heights on the wafer surface. Generally, a stepper will be mapped on a defined area or an area containing one or more and a side. The lithography light measuring alignment marks 〖4 2〗 2 and 1 4 2 1 4 X and ^ alignment and tilt. For example, a curved or twisted wafer level variation of 1 4 2 1 0 is more common, and the characteristics on the wafer surface at point A 1 4208 are different from those on 6 14209. The tool will adapt the mask 14220 to the accompanying optics to compensate for such long distance variations. The focal plane f! 4 2 丨 8 may or may not need to be adjusted to maximize the resolution power. The step and scan tools expose the cubes on the strips, and the pattern is connected to each strip. In most applications, the stepper is adjusted to a wafer topology with a length ranging from i to 50. Topology and wafer-level or wafer-level variations occur within a similar range to the wafer level, but this length range is between about 0.008mm and 25mm. Fig. 89 shows such a case. Adjust the mask 1 42 23 (wafer surface of Fig. 88) to map the ^ feature to the ILD layer 1 4 20 1 of the wafer. This adjustment needs to refer to χ and 乂 alignment lever notes 1 4222 and tilt and focus distance 1 4221. However, the wafer / level change 1 4 2 2 4 occurs in a smaller length range and certain features. This difference is not the same as the focal length. It may exceed the error range of the key dimensions in the design specifications. The method described in the present invention can be applied to Completely familiar with the technology of stepper, and can adjust the chip-level variation when mapping the image like a small stepper operation. This method can be applied to wafer-level lithography correction stepper (CL) system 1 4266 to receive the following inputs: layout and design specifications 142 ^ 〇, lithography tool parameters and settings 1 4 2 6 2 and test wafer data 1 4 2 6 4.

200405184 五、發明說明(176) (:11〇3系統1 4266利用第86人、868、87八、878圖所示之步進 器執行步驟1 426 8、1 427 0、1 4272中之三種基本功能。第 一種功能為驗證一已知佈局是否通過已知佈局設計層級之 微影製程步驟1 4 2 6 8。第二種功能為確認超出設計誤差範 圍之佈局區域14270 (與步驟14271所述情況相同,亦示於 第4 9圖)。第三種功能為修正佈局使映射(或蝕刻)尺寸 與特徵符合預設值或設計誤差範圍丨4272。最後經調整之 佈局符合所有設計與電性規範並可生產預期映射(蝕刻) 特徵尺寸1 4 2 7 4。接著利用此佈局製造微影用光罩丨4 2 7 6。200405184 V. Description of the invention (176) (1111 system 1 4266 uses the stepper shown in Figure 86, 868, 87, 878 to perform the three basic steps of step 1 426 8, 1 427 0, 1 4272 Function. The first function is to verify whether a known layout passes the lithography process steps of a known layout design level. Step 1 4 2 6 8. The second function is to confirm the layout area 14270 beyond the design error range (described in step 14271). The same situation is also shown in Figures 4 to 9). The third function is to modify the layout so that the mapped (or etched) dimensions and features conform to the preset value or design error range. Specification and production of expected mapping (etching) feature size 1 4 2 7 4. This layout is then used to make photolithographic masks 4 2 7 6.

在某些實例中,在設計誤差範圍内緊縮參數將有極大 的助益。此可藉由降低誤差縣市或擴大預測與校正元素 (如第46A圖所示步驟14024或第4 7A圖所示步驟14084), 直到誤差大幅下降為止。持續最佳化設計與電性參數之成 本將會大幅增加。故由使用者決定是否使用此方法。 h. 施行與使用 上述方法可藉由軟體在電腦或伺服器上施行,電腦可 2:路或其他電子媒介連結各類電。此方法可做為微 2 2 : # tfL )系統,驗證特定電路設計是否應於晶圓上 I =二^ μ,f校正設計,特徵將不會實際生產。Df L可 ^ 曰曰片内部附屬結合決定設計與製程開發流 程。 此郎將描述如> - I、4处 L… 彳7 ^订軟體以及如何與其他設計與製造 兀素連結。此節亦护、+、从▲ ^ &边軟體如何結合微影工具與電計In some cases, tightening parameters within the design error range can be of great help. This can be done by reducing errors in counties and cities or expanding prediction and correction elements (step 14024 shown in Figure 46A or step 14084 shown in Figure 4A) until the errors have dropped significantly. The cost of continuously optimizing the design and electrical parameters will increase significantly. It is up to the user to decide whether to use this method. h. Implementation and use The above methods can be implemented on a computer or server by software. The computer can connect to various types of electricity by two-way or other electronic media. This method can be used as a micro 2 2: # tfL) system to verify whether a specific circuit design should be on the wafer. I = 2 ^ μ, f correction design, features will not be actually produced. Df L can be used to determine the design and process development process. This Lang will describe such as >-I, 4 L ... 彳 7 ^ ordering software and how to link with other design and manufacturing elements. This section also protects how + and ▲ ^ & edge software combines lithography tools and electricity meters.

第179頁 200405184 五、發明說明(177) 自動化(EDA )工具。 .包含本發明之元素係建構於軟體中(如Java,Tcl, Bas i c,SQL )並予以模組化,在產生量測計畫時可選擇是 否完全使用所有元素。例如,此方法不僅可用於製程模型 以產生薄膜厚度變異、tb較寬度設計規格、並可決定出違 二規札之位置。下列將描述本方法所採用之一般計算網 路0 第51圖係顯示一種應用本發法之軟體結構。使用者 1 4353經由圖形介面系統(GUI ) 14354,如網路瀏覽器, 連通至系統。GUI 1 4354允許使用者選擇並上 佈 設計檔案至虛設填入系统,顴看黨佟T F硤 电卞仰局 会妓% 4 α从 死覜看而修正區域,或已經微影 ^、、、充e又计所修正之設計區域。當系統安裝於eda工具中 時,使用者為一設計者,而GUI為EDA工具之一部分。 而13如本郎對GUI之定義及使用,允許使用者 自其他格式之電子媒介箱里 μ 平、,丨預期之0又计規則、以及設計檔荦 =定裝置之電性效能予以選,、上傳、或傳送案 =者亦可利用此介面自一伺服器選取製程與電子模型, j自另一電子媒介來源或電腦以傳送或負載模型。使用者 ί:ί:介面自儲存於伺服器之虛設填入標的資料庫選取 來源或電腦以傳送或負載模型。使某;丨 真入調整後之結果,亦/或檢視最後整體晶 a =s费度、預測製程薄膜厚度亦/或電性參數。此 、、、°果可為下列格式:Page 179 200405184 V. Description of the Invention (177) Automation (EDA) tool. The elements containing the present invention are built in software (such as Java, Tcl, Basic, SQL) and modularized. You can choose whether to use all elements completely when generating a measurement plan. For example, this method can not only be used in process models to produce film thickness variations, tb is wider than design specifications, and it can determine where the two rules are violated. The following will describe the general computing network 0 used in this method. Figure 51 shows a software structure using this method. User 1 4353 is connected to the system via a graphical interface system (GUI) 14354, such as a web browser. GUI 1 4354 allows the user to select and upload design files to the virtual filling system. Look at the party, TF, and the Electric Power Bureau. Probation will be done. e The calculated design area is also calculated. When the system is installed in the eda tool, the user is a designer and the GUI is part of the EDA tool. And 13 such as the definition and use of GUI by the user, allows users to choose from the electronic media box of other formats, the expected zero and the rule, and the design file 定 = the electrical performance of the device, Uploading or transmitting the project = You can also use this interface to select the process and electronic model from a server, and j to transfer or load the model from another electronic media source or computer. User ί: ί: The interface selects a source or computer to transfer or load the model from the database of the virtual filling target stored in the server. Make a certain; 丨 After adjusting the result, also check the final overall crystal a = s cost, predict the film thickness of the process and / or electrical parameters. This,, and ° results can be in the following formats:

200405184 五、發明說明(178) •長條圖或其他統計圖 •晶圓狀態之全晶片影像,或是在某點上即時之電性參數 •在製程步驟或流程時整體晶片之薄膜厚度、碟陷、侵 姓過程之影片 •整體晶片之電性參數變異,如薄膜電阻以及電容變異之 影片 •數值表單 此G U I 1 4 3 5 4與一系列軟體元素、服務、或是函數 φ 1 4 3 5 5 (此處標記為服務模組)相連通,以管理通過系統 至資料庫1 4 3 5 8,以及計算核心流程1 4 3 5 6之資料流。將服 務1 4 3 5 5予以模組化,並導入以起始計算核心流程1 4 3 5 6, 以執行部分演算規則,整合並格式化在GU I中顯示之内 容。此元素之實例為Per 1、java或Tci語言,可使採用嵌 入SQL核心之資料庫與採用html、xml、或動態HTML語言使 GUI之間互動更為簡易。此類元素亦可起始數學流程,進 行計算以決定佈局中正確之虛設填入配置。 此服務模組1 4 3 5 5,連通至流程與函數之計算核心 1 4356 ’執行虛設填入演算規則與繁雜之計算程序,如製和 私/、ί子模型與模擬過程等。此核心亦進行有效圖案密度 之計算。此計算包括指令、資料、模型參數、預測結果2 格、圖像、或影像格式,以及檔案系統中擋案之指標。 此服務杈組1 4 355,亦可連通至電子1(:設計軟體或處200405184 V. Description of the invention (178) • Bar graph or other statistical graphs • Full wafer image of wafer status, or real-time electrical parameters at a certain point • Film thickness and dish of the entire wafer during the process steps or processes Video of the process of trapping and invading the name • Variation of the electrical parameters of the overall chip, such as film resistance and capacitance variation • Numerical form This GUI 1 4 3 5 4 and a series of software elements, services, or functions φ 1 4 3 5 5 (labeled here as a service module) are connected to manage the data flow through the system to the database 1 4 3 5 8 and the computing core process 1 4 3 5 6. The service 1 4 3 5 5 is modularized and imported to start the core calculation process 1 4 3 5 6 to execute part of the calculation rules and integrate and format the content displayed in the GUI. Examples of this element are Per 1, Java, or Tci language, which makes it easier to interact with the GUI using a database embedded in the SQL core and using html, xml, or dynamic HTML language. Such elements can also start the mathematical process and perform calculations to determine the correct dummy fill configuration in the layout. This service module 1 4 3 5 5 is connected to the calculation core of the process and function 1 4356 ′ Executes dummy filling calculation rules and complicated calculation procedures, such as manufacturing and private /, sub-models and simulation processes. This core also calculates the effective pattern density. This calculation includes instructions, data, model parameters, 2 squares of prediction results, images, or image formats, and indicators of filing in the file system. This service fork set 1 4 355 can also be connected to electronic 1 (: design software or office

第181頁 200405184 五、發明說明(179) 理佈局資訊1 4 3 5 7,如設計標的之位置與座標,以及決定 將虛設填入單元配置於何處。 資料庫1 435 8經由S0L指令連通至服務模組1 435 5,以 管理系統資料,如虛設填入資料庫標的;使用者簡介,包 括限定允許以及較喜愛之内容與呈現方式;使用者資料, 包括佈局操取資料、先前佈局設計槽案、特定工具與製程 之模型參數、以及如表面拓撲、電阻、電容等整體晶片預 測結果。貫例中所採用之資料庫可為〇 r a c 1 e、I n f 〇 r m i X、 Access、SQL Server、Foxpro。檔案系統1 4358 連通至所 有元素12280、12300、12750 ' 128005以接收資料並儲存 成檔案。 ~ 此系統可直接連接至量測設備以產生量測計畫,並可 於微影之前或之後取得量測結果。此系統可直接連接至 子設計(EDA )工具以接收設計佈局並提供修正設計。 系統可直接連接至電子設計(EDA )工具與晶圓代工 產生測試結構與測試晶®,進一步開發並供應製 製程流程與配方。此連結作業可經由電腦網路⑷ 腦匯流排完成。 y ^ 1: 士,叹,二二融14dbU興區塊B 1 436 1係位於同一邻f 腦中’則可獨立地配置此系、統。假若區 伺服器端之結構配置。此網路可包括透過外部網:戶 網路、網際網路或vpn之電子與光 ^路、内杳 塊可脚Α工具之—部分,而使用I』;為例—中設計區Page 181 200405184 V. Description of the invention (179) Information on the physical layout 1 4 3 5 7 such as the location and coordinates of the design target, and deciding where to place the dummy filling unit. Database 1 435 8 is connected to service module 1 435 5 via S0L instructions to manage system data, such as filling in database targets by default; user profile, including limited permission and preferred content and presentation; user data, Including layout operation data, previous layout design slots, model parameters of specific tools and processes, and overall chip prediction results such as surface topology, resistance, and capacitance The databases used in the examples can be 〇 r a c 1 e, I n f 〇 r m i X, Access, SQL Server, Foxpro. File system 1 4358 connects to all elements 12280, 12300, 12750 '128005 to receive data and save it as a file. ~ This system can be directly connected to the measurement equipment to generate a measurement plan, and the measurement results can be obtained before or after lithography. This system can be directly connected to a sub-design (EDA) tool to receive the design layout and provide a revised design. The system can be directly connected to electronic design (EDA) tools and foundry to generate test structures and test crystals, and further develop and supply process flows and recipes. This link operation can be done via computer network ⑷ brain bus. y ^ 1: Shi, sigh, the two systems of R & D 14dbU Xing block B 1 436 1 are located in the same neighborhood f brain ’, you can configure this system and system independently. If the area server configuration. This network can include electronics and light through external networks: home network, internet or vpn, internal circuit, internal block can be used as part of the tool, and I 'is used as an example—in the design area

200405184200405184

本節所述並未完全揭示虛設填入方法之所有可能,作 仍提供一些較佳之操作組成。本節述及三類基本計算紐一 成,基於使用者需求以組成操作與傳送功能之較佳方法 第一類組成為獨立配置,如第92A圖所示,其中所有元素。 12280、12300、12750、12800 係位於14363 中,且資料之 進出(14364、14365)自單一電腦取得。第二類組成為一 客戶端-伺服器配置,如第92β圖所示,其中GUI位於—客 戶端電腦1 43 67,經由網路丨437〇存取位於一伺服器或多 祠服器之祠服器架構中之其他元素1 4371。此連通機制Ϊ 經由網際網路、内部網路、或外部網路1 437〇,且伺服 可服務一或多組客戶端或使用者。 σ 第一類組成,如第92 C圖所示,為客戶端-伺服器模型 之延伸,包括經由網路1 4376連通至含此系統一或更多組 元素之八他的電腦’如同第b與c節所述。例如,設計公司 f伺服^ 11 8 ’使用微影工具設計’但不需遠端地 電細’其中伺服器中儲存❿八工具1 4382或晶圓代 =招故)所提供之製程模型與模型參數1 43 79與設 。此網路亦可傳送量測計畫資料至量 if二玖二細^3 81並回傳實際量測結果回伺服器1 4 3 8 〇。此 傳送製程相關資訊,如校準模型參數,至或從 腦系統1 4381至飼服器1 4380。也匕網路亦可傳 ^ ^ °〇 、千進係工具1 4 3 8 〇以進行特徵密度分析與設計This section does not fully reveal all the possibilities of the dummy filling method, and still provides some better operation components. This section refers to the three types of basic calculations, which are based on the user's needs to form a better method of operation and transmission. The first type is an independent configuration, as shown in Figure 92A, where all elements are included. 12280, 12300, 12750, 12800 are located in 14363, and the data access (14364, 14365) is obtained from a single computer. The second type consists of a client-server configuration, as shown in Figure 92β, where the GUI is located at the client computer 1 43 67, which is accessed via the network 437 0 and located at a server or multiple temples. Other elements in server architecture 1 4371. This connection mechanism is via the Internet, Intranet, or Extranet 1 437, and the server can serve one or more groups of clients or users. σ The first type of composition, as shown in Figure 92C, is an extension of the client-server model, including the connection to eight computers with one or more elements of the system via network 1 4376, as in section b. As described in section c. For example, the design company f servo ^ 11 8 'Design using lithography tools' but does not require remote ground fines' (where the server stores ❿ tools 1 4382 or wafer generation = recruiting) provided process models and models Parameter 1 43 79 and setting. This network can also send the measurement plan data to the amount if 2 玖 2 ^ 3 81 and return the actual measurement result to the server 1 4 3 8 〇. This transmits process-related information, such as calibration model parameters, to or from the brain system 1 4381 to the feeder 1 4380. The network can also be transmitted ^ ^ ° 〇, Qianjin system tools 1 438 for characteristic density analysis and design

200405184 五、發明說明(181) 此系統方法可利用微影設計(D fL )系統施行,驗證 特定電路設計是否應於晶圓上製造或成像,或校正設計, 特徵將不會實際生產。DfL系統包括第50A圖所示之元素 1 2280、1 230 0、1 2 750、1 28 0 0,並提供佈局擷取、晶片層200405184 V. Description of the invention (181) This system method can be implemented using a lithography design (D fL) system to verify whether a specific circuit design should be manufactured or imaged on a wafer, or to correct the design. Features will not be actually produced. DfL system includes the elements shown in Figure 50A 1 2280, 1 230 0, 1 2 750, 1 2800 0, and provides layout capture, chip layer

級拓撲計算、微影CD變異計算、設計驗證、以及設計調 整。如第93圖所示,DfL系統1 45 22可經由應用程式介面 (A P I )、透過直接整合或連通匯流排或網路的方式,共 同使用或安裝於電子設計自動化(EDA)工具14500中。第 93圖顯示將d fL系統1 452 2整合至EDA工具1 4500中。習知 EDA工具包括系統層級設計1 4 5 0 2、邏輯整合1 4 5 04、設計 佈局1 4 5 0 6、配置與迴路1 4 5 0 8、物理驗證1 4 5 1 0、以及信 號整合14512。在下單製程中使用電子設計檔案以製造光 罩14514,並用以製造IC產品14516。製造元素之大多數設 计會與物理驗證與配置與迴路元素產生互動。D fL系統 1 45 22- 1 4525並未限定與任何種類元素發生互動,可包含 配置與迴路1 450 8、物理驗證1451〇、以及信號整合14512 以及κ際光罩製作1 4 5 1 4。但最可能的角色為物理驗證 1 4 5 1 0 ’可確認設計使否符合製造之規則與限制。Level topology calculation, lithography CD variation calculation, design verification, and design adjustment. As shown in Fig. 93, the DfL system 1 45 22 can be used or installed in an electronic design automation (EDA) tool 14500 through an application programming interface (API) through direct integration or connection to a bus or network. Figure 93 shows the integration of the d fL system 1 452 2 into the EDA tool 1 4500. Known EDA tools include system-level design 1 4 5 0 2, logical integration 1 4 5 04, design layout 1 4 5 0 6, configuration and loop 1 4 5 0 8, physical verification 1 4 5 1 0, and signal integration 14512 . The electronic design file is used in the order process to manufacture the photomask 14514, and is used to manufacture the IC product 14516. Most designs of manufacturing elements interact with physical verification and configuration and loop elements. The D fL system 1 45 22- 1 4525 is not limited to interact with any kind of elements, and can include configuration and loop 1 450 8, physical verification 1451〇, signal integration 14512, and inter-kraft photomask production 1 4 5 1 4. But the most likely role is physical verification 1 4 5 1 0 ′ to confirm that the design conforms to the rules and restrictions of manufacturing.

D f L系統可能之應用為在配置與迴路期間有助於内連 ί Ϊ塞與線段之緩衝區域之配置與規格。在此應用中,特 斂見度變異或拓撲圖形變異可助於決定電性主動特徵與元 ,之位置,並決定使兩元件(如插塞與導線) 性特徵之迴路。 % D f L系統可此之應用為助於插塞與導線之配置與幾何The possible applications of the D f L system are the configuration and specifications of the buffer areas that facilitate the interconnection of plugs and line segments during configuration and looping. In this application, special visibility variation or topological pattern variation can help determine the position of electrical active features and elements, and determine the circuit that enables the sexual features of two components (such as plugs and wires). % D f L system can be used for the configuration and geometry of plugs and wires

l〇57-5667-PF(Nl).ptd 第184頁 五 發明說明(182) 尺寸’以改進信號整人、 應用中,特徵寬度變;$ / f問胃、以及電源分佈。在此 最終特徵幾㈣狀?:义撲圖耗異可助於決定製程後 (如擴大或縮小某此二及決定如何幾何性地修正電性特徵 特性或較佳之裝補償拓撲效應),達到較佳電路 衣罝結構與可靠声。 D f L系統可能之雍 又 緩衝區域。在此應用;’、、、助於設計中虛設填入之配置與 助於決定虛設或:縫ί的寬度變異或拓撲圖形變異可 虛5 又或狹縫標的之與鄰近電性主動區域之緩衝距〇57-5667-PF (Nl) .ptd page 184 5 Description of the invention (182) Dimensions to improve the signal integrity, application, feature width changes; What is the final feature here? : Yipu figure consumption can help to determine the process (such as expanding or reducing some of these two and determining how to geometrically modify the electrical characteristics or better installation compensation topology effect), to achieve a better circuit clothing structure and reliable sound . D f L system is possible and buffer area. In this application; ',,, helps the configuration of dummy filling in the design and helps to determine the dummy or: the width variation of the slit or the topological pattern variation can be virtual 5 or the buffer of the slit mark and the adjacent electrical active area buffer distance

举η 2類元素將結合以驗證或校正電性效能之問S。以7 雔料拉# 第,利用D fL系統調整電路佈局之 :玉。接者,將結果送至Rc擷取工具。RC擷取工具之結岸 將用以重新模擬電路特性。最後驗證最終特性,或進一步 用以调整没計之佈局。此外可製作數類互異之佈局調整; RC擷取與後續模擬可執行所有條件,並根據電路模擬效能 選取最佳的調整佈局。For example, η 2 elements will be combined to verify or correct electrical performance. With 7 雔 料 拉 ##, use the D fL system to adjust the circuit layout: Jade. Then, send the result to the Rc capture tool. The RC capture tool will be used to re-simulate the circuit characteristics. Finally, the final characteristics are verified, or further used to adjust the unplanned layout. In addition, several different types of layout adjustments can be made; RC capture and subsequent simulation can perform all conditions, and choose the best adjustment layout according to the circuit simulation performance.

第94A圖係顯示設計群(或設計公司)如何應用Df L系 統1 465 9,其可安裝、直接合併、或直接連接EDA工具 14670。大多數設計初期包含有特徵尺寸與解析度之誤差 範圍以及電子1C參數等規格14655。設計群14656在製造積 體電路1 4 6 5 7時利用此規格。在製程期間,設計者或次级 群體可利用此邏輯設計1 462 2。另一設計者或次級群體玎 進行記憶設計1 4 6 4 4,而他人可設計類比元件1 4 6 6 6。製造Figure 94A shows how a design group (or design company) can apply the Df L system 1 465 9, which can be installed, merged directly, or connected directly to the EDA tool 14670. Most of the initial design includes specifications 14655 such as feature size and resolution error range and electronic 1C parameters. Design group 14656 uses this specification when manufacturing integrated circuits 1 4 6 5 7. During the process, designers or subgroups can use this logic to design 1 462 2. Another designer or subgroup: design memory 1 4 6 4 4 while others can design analog components 1 4 6 6 6. Manufacture

1057-5667-PF(Nl).ptd 第185頁 200405184 五、發明說明(183) 設計之目的係考量設計各階段之製造限制,其由ED A工具 1 46 7/0所產生。EDA工具可包括數種製造元素之設計,而1057-5667-PF (Nl) .ptd Page 185 200405184 V. Description of the Invention (183) The purpose of the design is to consider the manufacturing constraints of each stage of the design, which is produced by the ED A tool 1 46 7/0. EDA tools can include designs for several manufacturing elements, and

DfL系統14659可為其中一種元素,如第94圖所示。在此應 用中’當設計者設計並加入於元素時,DfL系統持續地驗 證與校正1 4 6 5 6設計。在此應用中,d f L系統可直接與配置 與迴路功能、物力驗證功能、電性模擬功能、以及擷取功 能與光學近似功能進行互動,以提供特徵寬度變異資料。 此流程可包括重複加入虛設填入。若系統不能發現達到設 计規格之佈局校正,故會告知設計群設計失敗丨4 6 6 〇。晶 圓代工商或製造者群將根據校準模型提供製造資訊 14672 。The DfL system 14659 can be one of these elements, as shown in Figure 94. In this application, as the designer designs and incorporates elements, the DfL system continuously verifies and corrects the 1 4 6 5 6 design. In this application, the d f L system can directly interact with configuration and loop functions, physical force verification functions, electrical simulation functions, and capture and optical approximation functions to provide feature width variation data. This process may include repeating the addition of dummy fills. If the system cannot find a layout correction that meets the design specifications, it will inform the design group that the design failed. 4 6 6 〇 Crystal Industry or manufacturers will provide manufacturing information based on the calibration model 14672.

DfL系統於設計與製造端之間提供資訊流,DfL系統亦 可女裝於製造者或網際網路上,經由網路與設計工具相連 結。第94B圖所示為DfL系統1 469 7之使用,可與一或多組 EDA工具14680之外或直接連接。此設計規格14682包括CD 或伴隨之電性誤差範圍,可供應至設計群1 4684與製造元 素之設計1 46 94。設計者利用此EDA工具組合製造並於1C佈 局加入元素 14686、14688、14690。 完成每一層級1 4692並經由媒介、網路、或網際網路 電性傳送1 46 96至製造元素設計丨4694,其中包括DfL系統 1 4 6 9 7。此網路包括以d f [元素做為網路服務,可經由網際 網路連接設計與製造群。每一設計層級利用製程資訊進行 製程14693 ’包括根據特定工具與配方設定之校準參數。 將設計之校正上傳至EDA工具與伺服器1 4698。若系統不能The DfL system provides a flow of information between the design and manufacturing end. The DfL system can also be connected to the design tool through the network on the manufacturer or the Internet. Figure 94B shows the use of the DfL system 1 469 7 and can be connected to one or more sets of EDA tools 14680 or directly. This design specification 14682 includes a CD or accompanying electrical error range and can be supplied to Design Group 1 4684 and Manufacturing Element Design 1 46 94. Designers use this EDA tool set to manufacture and add elements 14686, 14688, 14690 to the 1C layout. Complete each level 1 4692 and electrically transmit 1 46 96 to manufacturing element design via the media, network, or internet 4694, including DfL system 1 4 6 9 7 This network includes the d f [element as a network service, which can connect design and manufacturing groups via the Internet. Each design level uses process information for process 14693 ′, including calibration parameters set according to specific tools and recipes. Upload the designed correction to the EDA tool and server 1 4698. If the system cannot

200405184 五、發明說明(184) 發現達到設計規格之佈局校正,从么^ 。第94A與㈣圖所示之網路故/告知設計群設計失敗 •安裝於微影製程流程之工具路此DfL系統可: 接。 並經由匯流排或網路連 •安裝於蝕刻工具,並經由匯流排或網 •安裝於晶圓代工廠之網路上,揾板制< A # ,、 模型開發,ϋ由製造或製程開發成員、‘:。U衫(蝕亥 •女裝於逆離設計與製造群之相日Β 接,如做為-網路服務。並經由網路連 •安裝於設計公司或群組,但位於特定eda工且之並 可利用網路與互異供應商之各類E D A工呈連接了 ^㈣網路與互異供應商之各類 EDA工具連接。 ㈣ί Γ5與:96圖所示係採用位於大型設計之製造系統或 伺服态中之DfL系統。第95圖為製造系統之設計之一實 :屬::或^級3:計上傳1 4880,並摘取關鍵圖案 :屬參數。利用一或多步驟之製程模型或模擬1 480 2與工 :及配方校準1 4804與1 4806預測整體晶圓拓撲(如薄膜厚 ,、碟陷、侵蝕)或電性參數148〇8 (如薄膜電阻、電 =招ΐ Ϊ雜ί、時序終結值、或有效介電常數)。將自設 \ :取彳于之預期結果,如物理與電性參數與關鍵尺寸 :粑圍上傳至系統中14812。執行比對14810,利用超過 特定誤差範圍之位置與丨c特徵以及伴隨之變異丨4 8丨4盥 14816進行設計與製程校正。 ’、200405184 V. Description of the invention (184) Found that the layout correction that meets the design specifications, why not? The network failure shown in Figure 94A and the figure below tells the design group that the design failed. • The DfL system installed on the lithography process flow can: Connect. And connected via the bus or network • Installed on the etching tool, and installed on the network of the wafer foundry via the bus or network • 制 板 制 &A; Model development, ϋMade by manufacturing or process development members , ':. U-Shirts (Ethai Women's Clothing) are connected together in the design and manufacturing group, such as-network service. And connected to the design company or group through the network, but located in a specific eda It can also use the network to connect various types of EDA tools of different suppliers. ㈣The network is connected to various types of EDA tools of different suppliers. ㈣ Γ5 and: 96 The picture shows a large-scale manufacturing system. Or the DfL system in servo state. Figure 95 is one of the design of manufacturing system: gen :: or ^ 3: 3: upload 1 4880, and extract key patterns: gen parameters. Use one or more steps of the process model Or simulate 1 480 2 and work: and recipe calibration 1 4804 and 1 4806 to predict the overall wafer topology (such as film thickness, dishing, erosion) or electrical parameters 1408 (such as film resistance, electrical ί, timing termination value, or effective dielectric constant). Set the self-setting \: to take the expected result, such as physical and electrical parameters and key dimensions: upload the system to the system 14812. Perform comparison 14810, use more than The position and specific c range of the specific error range and the accompanying variation 丨 4 8 丨 4 Row design and calibration process. '

第187頁 200405184Page 187 200405184

五、發明說明(185) 利用此變異迴授以促成彡又5十/爪私之改麦,利用虚卩又填 入元素14818決定虚設填入之尺寸與位置,並調整設計 1 4822。1C設計層級中虛設填入之選取與配置包括利用圖 案附屬以改進物理與結構特徵(如利用低介電常數材質) 以及1C之電性效能。當變異主因來自於从影或因表面變異 與微影之結合時,利用D f L系統或元素1 4 8 2 〇調整I C設計 14800 。V. Description of the invention (185) Use this variation feedback to promote the modification of the 彡 and 50 / claw private, use the 卩 and fill in the element 14818 to determine the size and position of the dummy fill, and adjust the design 1 4822. 1C The selection and configuration of dummy filling in the design level includes the use of pattern attachments to improve physical and structural characteristics (such as using low dielectric constant materials) and 1C electrical performance. When the main cause of the variation comes from the secondary shadow or the combination of surface variation and lithography, the D f L system or element 1 4 8 2 0 is used to adjust the IC design 14800.

(I 利用變異1481 4調整製程參數與配方設定1 4824。此元 素利用模型校準多類配方設定,應用各類消耗材料決定最 佳已知之製程與消耗組合。此元素可提供此資訊至工具操 作或直接調整工具配方設定1482 6。此元素亦可用以整合 多類製程配方步驟於一流程中,以最佳化製程參數。此製 程最佳化元素可與D f L元素結合以根據製造與特徵尺寸變 異評估微影工具設定與消耗品(如光阻材料)。此元素亦 可用以在权準或實際製造電路時產生量測配方14825。 因設計與製造流程參數同時用以製造最佳電路,利用 電子設計下單製造微影用之光罩,包括將虛設填入結構加 入此設計中。此最佳製程與製造配方亦可傳送至 之製造流程所對應之工具。(I use variation 1481 4 to adjust process parameters and recipe settings 1 4824. This element uses models to calibrate multiple types of recipe settings and apply various consumable materials to determine the best known process and consumption combination. This element can provide this information to tool operations or Directly adjust tool recipe settings 1482 6. This element can also be used to integrate multiple types of process recipe steps in a process to optimize process parameters. This process optimization element can be combined with the D f L element to match manufacturing and feature dimensions Variation evaluation lithography tool settings and consumables (such as photoresist materials). This element can also be used to generate a measurement formula 14825 when the circuit is manufactured in the standard or actual. Because the design and manufacturing process parameters are used to manufacture the best circuit at the same time, use The electronic design orders the photomask for photolithography, including adding dummy structures into this design. The best process and manufacturing formula can also be transmitted to the corresponding tools of the manufacturing process.

y 素亦可用於微影工具設定與消耗品中選擇最佳 微影配方。在此應用中,利用Μ Μ私、夕铲、隹— 引用第g即所述之測試晶圓與第 微影所需之多類配方 微影模型,以得預=_ =夕類配方校準評估之製程】 月規格之最小特徵尺寸。州圖顯示iIt can also be used to select the best lithography formula in lithography tool settings and consumables. In this application, MM private, evening shovel, and 隹 —refer to the various types of formula lithographic models required for the test wafer and lithography described in Section g, to obtain a pre-calibration evaluation Process] The minimum feature size of the month specification. State map showing i

200405184 五、發明說明(186) 用第5 0 A圖之流程預測第一通過特徵尺寸變異,或重複 1 49 0 6、1 490 7、1 4 908直到每組校準參數伴隨配方條件 1 4 9 0 1、1 4 9 0 2、1 4 9 0 3達到最佳化映射尺寸。比較結果並 決定最佳配方設定1 4904。利用上述製程與測試晶圓產生 母一配方條件之校準參數。製造系統之設計亦可採用最佳 化方法以差值或總和處理微影製程流程配方的條件。200405184 V. Description of the invention (186) Use the flow chart in Figure 50 A to predict the first variation in feature size, or repeat 1 49 0 6, 1 490 7, 1 4 908 until each set of calibration parameters is accompanied by formula conditions 1 4 9 0 1, 1 4 9 0 2, 1 4 9 0 3 The optimized mapping size is reached. Compare the results and decide on the best recipe setting 1 4904. The above process and test wafers are used to generate calibration parameters for the master-formulation conditions. The design of the manufacturing system can also use optimization methods to process the conditions of the lithography process recipe with difference or sum.

以下各圖係顯示數組用於微影設計與製造之圖形使用 者介面(GUIs)之螢幕擷取畫面。第97圖中佈局管理元素 讓使用者可經由網路瀏覽器與網路服務上傳佈局,並根據 使用者定義之設計規則(亦經由類似之GUI輸入)針對合 適製程加入虛設填入。利用佈局擷取演算規則執行三類設 計15161、15 162 '15163以計算有效密度。提供使用者選 項以使用佈局擷取方法計算特徵寬度與間距,或從他處上 傳此資訊,1 5 1 6 4、1 5 1 6 5、1 5 1 6 6。 第98A與98B圖係顯示利用此系統之佈局擷取結果。第 98A圖顯示根據右側等級151 68於整體晶片上節得特徵寬产 (此=為線寬)之影像15167。在第98圖中係顯示根據根·" 據線寬位置之整體晶片之空間線寬丨5丨6 9、丨5丨7 〇、The following figures are screenshots of graphic user interfaces (GUIs) used for lithography design and manufacturing. The layout management elements in Figure 97 allow users to upload layouts through a web browser and web services, and add dummy fills for appropriate processes based on user-defined design rules (also entered through similar GUIs). Three types of designs 15161, 15 162 '15163 were performed using layout extraction algorithm to calculate effective density. Provide user options to calculate feature width and spacing using layout extraction methods, or upload this information from 1 5 1 6 4, 1 5 1 6 5, 1 5 1 6 6. Figures 98A and 98B show the layout capture results using this system. Figure 98A shows an image 15167 of characteristic wide yield (this = line width) on the overall wafer according to the right-side grade 151 68. In Fig. 98, the space line width of the whole chip according to the root line position is shown.

15171 '15Π2、17173、15174、15175,並形成分佈圖。 將此類如線寬之資訊輸入模型中預測製程與電性變異。 一第"Α圖顯示一種圖形使用者介面(Gn)以設&微影 兀素,可於製造能力伺服器中操作,GUI如第1〇〇圖所示。 =用瀏覽器1 530 0做為GUI與裝裳有DfL元素之網路伺服器 連通。使用瀏覽器之優點為目前幾乎所有電腦皆配有網路15171 '15 Π2, 17173, 15174, 15175, and form a distribution map. This type of information, such as line width, is input into the model to predict process and electrical variations. A " A picture shows a graphical user interface (Gn) to set & lithography elements, which can be operated in a manufacturing capability server. The GUI is shown in Fig. 100. = Use browser 1 530 0 as the GUI to communicate with the web server with DfL elements. The advantage of using a browser is that almost all computers are currently equipped with the Internet

200405184 五、發明說明(187) 瀏覽器,且可進行標準化橫跨兩種主要瀏覽器Net scape與 微軟。顯示整體晶片拓撲1 5 3 0 2,違反特徵尺寸誤差範圍 之位置(如1、2、3 )標示為1 53 04。此位置亦顯示為 1 5 3 0 6。顯示一按紐以初始化調整設計以通過設計誤差範 圍之校正元素15308。 第9 9 B圖係顯示製造元素設計之G U I,較佳施行實例係 採用網路瀏覽器做為GUI。虛設填入服務與功能在Gui中分 為三組主要元素:設計(1 5 499 )、製造(1 549 1 )、與模 型(15400)。第62圖中螢幕擷取顯示在標頭4190與搜尋 列4191中使用者已選取製造元素。製造元素之次級元素中 有:工廠、工具、晶圓與製造資料。在此螢幕擷取中已選 取工具15492。工具項中包含三類次元素:型式、配方、' 以及流程。在此螢幕擷取圖案中,使用者已選取型式 15493。接著向使用者顯示工具之型式與設定15494。接著 顯示此工具型式可選取之配方丨5466,與此類工具型式可 選取之配方程序1 5 497。對銅CMP之校準量測與預測而言, 此蝥幕擷取圖案中配置之系統提供使用者兩種製程模型 1 5498。設計元素1 5499利用佈局管理者讓使用者可上傳並 管理佈局與佈局擷取。此虛設填入GUI設計之一目的為讓* 使用者可管理微影所提供之所有資料與結果。 〃= 如第6 1 A與6 1 B圖所示,因在沈積、蝕刻、平坦化、 磨製程中形成之圖案附屬導致結構厚度與寬度變異之特徵 可用以產生元件中每一層電路結構之全三度空間模型(^ 預測每一層之錯誤特徵厚度與寬度變異)。此模型可助於200405184 V. Description of the invention (187) Browser, and can be standardized across two major browsers Netscape and Microsoft. The overall chip topology is shown as 1 5 3 0 2 and the positions that violate the feature size error range (such as 1, 2, 3) are marked as 1 53 04. This location is also shown as 1 5 3 0 6. A button is displayed to initialize a correction element 15308 that adjusts the design to pass the design error range. Figure 9 9B shows the G U I of the manufacturing element design. A better implementation example uses a web browser as the GUI. The dummy filling services and functions are divided into three main elements in Gui: design (1 5 499), manufacturing (1 549 1), and model (15400). The screenshot in Figure 62 shows that the user has selected the manufacturing element in the header 4190 and the search bar 4191. The secondary elements of manufacturing elements are: factory, tool, wafer and manufacturing data. Tool 15492 has been selected in this screenshot. There are three types of sub-elements in a tool item: style, recipe, ', and process. In this screenshot, the user has selected style 15493. The user is then presented with the tool's style and settings 15494. Next, the recipes that can be selected for this tool type 5466 and the recipe procedures that can be selected for this tool type 1 5 497 are displayed. For copper CMP calibration measurement and prediction, the system configured in this screen capture pattern provides users with two process models 1 5498. Design Element 1 5499 uses layout managers to allow users to upload and manage layouts and layout captures. One of the purposes of this dummy fill in the GUI design is to allow * users to manage all the data and results provided by the shadow. 〃 = As shown in Figures 6 1 A and 6 1 B, the characteristics of the variation in structure thickness and width due to the attachment of patterns formed during deposition, etching, planarization, and grinding can be used to generate the complete circuit structure of each layer in the component Three-dimensional space model (^ Predicts the error feature thickness and width variation of each layer). This model can help

200405184 五、發明說明(188) 預測内連線層之電性。内連線結構之厚度與寬度變異會影 響電路之時序、傳遞延遲、以及功率特性。 第1 0 0 A圖顯示圖案附屬模型於全三度空間特徵厚度與 寬度預測之應用。產生單一層級N i 56〇2之佈局,並擷取 出圖案附屬1 5 6 0 4。將此擷取載入系統,此系統包括第 12A、1 2B、1 4、60圖所示氧化沈積j 56〇8、蝕刻1561〇、 E—CD 15614、以及CMP 15614之校準流程之圖案附屬模型。 母 步驟之特徵厚度盘寬唐jK ^ 完整之㈣線層級二遞至下步驟,直到特徵化 有# i: /多!、二:三度空間特徵變* ’不論是否完成所 間之特徵化處理1 5 624。若否,此字=下二:ς 送15626至下一内;線:=之2拓撲延遞15618並傳 、 門逑線層級之弟一步驟1 5608。 通常在積體電路中利用中介緩衝器 )最佳化長型内連線(如匯流排)H =所謂中繼器 緩衝器置入策略關係到緩衝器尺彳(電曰ί遞延遲。最佳 長度比例)與緩衝器數目。緩衝器尺寸:赵閘極寬度對應 阻⑴與電《(C)之函數,兩者皆鱼;^目為内連線電 關。假若未適當地模組化内連線幾何二2連線幾何結構有 鎮之評估。此舉將導致以錯誤之緩將無法確保佈 緩衝器置入技術。 ^ &尺寸與數目做為 内連線緩衝器置入方法不僅影 電路之電源消耗。第101Β圖顯示在輸亦會影響 ,、輪出端具單一驅200405184 V. Description of the invention (188) Predict the electrical properties of the interconnect layer. Variations in the thickness and width of the interconnect structure will affect the timing, transfer delay, and power characteristics of the circuit. Figure 100 A shows the application of the pattern attachment model to the prediction of the full three-dimensional spatial feature thickness and width. Generate a single-level layout of Ni 56〇2, and extract the pattern attachment 1 560 04. This capture is loaded into the system, which includes the oxidized deposition j 56〇8, etching 1561, E-CD 15614, and the CMP 15614 pattern attachment model shown in Figures 12A, 12B, 14, 4, and 60 . The characteristic thickness of the mother step is wide and wide. JK ^ Complete the second line level and pass to the next step until it is characterized. # I: / Multi! Second, the third-degree spatial feature changes * ′ Whether or not the characterization process is completed 1 5 624. If not, this word = next two: ς send 15626 to the next inside; line: = 2 2 topology extension 15618 and pass, step 1 5608 of the sibling line level. Intermediate buffers are usually used in integrated circuits) to optimize long interconnects (such as buses) H = the so-called repeater buffer placement strategy is related to the buffer size (electrical delay. Best Length ratio) and number of buffers. Buffer size: Zhao gate width corresponds to the function of resistance and electricity ((C), both of which are fish; ^ is an interconnecting switch. If the interconnect geometry is not properly modeled, the 2-link geometry has a town evaluation. This will lead to the failure to ensure that the buffer placement technology is delayed. ^ & size and number as the internal buffer placement method not only affect the power consumption of the circuit. Figure 101B shows that the loss will also affect.

1057-5667-PF(Nl).ptd 第191頁 五、發明說明(189) 動器之電路,其具有]〇_導線。 "" 緩衝器,故並未最佳化其傳遞延電路並未包含任何中介 最差狀況(如20 %的Rq與。變里。根據電阻與電容保守 數目。此舉導致最佳緩緩衝器數平估最佳緩衝器尺寸與 器將會增加穿越導線層至緩衝哭為2。然而,增加緩衝 一步增加晶片上回繞現有金屬佈線,且亦進 在最差狀況之内連線變異下,:布線量。此額外佈線 3。 此3加實際緩衝器數目為 伴隨之外加RC,以環“上(母如:緩”因額外佈線 導線與緩衝器增加匯流排線路之阻1丄之間。外力σ RC與外加裝置電容)。雖心,^、電各值(内連線 低,電源消耗卻增加。 /机、又因外加中繼器而降 不 以特徵5 ί為利用製程模型(或多組製程模型或流浐 )異(如第15、5°、或魏圖ί 之製程模ϊ可;:ί:分、或晶片上之關鍵網路。良好 進行眈之新^’^例如’第”以圖係顯示僅以5% 可由2降 式最差狀況評估。在此例中,最佳缓衡器數目 辦加德说至1。因加入緩衝器將再次因緩衝器間所需迴路而 補償,使緩$::總Rc量。此因加入另 '緩衡器需予以 每衝裔數置增加至2 (見第1 00D圖)。假若超出 第192頁 l〇57.5667-PF(Nl).ptd 200405184 五、發明說明(190) ^ ΐ並不=,也許僅需單一緩衝器即可。在另一實例中(僅 1或2緩衝器),利用製程模型可降低總負载電容與電阻以 預測或模擬内連線幾何結構。此舉可大幅地節省/電源。 此應用可由使用者連同EDA工具使用,如第94α與94Β ,所示,以決定緩衝器之尺寸、數目、與配置,並降低^ 裝置之電源消耗。此應用可供使用者於網路上使用(如内 部網路、外部網路'或網際網路),或成為 用亦可用以迴授引導迴路與配置之電子設計步驟。 下列將敘述確認並特徵化晶片之問題區域方法,此問 題區域係因積體電路製程時圖案附屬對薄膜厚度、面拓 電性影響之預測變異。此方法;應用於形 :磨⑽)製程;形成單一或多層内連線結構)二機械 南密度電聚(HDP)、電鑛銅沈積(ECD)、 7機 (CMP) 電漿蝕刻製程與關鍵尺寸之量測;微影製程包括、前^ 阻沈積與移除步驟,以及後續利用電漿蝕刻以物理^地 蝕刻已圖案化之特徵;沈積光阻與選取光阻材萝 程中任何步驟;對光罩尺寸進行校正舛I ',/#僧表 鍵1C尺寸。 旱尺寸進灯杈正,十异以付合預期之關 在製造積體電路時,内連線平坦度(亦指 拓撲)之程度與電路佈局圖案之特徵(如材質^ 了 寬、線間距、與其他特徵尺寸)有關。非平坦之=面與厚1057-5667-PF (Nl) .ptd page 191 5. Description of the invention (189) The circuit of the actuator has a wire. " " Buffer, so its transmission delay circuit is not optimized. It does not include any worst case of intermediary (such as 20% Rq and .Variable. According to the conservative number of resistors and capacitors. This leads to the best buffering. The number of devices is estimated to be the optimal buffer size and device will increase through the wire layer to the buffer cry to 2. However, increasing the buffer step will increase the existing metal wiring on the wafer, and also enter the worst-case interconnection variation. :: The amount of wiring. This additional wiring 3. This 3 plus the actual number of buffers is accompanied by RC, in order to "up (female): slow" because of the additional wiring wires and buffers increase the resistance of the bus line 1 丄.External force σ RC and external device capacitance). Although the heart, ^, and electrical values (low internal wiring, power consumption increases. / Machine, and because of the external repeater, it does not feature 5) as the process model ( Or multiple sets of process models or processes) different (such as the 15th, 5 °, or Wei Tu's process model is not possible ;: :: points, or the key network on the chip. Good progress 眈 '^^ For example The "number" shows the worst-case evaluation of the 2-drop type with only 5%. Here In the case of the best number of retarders, Gade said to 1. Because adding buffers will again be compensated by the required loops between buffers, making the delay of $ :: total Rc amount. This is due to the addition of another 'retarder'. The number of generations is increased to 2 (see figure 100D). If it exceeds page 10557.5667-PF (Nl) .ptd 200405184 V. Description of the invention (190) ^ ΐ is not =, maybe only a single buffer is needed Yes. In another example (only 1 or 2 buffers), the process model can be used to reduce the total load capacitance and resistance to predict or simulate the interconnect geometry. This can save a lot of power / power. This application can be used by the user Used in conjunction with EDA tools, as shown in Sections 94α and 94B, to determine the size, number, and configuration of the buffer, and to reduce the power consumption of the device. This application can be used by users on the network (such as intranet, Extranet 'or Internet), or electronic design steps that can also be used to feedback the guidance circuit and configuration. The following will describe the method of identifying and characterizing the problem area of the chip, which is due to the integrated circuit manufacturing process. Pattern attached to film thickness, Predictive variation of the influence of surface electrical properties. This method; applied to the shape: grinding process) forming a single or multi-layer interconnect structure) two mechanical south-density electro-polymerization (HDP), electric ore copper deposition (ECD), 7 machines (CMP) Plasma etching process and measurement of key dimensions; lithography process includes, first resist deposition and removal steps, and subsequent plasma etching to physically etch patterned features; deposition photoresist and selection Any step in the process of photoresistance; correction of the size of the mask 舛 I ', / # Monkey key 1C size. The size of the dry light is positive, and the difference is in line with expectations when manufacturing integrated circuits. The degree of connection flatness (also referred to as topology) is related to the characteristics of the circuit layout pattern (such as material width, line spacing, and other feature sizes). Non-flat = face and thickness

200405184200405184

度通常導致對可製造能力與製程整合發生不良影響。圖案 附屬亦可能因已知結構位置之電容與電阻變異而&響裝置 效能。 9 化學機械研磨(CMP )製程中薄膜厚度之變異可區分 為幾類成分:批對批、晶圓對晶圓、以及晶方刀 上 J 曰曰° · 一 般而言,較顯著之成分為圖案複數晶方層級。晶方声 度變異經常是因為晶片上佈局圖案之不同而產生。例如, 在CMP製程中,下層金屬圖案互異時,即使達到區域性之 平坦化表面’仍會導致後CMP薄膜厚度發生大規模之變 化學機械研磨(CMP )製程中薄膜厚度之變異可區分 為幾類成分:批對批、晶圓對晶圓、以及晶方對晶方。一 般而言’較顯著之成分為圖案複數晶方層級。晶方層級厚 度變異經常是因為晶片上佈局圖案之不同而產生。^如, 在CMP製程中,下層金屬圖案互異時,即使達到區域性之 平坦化表面,仍會導致後CMP薄膜厚度發生大規模之變 異。下列各圖即顯示發生於銅、氧化層、淺溝槽隔離 (STI ) CMP之變異。 曰Degree often leads to adverse effects on manufacturability and process integration. Pattern attachments may also affect device performance due to variations in capacitance and resistance at known structural locations. 9 The variation of film thickness in the chemical mechanical polishing (CMP) process can be divided into several types of components: batch-to-batch, wafer-to-wafer, and crystal knife. ° Generally speaking, the more significant components are patterns Complex crystal hierarchy. Crystal square sound variation is often caused by differences in layout patterns on the wafer. For example, in the CMP process, when the underlying metal patterns are different, even if the regional planar surface is reached, it will still cause a large-scale change in the thickness of the post-CMP film. The variation in film thickness in the mechanical polishing (CMP) process can be divided into Several types of ingredients: batch-to-batch, wafer-to-wafer, and crystal-to-crystal. In general, the more significant component is the patterned complex crystal hierarchy. Variations in cube-level thickness often result from differences in layout patterns on the wafer. ^ For example, in the CMP process, when the underlying metal patterns are different from each other, even if a regionally flat surface is reached, the thickness of the post-CMP film may vary widely. The following figures show the variations that occur in copper, oxide, and shallow trench isolation (STI) CMP. Say

—對氧化層之研磨而言,變異之主要來源為晶方内部圖 案密度變異,如第丨〇2A圖所示兩群金屬線為例。金屬線 1 650 1位於第102A圖之左側,在積體電路之平面方向較右 側=金屬線1 6 50 2具有低密度之組成。在此例中,圖案密 度定義為凸起氧化區域丨65〇3之面積除以總面積之比率。 此區域面積可為某些長度,如平坦化長度之平方。此平坦— For the grinding of the oxide layer, the main source of variation is the variation of the pattern density in the crystal cube, as shown in Figure 2A as an example. The metal wire 1 650 1 is located on the left side of FIG. 102A and is more rightward in the plane direction of the integrated circuit = the metal wire 1 6 50 2 has a low density composition. In this example, the pattern density is defined as the ratio of the area of the raised oxidized area 6550 divided by the total area. The area of this area can be some length, such as the square of the flattened length. This flat

1057-5667-PF(Nl).ptd 第194頁 200405184 五、發明說明(192) 化長度通常由製程參數如研磨塾之型式、CMP工具、研磨 化學劑等所決定。1057-5667-PF (Nl) .ptd Page 194 200405184 V. Description of the invention (192) The length of the process is usually determined by the process parameters such as the type of grinding mill, CMP tools, grinding chemicals, etc.

第102D圖係顯示下層圖案密度如何影響薄膜厚度之變 異。第102E圖繪出對應每一密度型式之薄膜厚度變異。對 平坦化長度所定義之正方區域而言16521,較高之下層特 徵密度導致較大之薄膜厚度變異1 6 5 2 3,而較低下層特徵 密度將導致薄膜厚度降低1 6 5 2 4。設計者通常需嘗試維持 岔度約在5 0 % 1 6 5 2 2以提升平坦度。經過遽設計佈局之密 度後,針對晶方之每一區域計算有效圖案密度,通常於區 域附近採用互異兩尺寸之密度過濾器。第丨〇 2A圖顯示下層 特徵16501與16502在區域表面拓撲(階梯高度)16〇〇4與 整體非平坦度1 6 0 0 3所造成之變異。Figure 102D shows how the density of the underlying pattern affects the variation in film thickness. Figure 102E plots the film thickness variation for each density pattern. For the square area defined by the flattening length, 16521, a higher characteristic density of the lower layer results in a larger film thickness variation of 1 6 5 2 3, and a lower characteristic density of the lower layer will result in a film thickness reduction of 1 6 5 2 4. Designers usually need to try to maintain the fork around 50% 1 6 5 2 2 to improve flatness. After designing the density of the layout, the effective pattern density is calculated for each area of the crystal cube, and density filters of two different sizes are usually used near the area. Figure 丨 〇 2A shows the variation caused by the topological features of the lower layers 16501 and 16502 in the area surface (step height) 16004 and the overall non-flatness 1630.

在製造淺溝槽絕緣(ST I )之結構時(如第1 〇 2 B圖所 不),於蝕刻矽1 6 0 0 5之溝槽中沈積二氧化矽丨6 〇 〇 2,並利 用C Μ P平坦化此電性絕緣裝置。當進行氧化層間介電層 (ILD )研磨時,絕緣溝渠之下層圖案導致所沈積之二氧 化矽產生變異。問題區域通常為CMp之結果,如發生氮化 矽侵蝕1 6 0 0 7 (其中移除帶氮化矽阻障層可能裸露下方矽 層而遭污染與損害)、邊角圓化丨6 〇 〇 8、以及氧化碟陷 16009。邊角圓化可能會加寬溝槽並暴露出矽層而損害此 元件。氧化碟陷將導致拓撲變異而影響後續微影製程。在 sti研磨中,圖案密度為拓撲變異與其他CMp效應之重要 徵。 、 第102C圖所不為溝槽CMp製程期間研磨金屬特徵(如When manufacturing a shallow trench insulation (ST I) structure (as shown in Fig. 10B), silicon dioxide is deposited in a trench etched in silicon 1 6005, and C is used. MP flattens this electrical insulation device. When the interlayer oxide dielectric layer (ILD) is polished, the pattern underlying the insulating trenches causes variation in the deposited silicon dioxide. The problem area is usually the result of CMP, such as the occurrence of silicon nitride erosion 16 0 0 7 (where the removal of the silicon nitride barrier layer may expose the underlying silicon layer and be contaminated and damaged), corner rounding 丨 6 〇〇 8. Oxidation dish sink 16009. Corner rounding may widen the trench and expose the silicon layer and damage the device. Oxidation dishing will cause topological variation and affect subsequent lithography processes. In sti grinding, pattern density is an important feature of topological variation and other CMP effects. Figure 102C is not for grinding metal features during the trench CMP process (such as

200405184 五、發明說明(193) -- 銅線16011與160 12 )散入介電層(如二氧化矽)16〇1〇之 效應。對金屬研磨而a ,圖案特徵計算為特徵化整體晶片 圖案附屬相當重要之步驟;但仍須如線寬與線間距等物理 佈局效應。碟陷與侵蝕為金屬溝槽CMp之兩種已知效應。 量測碟陷1 6 0 1 3做為金屬厚度於導線邊緣與中心之差。侵 姓1 6 0 1 4係定義為金屬線上方氧化層厚度,通常位於導線 陣列中,與鄰近未圖案化區域之氧化層厚度之差異。另一 不需要之效應為殘餘銅16015,其並未被自晶片之介電層 (或上部區域)移除, 9 第1 03B與1 0 3C圖係更詳細顯示製造内連線時銅⑶?所 形成之電性效應。溝槽製程之目的係為在氧化或丨L D材料 1 60 1 7中沈積金屬表面1 6 0 1 6上達成全體與區域性平坦化 如第103A圖所示。當研磨時間不足時,殘留銅16〇19將餘 留於晶片上,並如第103B圖所示形成突起或短路16〇19跨 越兩電性主動區結構或導線上丨6 017。當對相同結構研^ 過久時(如1 03C圖所示),從導線1 6020上移除銅會形成 所謂碟陷效應。碟陷對電性之影響細係會增加導線之電阻 1 6〇22,後續將會影響此區晶片之RC時間常數。200405184 V. Description of the Invention (193)-The effect of the copper wires 16011 and 160 12) scattered into the dielectric layer (such as silicon dioxide) 1610. For metal grinding, a, the pattern feature calculation is a very important step to characterize the overall wafer pattern; however, physical layout effects such as line width and line spacing are still required. Sinking and erosion are two known effects of metal trenches CMP. Measure the dish sink 1 6 0 1 3 as the difference between the thickness of the wire and the center of the wire. Invasion is defined as the thickness of the oxide layer above the metal line, which is usually located in the wire array and is different from the thickness of the oxide layer adjacent to the unpatterned area. Another unwanted effect is the residual copper 16015, which has not been removed from the dielectric layer (or the upper region) of the wafer. The 9th 03B and 103C diagrams show in more detail the copper when manufacturing interconnects? The resulting electrical effect. The purpose of the trench process is to achieve overall and regional planarization on the metal surface 1 6 0 1 6 in oxidized or L D material 1 60 1 7 as shown in Figure 103A. When the grinding time is insufficient, the residual copper 1619 will remain on the wafer, and a protrusion or short circuit 1619 is formed across the two electrical active area structures or wires as shown in FIG. 103B. When the same structure is studied for too long (as shown in Fig. 103C), the removal of copper from the conductor 1 6020 will cause a so-called dishing effect. The influence of the dishing on the electrical properties will increase the resistance of the wire 1622, and the subsequent influence of the RC time constant of the chip in this area.

如第1 01 β圖所示,利用有效量測技術量測丨6 〇 2 8 I c設 計之主動區域中僅有問題之區域1 60 27,係為最可能違反& 設計規格或條件之區域。假若量測此區域係位於設計規格 内,則可假設此晶片之其他區域亦如此。設計規格或條件 可為晶圓狀態參數,如最小或最大薄膜厚度變異,或關鍵 尺寸’或是電性參數,如最大薄膜電阻或最小薄膜電阻變As shown in Figure 1 01 β, the effective measurement technique is used to measure 6 0 2 8 I c. The only problematic area in the active area 1 60 27 is the area most likely to violate & design specifications or conditions . If this area is measured within the design specifications, it can be assumed that other areas of this chip are also the same. Design specifications or conditions can be wafer state parameters such as minimum or maximum film thickness variation, or critical dimensions ’or electrical parameters such as maximum film resistance or minimum film resistance change

1057-5667-PF(Nl).ptd 第196頁 200405184 五、發明說明(194) 異。一種方法係利用製程時圖案附屬之特徵化確認此_問 題區域之位置,並決定量測此變異之合適量測配方。利用 此方法可比對幾何結構配方(即為描於何處並如何進行量 測之幾何結構工具設定)與製程,以特徵化並最小化變 異’故可降低先期生產線與工場之學習時間。一般而言, 因设計者事先不知問題區域位置而增加施行此方法之難 度。1057-5667-PF (Nl) .ptd Page 196 200405184 V. Description of the Invention (194) Different. One method is to use the feature attached to the pattern during the process to confirm the location of the problem area and determine the appropriate measurement formula for measuring this variation. This method can be used to compare the geometry formula (that is, the geometry tool settings where to describe and how to measure) and the process to characterize and minimize variation ’, so the learning time of the pre-production line and workshop can be reduced. In general, the difficulty of implementing this method is increased because the designer does not know the location of the problem area in advance.

利用此方法亦可預存幾何配方與量測計晝。在某些實 =中可利用預先定義之量測圖案於同室(或同艙)或線上 里測。當於工廠環境下導入此方法時,可用以將可能問題 位置加入某些工廠接受並驗證之預存量測計畫中。如此, 此方法可獨立或與現存量測計晝與策略並行。 此方法亦可用以產生完整量測配方,而不只是位置。 例如,從跨越陣列結構所預測之厚度變異中,可利用此方 法限定出掃瞄位置、掃瞄開始與結束位置、以及量測取樣 數^以取得掃瞄長度—皆根據比較預期晶片規格之預測厚 度變異。利用此方法亦可整合跨越多重幾何工具之量測地 點與配方。例如量測銅CMP測試晶圓之侵蝕時,此方法可This method can also be used to pre-store geometric formulas and measure the day. In some cases, a predefined measurement pattern can be used to measure in the same room (or the same cabin) or online. When this method is implemented in a factory environment, it can be used to add possible problem locations to pre-stored measurement plans accepted and verified by some factories. In this way, the method can be used independently or in parallel with existing day-to-day strategies. This method can also be used to generate complete measurement recipes, not just locations. For example, from the variation in thickness predicted across the array structure, this method can be used to define the scan position, scan start and end positions, and measure the number of samples ^ to obtain the scan length—all based on predictions comparing expected chip specifications Variation in thickness. This method can also be used to integrate measurement points and recipes across multiple geometry tools. For example, when measuring the erosion of copper CMP test wafers, this method can

ϊ ΐ鄰近陣列結構之區域之厚度量測,並為Metapulse 光子篁测工具產生適當配方。此方法亦可具體化輪廓掃 瞄,起始於厚度量測或附近位置,終止於此陣列另一側之 其他,置,以及掃瞄時應取樣之數目。所有施行方式皆可 ^為里/則策略,量測地點與量測配方係由預測晶片與晶圓 層級特徵而產生,並傳送至更多量測工具中。ΐ ΐ Measure the thickness of the area adjacent to the array structure and generate the appropriate formula for the Metapulse photon measurement tool. This method can also specify contour scanning, starting from thickness measurement or nearby locations, ending at other sides of the array, setting, and the number of samples that should be sampled during scanning. All execution methods can be used as a strategy. The measurement location and measurement recipe are generated by predicting the characteristics of the wafer and wafer level, and transmitted to more measurement tools.

1057-5667-PF(Nl).Ptd 第197頁 200405184 五、發明說明(195) 根據圖案附屬製程纟 測工具自動產味I 異選取里測地點與配#,並為量 區域,其可妒盡十旦,系統可確認出跨越晶片之問題 如前述所解:?或HDP與後續内連線特徵之CMP。 膜厚度變里斑表^ ^問題區域因晶圓品質變異(如薄 (電阻R ΐ *,如碟陷與侵钱)#電性參數 以於-製程二η經由半物理製程模型,其可用 定製程與ϊ2 多步驟之程序之每一步驟中量測特 理解之^程之、主r以模型化與模擬。一般而言,根據物理 圓所彳曰:次、,、半物理模型將利用自實際製程測試或製造晶 : 貝料,適應符合特定參數條件之特定工具。此模 1之適應較佳工具與配方之舉稱為校準。 =程師,判斷如何選取量測位置以確認製程步驟或程 序之影響。每一量測可能延遲後續製程步驟,並對良率產 生不良衫響。對依新式1 c設計而言,欲決定晶片中最可能 發生問題之區域相當困難。此外,虛設填入結構可能置入 佈局中以改進晶圓製造時之厚度與表面拓撲平坦度,電性 ,數將維持預期或設計值。然而,導入虛設填入將因改變 晶片拓撲而進一步地複雜化,故可將問題區域移動至另一 區域。利用此處所討論之方法可控制量測工具確認整體晶 片變異符合實際製造裝置之設計規格。 第104圖係顯示包括次級區塊16〇31、16〇33、16〇34、 1 6 0 3 5,將於後述各段詳述。此方法可用於線上、同室、 以及離線量測。此圖係顯示線上操作之方法。 一般IC設計資料以電子式如圖形資料流(GDS )格式1057-5667-PF (Nl) .Ptd Page 197 200405184 V. Description of the Invention (195) Automatically produces flavors according to the design auxiliary process measurement tool Ten days, the system can confirm that the problem across the chip is as explained above:? Or CMP of HDP and subsequent interconnect features. The film thickness changes. ^ ^ The problem area is due to the variation of wafer quality (such as thin (resistance R ΐ *, such as dish sinking and invasion of money)). The electrical parameters are based on the -process two η. The process and each step of the multi-step process are measured and understood. The main r is modeled and simulated. Generally speaking, according to the physical circle, the semi-physical model will use the The actual process test or manufacture of crystals: shell material, to adapt to specific tools that meet specific parameters and conditions. The adaptation of this mold to better tools and formulas is called calibration. = Engineer, determine how to select the measurement location to confirm the process steps or The impact of the procedure. Each measurement may delay the subsequent process steps and produce a bad response to the yield. For the new 1c design, it is very difficult to determine the most likely problem areas in the chip. In addition, dummy fills in The structure may be placed in the layout to improve the thickness and surface topological flatness of the wafer during manufacturing. The electrical properties will maintain the expected or designed values. However, the introduction of dummy filling will further complicate the change in wafer topology. Therefore, the problem area can be moved to another area. Using the methods discussed here, the measurement tool can be controlled to confirm that the overall wafer variation conforms to the design specifications of the actual manufacturing device. Figure 104 shows that the sub-blocks 1631 and 16 are included. 33, 16〇34, 16 0 35, which will be described in detail in the following paragraphs. This method can be used for online, same-room, and offline measurement. This figure shows the method of online operation. General IC design information is electronically such as Graphical Data Stream (GDS) Format

200405184200405184

又不,在檔案資料庫中定義積體 構1 60 3 0。雖然隨製程改變之相 中每一層之位置與結 述,但此類檔案卻相當龐大。、徵可更有效率的被描 用數組參數,如特徵寬度、特徵三=取1 6〇31之流程將利 計之個別網格(次級部分)。=二、以及密度總結I C設 於計算資料之限制。第a節將詳要,但有助 佈局特徵將對應至i 6 〇 3 3晶圓品;”擷取。 電性參數’如薄膜電阻或電容。(二,八如/膜厚度 '或 τ圖。)利用此資訊以及製程模(型1V如刀 於mr步驟cmp製程或更複雜製程流程)以 模擬製造結果盥對臃燧彳7 ς Λ 7 、寺預測或 制尸^ ^禾與對應變異1 7 50 1。此變異可利用物理方式And no, the structure 1 60 3 0 is defined in the archive database. Although the location and description of each layer in the phase changes with the process, such files are quite large. The characteristics can be described more efficiently using array parameters, such as feature width, feature three = the individual grid (secondary part) that will benefit from the process of taking 1630. = Second, and the density summary I C is set at the limit of the calculated data. Section a will be detailed, but the helpful layout features will correspond to i 6 033 wafers; "extract. Electrical parameters 'such as thin film resistors or capacitors. (Two, eight such as / film thickness' or τ map .) Utilize this information and process mold (type 1V such as knife in mr step cmp process or more complex process flow) to simulate the manufacturing results: 臃 燧 彳 7 ς Λ 7, temple prediction or corpse making 7 50 1. This variation can be physical

Hi膜厚度之光學量測”戈晶圓表面之輪廓,用以 『測拓撲圖形(如碟陷或階梯高度,以及侵蝕或陣列高度 亦了電子式地量測此類變異,如薄膜電阻或電容 17502 ’且可能需要使用到原始1C設計16039。從17501至 1。7 5 0 2之计算參數係為全晶片之整合,同時適用於橫跨晶 圓之晶方或多重晶方1 75 03。此資訊儲存於資料庫1 755 2並 用以比較預期晶片與晶圓規格。 利用製程模型與電性模擬之結合可預測並比較已知IC 没計之效能與晶圓品質與電性參數以及設計規則規範 1 6 0 3 2。動態量測計晝丨6 〇 3 5元素執行兩基本功能。第一功 能為比較預測與預期參數,第二為產生特定量測工具之晶 圓量測計晝。此比對為簡易檢測以決定預測晶圓或電性參"Optical measurement of Hi film thickness." The contour of the surface of the wafer is used to "measure topological patterns (such as dish height or step height, and erosion or array height). Electronically measure such variations, such as film resistance or capacitance. 17502 'and may need to use the original 1C design 16039. The calculation parameters from 17501 to 1. 7 5 0 2 are all-chip integration, and are applicable to the cube or multi-crystal 1 75 03 across the wafer. This The information is stored in the database 1 755 2 and used to compare the expected chip and wafer specifications. The combination of process models and electrical simulations can be used to predict and compare the performance and wafer quality and electrical parameters and design rules of known ICs. 1 6 0 3 2. The dynamic measurement meter day 丨 6 〇 5 elements perform two basic functions. The first function is to compare the predicted and expected parameters, and the second is a wafer measurement meter to generate a specific measurement tool. This ratio For simple inspection to determine the prediction wafer or electrical parameters

200405184 五、發明說明(197) ' ' 數是否超過設計臨界值或仍於誤差範圍之内。若是,將晶 方之位置載入特定工具之量測計畫。 曰曰 通常量測地點需要多種配方設定以適當地導引工且。 例如’輪廓量測掃瞄不僅需掃瞄位置,亦需起始點與^束 點以及取樣數目以取得掃瞄長度。藉此,本方法可依據薄 膜厚度變異具體化配方參數。利用位置與其他參數可產生 一或多組量測工具完整量測配方,並應用於製程流程中之 一特定點。量測此位置,將伴隨之量測計晝與量測配方儲 存於資料庫1 755 2中,供使用者觀看或自動電子傳送至旦 測工具1 6 0 3 6。 、里 量測工具1 6 30 6利用量測配方(如一或多組量測位置 與工具參數,如輪廓掃瞄之開始與結束位置)以導引曰。 16037上何處該進行量測16〇39,上述係藉由一或多组 步驟(如製程流程)1 60 38所完成。此系統之一選擇性應」 用1 604 0為重複性地儲存預測與量測位置之任何誤差,μ 調整修正模型1 6 04 2並達成較佳預測。此舉於瞀j 準^具後可能發生之製程漂移。在某些實例中,製σ程淠^ 可調整模型而不需對工具進行整體晶片之重新校 /7Κ ^ 以下將描述量測方法之一實施例。第、’ 程變異相關之佈局參數,並將龐大η擷取製 之特徵組合。雖不需執行佈局榻取,但以 要。第b即描述使用製程與電子模型以特徵圖月乃$ 製程變異對於晶片層級之影塑。第節栌 圖案附屬與 測以手動或自動產生量測:丄之匕”利… ,、之里則°十晝。第d節則描述200405184 V. Description of the invention (197) Whether the number exceeds the design critical value or is still within the error range. If so, load the location of the cube into the measurement plan for the specific tool. The measurement site usually requires multiple recipe settings to properly guide the operator. For example, a 'contour measurement scan requires not only the scan position, but also the starting point and beam spot and the number of samples to obtain the scan length. In this way, the method can specify the formulation parameters based on the variation in film thickness. Position and other parameters can be used to generate one or more sets of measurement tools to complete the measurement recipe and apply it to a specific point in the process flow. Measure this position, and store the accompanying meter day and measurement recipe in database 1 755 2 for users to view or automatically electronically transmit to the measurement tool 16 0 3 6. The measurement tools 1 6 30 6 use measurement recipes (such as one or more sets of measurement positions and tool parameters, such as the start and end positions of contour scanning) to guide. Where 16037 should be measured on 16037, the above is done by one or more sets of steps (such as process flow) 1 60 38. One selective application of this system is to use 1 6040 to repeatedly store any errors in prediction and measurement positions. Μ adjusts the correction model 1 6 04 2 and achieves a better prediction. This is a process drift that may occur after the calibration. In some examples, the manufacturing process can adjust the model without recalibrating the entire wafer of the tool. 7K ^ One embodiment of the measurement method will be described below. The first and second processes mutate the relevant layout parameters, and combine the characteristics of the large η extraction system. Although it is not necessary to perform layout, it is necessary. Part b describes the use of manufacturing processes and electronic models to characterize the characteristics of the wafer level. Section 栌 The pattern is attached and measured by manual or automatic measurement: 丄 的 刀 ”” 利… ,, 里 里 ° 十天。 Section d describes

200405184200405184

大、最小、與平均線間距1 7 3 1 9。接著計算全網格之有效 密度1 7 3 1 0。在其餘網格上重複此流程1 7 3 11。因於所有網Large, minimum, and average line spacing 1 7 3 1 9 Then calculate the effective density of the full grid 1 7 3 1 0. Repeat this process on the remaining grids 1 7 3 11. Because all nets

1057-5667-PF(Nl).ptd 第201頁 200405184 發明說明(199) 格上執行上述步驟,從不同處理器上可重新組合擷取之特 徵 17312。 ' 產生一表單並填入最大、最小、與平均線寬、線間 距、以及岔度1 7 3 2 3。利用最大與最小之線寬計算出一範 圍。 線寬範圍(Μ )除以欲得之線數(N ) 1 73 1 4,以決定 每一 Ν線段之相對尺寸。例如第一線段為最小線寬,或是 小非零值△對應到線寬(Μ/Ν ),直到第Ν條線段線,其中 線寬將從最小minLWBinN = (N-l) · (Μ/Ν)到最大max LWBinN = N · (Μ / Ν )為止。此時有三組線段線,一組線段線包含最大、 最小與平均線寬。每一網格根據最大、最小、與平均線寬 分離成合適之線段線17315。每一線段線形成長條圖以顯 示線段線之分佈值1 7 31 6。將此資訊儲存與資料庫並匯入 製程模型中,特別是ECD模型,並產生虛設填入規則 17317 。 在正體日日片上計鼻最大、最小、與平均線間距範圍 1 7 3 1 8。線間距範圍(μ )除以欲得之線數(ν )丨7 3丨9。例 如第一線段為最小線寬,或是小非零值△對應到線間距 (Μ / Ν ),直到第ν條線段線,其中線間距將從最小 minLWBinN = (N-1 ) · (M/Ν)到最大max LWBinN = N · (M/Ν)為止。 此時有三組線段線,一組線段線包含最大、最小與平均線 間距。母一網格根據最大、最小、與平均線間距分離成合 適之線段線1 732 0。每一線段線形成長條圖以顯示線段線 之分佈值1 7 3 2 1。將此資訊儲存與資料庫並匯入製程模型1057-5667-PF (Nl) .ptd Page 201 200405184 Description of the Invention (199) Perform the above steps on the grid to recombine extracted features 17312 from different processors. 'Generate a form and fill in the maximum, minimum, and average line widths, line spacing, and bifurcation 1 7 3 2 3. Calculate a range using the maximum and minimum line widths. The line width range (M) is divided by the desired number of lines (N) 1 73 1 4 to determine the relative size of each N line segment. For example, the first line segment is the minimum line width, or a small non-zero value △ corresponds to the line width (M / N) until the Nth line segment line, where the line width will be from the minimum minLWBinN = (Nl) · (Μ / Ν ) To the maximum max LWBinN = N · (Μ / Ν). There are three sets of line segments. A set of line segments contains the maximum, minimum, and average line widths. Each grid is separated into suitable line segments 17315 according to the maximum, minimum, and average line width. Each segment line forms a bar graph to show the distribution value of the segment line 1 7 31 6. This information is stored with the database and imported into the process model, especially the ECD model, and a dummy fill rule 17317 is generated. On the normal day-to-day film, the maximum, minimum and distance from the average line of the nose are 1 7 3 1 8. The line pitch range (μ) is divided by the number of lines to be obtained (ν) 丨 7 3 丨 9. For example, the first line segment is the minimum line width, or a small non-zero value △ corresponds to the line spacing (M / Ν), up to the ν line segment line, where the line spacing will be from the minimum minLWBinN = (N-1) · (M / N) to the maximum max LWBinN = N · (M / N). At this time, there are three groups of line segments. A group of line segments contains the maximum, minimum, and average line spacing. The mother-grid grid is separated into suitable line segments 1 732 0 according to the maximum, minimum, and distance from the average line. Each line segment forms a bar graph to show the distribution of the line segments 1 7 3 2 1. Store and import this information into a process model

200405184 五、發明說明(200) --- 中特別疋ECD模型,並產生虛設填入規則1 732 2。 在整^體晶片上計算最大、最小、與平均密度範圍 1 7323。挽度:範圍(M )除以欲得之線數(N ) 1 7324。例如 第一線段,最小線寬,或是小非零值△對應到密度(Μ/Ν ),直到第^条線段線,其中密度將從最小minLWBinN = (N-l) •(M/N)到最大max LWBinN = N ·(Μ/Ν)為止。此時有三組線段 線j 一組線段線包含最大、最小與平均密度。每一網格根 ,最大1最小、與平均密度分離成合適之線段線丨7 3 2 5。 每次線&線形成長條圖以顯示線段線之分佈值1 7 3 2 6。將 此資訊儲存與資料庫並匯入製程模型中,特別是ECD模 < 型’並產生虛設填入規則,丨7 3 2 7。最後,所有將線寬、 線=距、禮、度資訊儲存於資料庫或檔案系統中,以應用於 後續之製程模型預測或虛設規則產生與配置,1 7 3 2 8。 第1 0 6圖所示為如何利用擷取表表示整體晶片或晶 方。將晶片或晶方分割成網格1 6 〇 4 5,並利用第1 〇 5圖所示 之擷取程序計算每一網格元件16〇46之線寬16〇47、線間距 1 6048、與密度1 60 49。第1〇6圖顯示關於在(y,x)座標 (1,1 )上之網格以及在(y,χ )座標(2,丨)上之網格之 一擷取表中1 60 5 0,線寬(LW ) 1 604 7與線間距(LS ) 1 6048與密度值1 60 49。在許多實例中,此表單中將存有每, 一網格之最大、最小、與平均之特徵1 6 〇 4 4。 b ·製程與電性模型 本發明之虛設填入方法係利用一製程模型或一系列之200405184 V. Description of the invention (200) --- Special ECD model in China, and a dummy filling rule 1 732 2 is generated. Calculate the maximum, minimum, and average density range on the whole wafer. Reduction: The range (M) divided by the number of desired lines (N) 1 7324. For example, the first line segment, the minimum line width, or a small non-zero value △ corresponds to the density (M / N) until the ^ th line segment line, where the density will be from the minimum minLWBinN = (Nl) • (M / N) to Up to max LWBinN = N · (Μ / Ν). At this time, there are three sets of line segments. A set of line segments contains the maximum, minimum, and average density. Each grid root is separated from the average density by a maximum of 1 and a minimum of 7 3 2 5. Each line & line forms a bar graph to show the distribution of the line segments 1 7 3 2 6. This information is stored with the database and imported into the process model, especially the ECD model < type ' and a dummy fill rule is generated, 7 3 2 7. Finally, all line width, line = distance, courtesy, and degree information are stored in the database or file system for application to subsequent process model prediction or the generation and configuration of virtual rules, 1 7 3 2 8. Figure 106 shows how a capture table can be used to represent an entire wafer or cube. Divide the wafer or crystal cube into a grid 16 0 4 5 and use the extraction procedure shown in Fig. 105 to calculate the line width 16 0 47, line spacing 1 6048, and line spacing of each grid element 16 0 46. Density 1 60 49. Fig. 106 shows an extraction table about the grid at (y, x) coordinate (1, 1) and one of the grids at (y, χ) coordinate (2, 丨). 1 60 5 0 , Line width (LW) 1 604 7 and line spacing (LS) 1 6048 and density value 1 60 49. In many instances, the maximum, minimum, and average characteristics of each grid will be stored in this form. b. Process and electrical model The dummy filling method of the present invention uses a process model or a series of

1057-5667-PF(Nl).ptd 200405184 五、發明說明(201) 模型(即流程)預測1C設計中物理與電性參數之製造變 ^。為特徵化K結構相關之製造變異,可加人虛設填入以 最2化物理與電性參數與欲得值之間的變法 :屬於任何特定型式之模型或模擬。•可接受的是,每ΐ 製程:具具有個別的特m,故模型需標準化特定配方與工 具貝務上,1 c设計需決定製程於物理與電性參數對製程 之影響,並依製程模型發展或校準出特定工具或配方,如 第107A圖所示。在第107A圖中,利用配方薦5於特定工 具1 606 6上對實際晶圓16〇64進行製程。利用前製程晶圓量 測1 606 7與後晶圓製程量測16〇68,以符合模型參數 1 60 69。一較佳實施例為利用一組半經驗 / (semi-empirical )模型以特徵化已知製程圖案附屬。利 用任何計數方法擷取出校準模型參數或符合參數16〇7〇, 如迴歸、非線性最佳化、或是線性演繹(如神經網路)。 最後模型可校準以適用特定工具與配方丨6 〇 7 i。 某些1C特徵如元件密度、線寬、線間距直接與電鍍、 沈積、與CMP製程之拓撲變異相關。此處亦顯示改變晶方 上某些區域之特徵之測試晶圓,可用以建立在已知工具與 配方了,設計參數(如線寬、線間距、密度)對應製造^ 異(薄膜厚度、碟陷、侵蝕)之關係。測試晶圓具多樣可 能性,用以評估製程的影響,一般而言製造成本較低’,測 試晶圓設計可用以特徵化廣泛IC設計之各類製程與配方二 如第107B圖所示,亦可利用測試晶圓167〇1產生校準製程 模型或多重製程模型或一製程流程。利用與第丨〇 7A圖相同1057-5667-PF (Nl) .ptd 200405184 V. Description of the Invention (201) The model (ie process) predicts the manufacturing variations of physical and electrical parameters in 1C designs. In order to characterize the manufacturing variation related to the K structure, a dummy can be added to fill in the variation between the most physical and electrical parameters and the desired value: a model or simulation of any particular type. • It is acceptable that each process has its own characteristics, so the model needs to be standardized on specific recipes and tools. The design of 1c needs to determine the impact of physical and electrical parameters on the process, and according to the process The model develops or calibrates out specific tools or recipes, as shown in Figure 107A. In Fig. 107A, the actual wafer 16064 is processed on a specific tool 1 606 6 using the recipe recommendation 5. The front wafer measurement 1 606 7 and the rear wafer measurement 1668 were used to meet the model parameters 1 60 69. A preferred embodiment is to use a set of semi-empirical models to characterize the attachment of known process patterns. Use any counting method to extract the calibration model parameters or conform to the parameters 1707, such as regression, nonlinear optimization, or linear deduction (such as neural networks). Finally, the model can be calibrated for specific tools and recipes. 6 0 7 i. Certain 1C features such as component density, line width, and line spacing are directly related to the topological variation of plating, deposition, and CMP processes. Also shown here are test wafers that change the characteristics of certain areas on the crystal cube, which can be used to build on known tools and recipes, and design parameters (such as line width, line spacing, density) correspond to manufacturing ^ film thickness, plate Subsidence, erosion). There are various possibilities for test wafers to evaluate the impact of the process. Generally speaking, the manufacturing cost is low. Test wafer designs can be used to characterize various types of processes and recipes for a wide range of IC designs. As shown in Figure 107B, The test wafer 16701 can be used to generate a calibration process model or a multiple process model or a process flow. Utilize the same as Figure 7A

1057-5667-PF(Nl).ptd 第204頁 200405184 五、發明說明(202) 之方法計算校準模型參數。其間差異之一在於可利用測試 晶圓製造商所提供,並由電子型式,如經由網路、電子郵 件、磁片、或CD、或文件型式取得,而導入前製程量測 1 6074。另一差異在於最終量測16078,通常跨越大區域之 線間距、線寬、與密度特徵,故可應用於大區域之元件 上0 第1 08A圖係顯示測試晶圓於校準製程之利用。一測試 晶圓晶方1 6 0 7 9係以線寬與線間距值丨6 〇 8 〇進行圖案化产 理。製程(如CMP、ECD、或沈積)此測試晶圓之;;具^利 用已知配方1 608 1,且利用量測工具量測晶片16〇83之最終 變異(如薄膜厚度1 6084 )。此對應關係為一模型中,以、 此工具與配方產生廣域的線寬與線間距值以及特定薄膜厚 度變異之間的對應關係。如第108Β圖所示,利用此對應關 係可預測新式1C設計之製程變異’不需實際製造出光罩並 完成設計之製程。從新IC佈局擷取出線寬與線間距之特徵 (其範圍落於測試晶方以及晶圓之範圍内1 6986 ) 1 6〇85。 將跨越晶片擷取得之線寬與線間距特徵16〇86輸入對應關 係中16087,可彳于到特定工具與配方之薄膜厚度變異之 測16〇89與16〇9〇 ’而不需實際去生產昂貴光罩並製 的I C設計。 1 如第108C圖所示,此預測之製程變異16〇91可導入 子模型或模擬中16>092 ’以評估製程在晶片之電性效能的 〜響16093。可3十算之電子參數包括薄膜電阻、電阻、電 容、内連線RC延遲、壓降、驅動電流損耗、介電常數、或1057-5667-PF (Nl) .ptd Page 204 200405184 V. Description of the invention (202) The method calculates the parameters of the calibration model. One of the differences is that it can be provided by the test wafer manufacturer and obtained by electronic type, such as via the Internet, e-mail, magnetic disk, or CD, or document type, and the pre-process measurement 1 6074 is introduced. Another difference is the final measurement of 16078, which usually spans a large area of line spacing, line width, and density characteristics, so it can be applied to large area components. Figure 1 08A shows the use of test wafers in the calibration process. One test Wafer crystals 16 0 7 9 were patterned with line width and line spacing values of 6 0 8. Process (such as CMP, ECD, or deposition) of this test wafer; using a known recipe 1 608 1 and measuring the final variation of the wafer 16083 by a measuring tool (such as film thickness 1 6084). This correspondence is a model that uses this tool and recipe to generate a wide-area line width and line spacing value, and a specific film thickness variation. As shown in Fig. 108B, using this correspondence relationship can predict the process variation of the new 1C design 'without the need to actually manufacture a mask and complete the design process. Features of line width and line spacing are extracted from the new IC layout (the range falls within the range of test crystals and wafers 1 986) 1 6085. The line width and line spacing characteristics 1686 obtained by cross-chip capture are entered into the corresponding relationship 16087, which can be used to measure the thickness variation of specific tools and formulas 1689 and 1690 'without actual production. Expensive reticle IC design. 1 As shown in Figure 108C, the predicted process variation 16091 can be imported into a sub-model or simulation 16> 092 'to evaluate the electrical performance of the process on the chip ~ 16093. Electronic parameters that can be calculated in 30s include film resistance, resistance, capacitance, interconnect RC delay, voltage drop, drive current loss, dielectric constant, or

200405184 五 發明說明(203) 串擾變異。此預測可用以決定量測之合適位置。 植入虛設填入。 用衣知,、電性模型以特徵化變異並 第1 0 9圖係描述以拉宁目 之步驟。如同第1〇5圖,斤:述製程模型 利用量測設備ΐΐ :=困;!者上#。第二步驟η“ι 猫以取得陣列鱼ρ Ϊ:;。第;包括薄膜厚度與輪廓掃 、白释阿度0弟二步驟17442斟、、目丨ί 士+曰m、公 行已特徵化之特定製程咬製裎則二2對測5式晶0進 電鍍、沈穑、·^ 戈裟 此製程或流程可包括 校ΐ亦:Λ研磨步驟”交佳的方法是對個別製程 之區段進行校準,可取得流程中接續 時間,進㈣ΐ象。此處亦建議對不同配方參數,如 前期量測進行量洌二:。·在此θ製程晶圓之相同位置以相同 或電性,並可;=二,,此包括薄膜厚度、輪廓、 或圖案1 7445 4 Υ製程之變異1 7444。上傳製程模型 此模型或圖案進巧測以及計算出之變異對 料庫選取。前:iL,ί 填,電腦系統上之模型資 符合特定工呈亦/^ /月里測以及计异出之製程變異係用以 ^ ^ I Λ ^ ^ ^ ^ Π 4 4 6 〇 ^ „ t 亦包含有亦/或配方1 7447。此結果中 電性ΐ數Λ描述利用校準模型以預測製程變異以及後铼 電…與效能變異之影響的步驟。㈣佈局或佈及局後檔,案200405184 5 Description of the invention (203) Crosstalk variation. This prediction can be used to determine the appropriate location for the measurement. Implant dummy fill in. Using clothing knowledge, the electrical model is used to characterize variation and Fig. 10 depicts the steps of Elaine. As in Fig. 105, the process model is described using measuring equipment:者 上 #. The second step η “ι cats to obtain the array fish ρ Ϊ :. The first; including the film thickness and contour sweep, white step A 2 0 step 17442 ponder, heading + + m, the public bank has been characterized The specific process of the process is the following: 2 pairs of test 5 crystals, 0, electroplating, sinking, and so on. This process or process can include calibration. Also: The Λ grinding step is a good method. Calibrate to get the continuity time in the process. It is also recommended to measure different formula parameters, such as the previous measurement. · The same position of the wafer in the θ process is the same or electrical, and can be; = two, this includes the film thickness, contour, or pattern 1 7445 4 Υ process variation 1 7444. Upload the process model This model or pattern is measured and the calculated variation is selected for the library. Before: iL, ί fill in, the model information on the computer system meets the specific process requirements / ^ / monthly measurement and the process variation calculation is used for ^ ^ I Λ ^ ^ ^ ^ Π 4 4 6 〇 ^ „t It also contains / or Formula 1 7447. In this result, the electrical threshold number Λ describes the steps of using a calibration model to predict the effects of process variation and subsequent electrical energy ... and performance variation.

第206頁 ri ijv 200405184 五、發明說明(204) 組合以及欲得之1(:特徵、幾何結構、 ίί =3°。第二步驟則執行佈局二二= 區域中關於製程變異之描述或特徵組合。-=將佈局分離成數個網格,並計算每-網格元 值^,本發明則計算每-網格之有效線寬 =脾Λ傳或組合已校準製程模型至模擬流程中 s :母空間區域之擷取得佈局參數導入模型中, =最終利製用程目參Λ,如薄膜厚度、碟陷、陣列與階梯高度 1 7501利用目標與預測之製程參數之間差異計算贺藉變 異。預測之製程參數亦可導入電子模型以 之參數175〇2。▼計算之電子參數包括擬薄中用:特 =内連線RC延遲、壓降、驅動電流損耗、介電 :電子變ί盆某些電性模型與模擬可做為包 夺序擷取為、或其他1C相關CAD軟體元素。 因此虛設填入演算規則特別適合内連線層級之 内連線量測(R、c、L變數)做為晶Τ之真 ί:Ϊ:: Γ測,如下列表單所示。其他特定區域需要 電路效能。例如,信號延遲變數ΪΪ 符合電路規格。同樣地,々 = = 徑之時序限制 』寻利用時脈扭轉盥Φ操她% 以決定電路疋否將會適當地運作。在此方法 RLC )標準可做為加入虛設填入一 5 著,藉由選擇性地針對特定信號或第晶片-人某通區過„。接 擬’可於下-次重複操作時調整虛設填入之配 第207頁 1057-5667-PF(Nl).ptd 200405184 五、發明說明(205) 將配置虛設填入,接著為線上或同室量測選取預測關鍵 異=位置。換言之,利用動態量測系統決定晶片該如何谁 行量測與測試以確認此舉。動態一詞係包括利用測試曰 與板型所得之量測資料決定新丨c設計之量測位置。動態二 詞,包括利用前述相同之量測資料與模型,但未加入^ 徵 品晶圓上量測工具之量测迴授,以決定目前產品晶圓之息 測地點。例如’某位置上薄膜電阻之變異預測可改進特里 之輪廓掃瞄以量測碟陷或侵蝕。 、 電性量測 量測型式 應用 電阻(R〕 3^ _ BCD、氣化層虛設填入 電容(C〕 ^連線 BCD、SL化層虚設道入、金:届届虚埴人 電感(L〕 連線____ 高頻(BCD、轰;化&金慝埴入〕 信號延遲 電路 迴路、排線、特定線路 扭轉 電路 時脈 串擾雜訊 «二 低幅離訊感測電跆 _ .,^ π 土丹依做〜符不句應用於新丨C設訂; 製,電性參數與效能之全晶片預測,以及當加入虛設填 入時=何改進此類參數之預測。下節將描述此_夂 輸入量測計畫產生元素中,與設計規格與條二 以決定量測之地點與工具。 行比車义 镥 Φ c·產生動態量測計畫Page 206 ri ijv 200405184 V. Description of the invention (204) Combination and desired 1 (: feature, geometric structure, ί = 3 °. The second step is to perform layout 22 = the description or feature combination of process variation in the area .- = Separate the layout into several grids and calculate the per-grid element value ^, the present invention calculates the effective line width per-grid = spleen or transfer the calibrated process model to the simulation process. The layout parameters of the acquisition of the spatial area are imported into the model, = the final control process parameters Λ, such as film thickness, dish depression, array, and step height 1 7501 The difference between the target and the predicted process parameters is used to calculate the loan variation. Forecast The process parameters can also be imported into the electronic model with the parameters of 1750.2. ▼ The calculated electronic parameters include quasi-thin applications: special = interconnected RC delay, voltage drop, drive current loss, dielectric: electronic change Electrical models and simulations can be used as packet capture or other 1C-related CAD software elements. Therefore, the dummy fill-in calculation rules are particularly suitable for interconnection measurement (R, c, L variables) at the interconnection level. Is the truth of the crystal Τ: Ϊ :: Γ 测, As shown in the following list. Other specific areas require circuit performance. For example, the signal delay variable ΪΪ meets the circuit specifications. Similarly, 々 = = the timing limit of the path. It will work properly. In this method, the RLC standard can be used as a dummy to fill in a 5 by selectively targeting specific signals or the chip-to-person pass zone. The plan can be used next-time. 1057-5667-PF (Nl) .ptd 200405184 Adjust the configuration of the dummy fill when repeating the operation. 5. Description of the invention (205) Fill in the configuration dummy, and then select the prediction key difference = position for online or same-room measurement. In other words, the dynamic measurement system is used to determine how the chip should be measured and tested to confirm this. The term dynamic includes the use of measurement data obtained from the test report and the shape to determine the measurement location of the new design. Dynamic 2 Words, including the use of the same measurement data and models described above, but without the addition of ^ measurement feedback from the measurement tools on the wafer to determine the current test location of the product wafer. For example, 'thin film resistance at a certain location Variation prediction can improve Terry's contour scanning to measure dishing or erosion. Electrical resistance measurement type Application resistance (R) 3 ^ _ BCD, dummy fill capacitor for gasification layer (C) ^ Connect BCD , SL layer dummy road entrance, gold: session virtual people inductance (L) connection ____ high frequency (BCD, Boom & Jin Yajin) signal delay circuit loop, wiring, specific line twist Circuit clock crosstalk noise «Two low-amplitude off-sensing electrical sensors _., ^ Π Tuntan is used to apply the new character to the new C setting; system, full-chip prediction of electrical parameters and performance, and When adding dummy filling = how to improve the prediction of such parameters. The next section will describe this _ 夂 input measurement plan generation elements, and design specifications and conditions to determine the measurement location and tools. Ride the car right 义 Φ c · Generate a dynamic measurement plan

1057-5667-PF(Nl).ptd 第208頁 200405184 五、發明說明(206) 如第104圖所示,動態取樣計晝產生元素16〇35以設計 規格或條件比較預測晶圓階段參數如薄膜厚度,盥電性來 數如薄膜電阻。利用超過或足以接近(如由設計^定義了 在不同電路設計規定不同距離)特定限制之晶片位置產生 :】=二,如第111A與111B圖所示。第1UA圖顯示兩金屬 線1609 5與1 6 096線上薄膜厚度之模型預測係為研磨銅之結 果兩導線之殘餘銅會在此位置形成凸起或短路。 雖然目標厚度為τ,但此&起或短路位置將會有厚度τ加上 ,,之銅厚度R。模型預測階段之結果為薄膜厚度或電性 參數如薄膜電阻之計算結果。 此模型可用以預測厚度凸起或短路,亦可從模型中 :量測位置之最厚與最薄點’使量測工具可實際量測此位 罝0 曰第11B圖係顯示使用模型預測決定量測位置。利用此 曰曰片之設計規格決定如薄膜厚度變異之預測參數。例如, 因形成巨幅正向變異或薄膜厚度增加將導致凸起 =5。因cMP形成巨幅負向變異或薄膜厚度減少將導致導 ^ 士碟陷,並於後續增加薄膜電阻16m。當預測薄膜 —=達到某預測量或超過如厚度變異層級,選取此區域進 :::f取1測之位置可包括伴隨特定晶圓★電性參數 變異層級’如第⑴AmuB圖所示,此參數 在此例巾,最大銅薄膜厚度變異(如發生凸起時)定 為+ ΔΤ 16101。此預測薄膜厚度位於金屬線上,如第1057-5667-PF (Nl) .ptd Page 208 200405184 V. Description of the invention (206) As shown in Figure 104, the dynamic sampling meter generates elements 1635 to compare design specifications or conditions to predict wafer stage parameters such as thin films Thickness, electrical properties such as film resistance. Generated by using wafer positions that exceed or are close enough (as defined by Design ^ to specify different distances in different circuit designs):] = 2, as shown in Figures 111A and 111B. Figure 1UA shows that the thickness of the thin film on the two metal wires 1609 5 and 1 096 is predicted to be a result of ground copper. As a result, the residual copper of the two wires will form bumps or short circuits at this location. Although the target thickness is τ, this & start or short-circuit location will have a thickness τ plus a copper thickness R. The result of the model prediction stage is the calculation result of film thickness or electrical parameters such as film resistance. This model can be used to predict the thickness of the bump or short circuit, and from the model: the thickest and thinnest points of the measurement position, so that the measurement tool can actually measure this position. 0. Figure 11B shows the use of model prediction decisions Measure position. Use the design specifications of the film to determine predictive parameters such as film thickness variation. For example, the formation of a large positive variation or an increase in film thickness will result in a bump = 5. Due to the huge negative variation of cMP or the decrease of film thickness, it will cause the driver to sink and increase the film resistance by 16m. When the predicted thin film— = reaches a certain predicted amount or exceeds the thickness variation level, select this area to enter ::: f takes a measurement position of 1 may include the accompanying specific wafer. ★ The electrical parameter variation level 'is shown in Figure ⑴AmuB. This In this case, the maximum variation of the thickness of the copper film (if a bump occurs) is determined as + ΔΤ 16101. This predicted film thickness is on the metal line, as

200405184 五、發明說明(207) 111A圖所示,繪製於第Π1Β圖中,且發生凸起之最大高度 16103為T + R 16102。在此例中,發生凸起點16103之位置 將導入薄膜厚度量測之取樣計畫中。此為臨界實例,根據 成本函數以決定選取之位置。 讀· 利用量測與工具型式對參數進行監測。例如,影響内 連線薄膜電阻之碟陷通常採用輪廓量測工具進行量測,而 銅薄膜量測一般採用薄膜厚度工具進行量測。故所產生之 量測計晝可為使用者可取得之特定工具型式進行規劃。因 產生量測計晝,可經由圖形使用者介面(如第n丨C圖所示 )顯示’且使用者可手動選取載入量測地點。此量測計畫 可自動地傳送並載入特定量測工具中。 I程圖。輸入晶 ^片設計規格與 根據設計規格與 如第11 1圖所示, 第11 2圖係顯示產生量測計畫之步馬 圓階段與電性參數之全晶片預測1 6 0 3 3 條件1 7452、以及選取之量測工具16〇35 ^ :=對全晶片晶圓與電性參數1 74 53 - %片Η丄H 時亦;預測晶圓階段與電性參數之臨界值。同 制,或已離,如15%之限 較佳誤差範圍可依製、:1已儲存s預測中, 計算某些模組化誤差 示移限疋為1〇-15%。因此必須 有量測次數之限制,以:=亦可統計式地定義’故會 限定較高。此計算亦::產生問題之位置之優先次序 差診斷方法,並持声甲進订假說測試以及其他統計誤 率計算。 、巧、特定位置或特徵影響晶片性能之機200405184 V. Description of the invention (207) 111A, drawn in Figure Π1B, and the maximum height 16103 is T + R 16102. In this example, the location of the raised point 16103 will be introduced into the sampling plan for film thickness measurement. This is a critical example. The cost function is used to determine the selected location. Read · Use measurement and tool types to monitor parameters. For example, the dishing that affects the film resistance of the interconnects is usually measured with a profile measurement tool, while copper film measurements are usually measured with a film thickness tool. Therefore, the resulting measurement meter can be planned for a specific tool type available to the user. Since the measurement day is generated, it can be displayed through a graphical user interface (as shown in Figure n 丨 C), and the user can manually select a measurement location to load. This measurement plan can be automatically transferred and loaded into a specific measurement tool. I process map. Enter the design specifications of the wafer and according to the design specifications, as shown in Fig. 11, Fig. 11 shows the step of generating the measurement plan and the full chip prediction of electrical parameters 1 6 0 3 3 Condition 1 7452, and the selected measurement tool 16035 ^: = also for full-chip wafers and electrical parameters 1 74 53-% wafer Η 丄 H; predict critical values of wafer stage and electrical parameters. The same system, or has been removed, such as the 15% limit. The preferred error range can be determined according to the system: 1 In the stored s prediction, some modular errors are calculated. Therefore, there must be a limit on the number of measurements, with: = can also be defined statistically, so the limit is higher. This calculation also includes: Priority of the location of the problem, poor diagnostic methods, and advanced hypothesis testing and other statistical error calculations. Machines that affect chip performance

200405184 五、發明說明(208) 整合並錯誤嘗試所有量測位、 計晝以選取量測工具1 75 4fi。座钴,產生董剎 測因碟陷形成之較高薄膜電1, 用用輪廓1測工具量 量測造成凸耜之初# ^膜電 並利用薄膜厚度量測設備 告j =成凸起之銅殘餘。量測工具需要配方,並利用錯誤 ^ t產生置測配方,並適當地針對現有工具开彡成之曰。、 將置測位置計畫與配方儲存於資料庫中1 745了,n t ^動地傳送1 745 7與載送1 6036此量測計書至量測工1助 此處亦可提供具量測計畫之量測卫且,‘二二具。 查。;:1 4 ,、扠疋,並根據模型預測輸出形成此計 ^7 别戶述,此方法亦產生一或多組量測工具之量測g? ’:利用厚度與輪廓量測量測銅侵,虫。在此例巾,兩工 具之量測配方亦可儲存於資料庫1 74 57中。 電腦控制量測判定系統亦可動態地使用此方法, =提供量測位置與配方資訊以導引量測’並利用量測結 %生額外的里測位置與配方。量測資料通常可指出製 冰移,故做為預測之模型需予以調整或正確之校準。在此 」中t仍有小畺值持續進行量測,直到取得更正確預測與 里測扣引為止。根據不同製程階段之模型校準可獲得更正 j預測,並可由後續更詳盡之第124A、124B '與125圖之 貝料庫^75^2中其他製程模型1 62 57、1 6260、1 6 263選取。 錯块嘗試步驟可利用此方法一次對一位置進行量測, 例如針對最大厚度變異,檢測CMP製程中可能未清除銅殘 餘之位置。另一錯誤嘗試步驟可提供工具量測位置資訊,200405184 V. Description of the invention (208) Integrate and try all measurement positions and errors, and select the measurement tool 1 75 4fi. Cobalt is produced, and the high-thickness film 1 formed by dishing is measured by Dongcha. At the beginning, the convexity caused by the profile 1 measurement tool is used to measure the film thickness and the film thickness measurement equipment is used. Copper residue. The measurement tool requires a recipe, and uses the error ^ t to generate a test recipe, which is appropriately developed for existing tools. 1. Stored the plan and recipe of the measurement position in the database 1 745, and nt ^ sent 1 745 7 and carried 1 6036 to the surveyor 1 to help you with measurement. The measured amount of the plan is, "two two." check. ;: 1 4 , Fork 疋, and form this plan according to the model prediction output ^ 7 Other accounts, this method also generates one or more sets of measurement tools to measure g? ': Use thickness and contour measurement to measure copper Invasion, bug. In this case, the measurement formulas of the two tools can also be stored in the database 1 74 57. The computer-controlled measurement and judgment system can also use this method dynamically. = Provide measurement position and recipe information to guide the measurement 'and use the measurement results to generate additional in-measurement positions and recipes. The measurement data can usually indicate the ice making movement, so the model used for prediction needs to be adjusted or properly calibrated. In this case, t is still measured with a small threshold value until a more accurate prediction and inclination are obtained. Corrected predictions can be obtained by calibrating the models according to different process stages, and can be selected from other shell models in Figures 124A, 124B 'and 125 ^ 75 ^ 2 for further process models 1 62 57, 1 6260, 1 6 263 . The error block attempt step can be used to measure one position at a time, for example, for the maximum thickness variation, detecting the position where the copper residue may not be removed in the CMP process. Another erroneous step provides tools to measure location information.

第211頁 200405184 五、發明說明(209) ' 並根據實際量測選取另一較適此製程之目前狀態之校準模 型。第11 3圖係顯示系統於後溝槽製程之CMp量測時可採用 之錯誤嘗試步驟。在第113人與1138圖中顯示幾種錯誤嘗試 之方法,其中僅由模型預測中產生量測計晝(第113人圖 ):並持續使用量測與整體晶圓預測以選取下一量測地點 驟合用以判定晶片 之動態量測與圖示 <1 或 描 所以,此方法可與任何錯誤嘗試步 晶圓之問題區域。幾類錯誤嘗試步驟 述將於隨後討論。 d· 施行與操作 一般使用此方法為導 或多組晶方中進行量測。 級之製程中圖案附屬之效 如薄膜厚度、橢面量測、 設備、電子電容、以及電 點探針薄膜電阻測試)。 作模式,如離線、線上、 第114A與114C圖係描 異之方法,如同晶圓對晶 此方法根據晶圓階段或晶 晶方之位置1 6 1 1 0。如第J 型校準每一晶方位置之方 方16113,請參閱第4圖中 引量測工具於晶方或於晶圓上一 此導引主要可依據晶方與晶圓層 應。此方法亦可使用任何量測, 輪廓量測、原子顯微、光學量測 阻測試,或材料特性測試(如四 此方法可用於量測工具之任何操 或同室操作。 ' 述在晶方與晶圓層級上特徵化變 圓一般。如第114A圖所示,利用 方之電性變異1611!可決定整體 14B圖所示,此方法亦可利用模 法特徵化16112晶圓上之多個晶 之3 4。在此應用中,針對每一晶 200405184 五、發明說明(210) " '—' '—-1—-- 生吝模斗型預測16115。依據設計規格與根據第104圖中 =〇曰35產生之量測計畫比對個別與總體晶方變異i6ii4。通 :曰曰圓:級之變異為輻射狀,故採用三組晶方;一組位於 一組位於晶圓中心、,一組則位於兩者之間某-旦11 c圖所不,利用此方法可特徵化並對多組晶 制r::測211'。通常製程漂移會改變晶圓製程。利用 二:祕π ί'示移70素,模型式預測可用以確認需量測之問 = :移朝向之設計規格或限制。在此方法中,利用 里1 fi 1 » :不同時間之校準模型預測晶圓對晶圓間如何變 i π ^於+利^用此特徵化可於漂移更嚴重時導引此量測工 %用,而篁測與可能之問題位置。 -類t ϊ?:圖所示具有幾類方式與量測工具產生互動。 且16^2^,女於電腦161 21之系統16 122直接連接至量測工 ^ t机裝於量測工具之電腦控制系統中。將製造 計書,Μ軟體糸統16122處理此設計’並產生量測 驟_二二==際指導量測流程。經實際製程步 量測結果儲存於ΐ子=具1;123量測晶圓16125。-般 工程師16126。由GUI傳送至作業員或 連接至量測工具。—此類/法為安裝於電腦中,並經由網路 網際網路或VPN以雷Λ可包括經外部網路、内部網路、 本發明之另一 或光學式相連接。 誤差時,利用選擇異與實際量測間發生 伟a疋素(請參閱第104圖之1 6 040 )調整Page 211 200405184 V. Description of the invention (209) 'And according to the actual measurement, select another calibration model that is more suitable for the current state of the process. Figure 11 3 shows the erroneous attempt steps that the system can take during the CMP measurement of the rear trench process. Figures 113 and 1138 show several methods of erroneous attempts, of which only the measurement meter is generated from the model prediction (Figure 113): and the measurement and overall wafer prediction are continuously used to select the next measurement The location snapping is used to determine the dynamic measurement and icon of the wafer < 1 or trace. Therefore, this method can be used with any wrong attempt to step the problem area of the wafer. Several types of error attempt steps are discussed later. d. Implementation and operation Generally, this method is used to measure in multiple crystal cubes. The effects of pattern attachment in the process of level 1 (such as film thickness, ellipsoidal measurement, equipment, electronic capacitor, and electrical point probe film resistance test). Operation modes, such as offline, online, 114A and 114C, are described in the same way as wafer-to-wafer. This method is based on the wafer stage or the position of the crystal square 1 6 1 1 0. If the J type is used to calibrate the square of each crystal 16113, please refer to Figure 4 for the measurement tool on the crystal or on the wafer. This guide can be based on the crystal and wafer layer application. This method can also use any measurement, contour measurement, atomic microscopy, optical measurement resistance test, or material property test (such as the four methods can be used for any operation of the measurement tool or the same room operation. '于 在 晶 方 与Characterization on the wafer level is generally round. As shown in Figure 114A, using the electrical variation of the square 1611! Can be determined as shown in the overall Figure 14B. This method can also use the mold method to characterize multiple crystals on the 16112 wafer. No. 3 4. In this application, for each crystal 200,405,184 V. Description of the invention (210) " '—' '—-1 ------- prediction of mold shape 16115. According to design specifications and according to Figure 104 = 〇The measurement plan generated by 35 is compared with the individual and total crystal cube variation i6ii4. Common: Said circle: the variation of the level is radial, so three groups of crystal cubes are used; one group is located at the center of the wafer, One group is located between the two-as shown in Figure 11c. This method can be used to characterize and crystallize multiple sets of r :: test 211 '. Usually process drift will change the wafer process. Use two: secret π ί'shows 70 shifts, model predictions can be used to confirm the requirements of measurement =: design specifications of shift direction Or limit. In this method, use 1 fi 1 »: Calibration models at different times to predict how wafer-to-wafer changes i π ^ ^ + + ^ Use this feature to guide this amount when drift is more serious The testers are mostly used, but guessing and possible problem locations.-Class t ϊ ?: There are several types of methods shown in the figure to interact with the measurement tools. And 16 ^ 2 ^, female computer 161 21 system 16 122 direct The machine connected to the measuring instrument is installed in the computer control system of the measuring tool. The manufacturing plan will be processed and the software system 16122 will process this design and generate a measurement step_22 == internationally guide the measurement process. The actual process step measurement results are stored in Xunzi = 1; 123 to measure the wafer 16125.-General engineer 16126. The GUI is transmitted to the operator or connected to the measurement tool.-This method is installed in the computer, The connection via the Internet or VPN may include connection via an external network, an internal network, another or an optical connection of the present invention. When an error occurs, the difference between the selection and the actual measurement occurs. (Please refer to Fig. 104 1 6 040) adjustment

第213頁 200405184 五、發明說明(211) 模型。如第1 15B圖所示,將設計規格與佈局資訊16 127提 供至動態量測方法1 6 1 2 9。此方法1 6 1 2 9可安裝於連接至量 測工具或安裝於量測工具之電腦控制系統之中電腦 1 6 1 28。將製造局之設計規格與佈局檔案(若已擷取完成 )或佈局擷取1 6 1 2 7載入此方法中。此方法1 6 1 2 9處理此設 計’並產生量測計畫,其與軟體連接並實際指導量測流 程。經實際製程步驟161 31如CMP之後,利用工具161 3〇量 測晶圓1 6 1 3 2。一般量測結果儲存於電子媒介1 7 μ 4中,並 經由GUI傳送至作業員或工程師16134。Page 213 200405184 V. Description of the invention (211) Model. As shown in Figure 1 15B, the design specifications and layout information 16 127 are provided to the dynamic measurement method 1 6 1 2 9. This method 1 6 1 2 9 can be installed in a computer 1 6 1 28 connected to a measuring tool or a computer control system installed in the measuring tool. Load the design specifications and layout files of the manufacturing bureau (if they have been captured) or layout capture 1 6 1 2 7 into this method. This method 1 6 1 2 9 processes this design 'and generates a measurement plan, which is connected to the software and actually guides the measurement process. After the actual process steps 161 31 such as CMP, the tool 1613 30 is used to measure the wafer 1 6 1 3 2. The general measurement results are stored in the electronic medium 17 μ 4 and transmitted to the operator or engineer 16134 via the GUI.

另一方法如第115C圖所示,利用fU5B圖中相同方 法,但加入兀素1 6 1 4 3以提供製程控制系統或工具之迴 授,以最佳化製程設定與配方組合。 另一方法如第115D圖所示,利用與第mc圖相同之今 構,但亦加入元素16149傳遞量測變異至 中,如虛設填入工具161 52或製裎铲佔几士、+1ej M ^ ^ ώ 4 I私蚨佳化方法16 151以最 化變異。虛設填入工具修正j Γ吗呌丨、;旦, ^ ,^ ^ 1L °又叶以最小化量測變異,棄 程最佳化係尋找調整製程條侔以畀I 1 文开 ^ 不狂1汆仵以最小化因變異所產生之t 程效應。另一元素16150亦可利用旦、、 吓座玍之3 排程校準與維#。 則迴授至製程工具之Another method is shown in Figure 115C, which uses the same method as in fU5B, but adds 1 16 1 4 3 to provide feedback from the process control system or tool to optimize the process settings and recipe combination. Another method is shown in Figure 115D, using the same structure as in Figure mc, but also adding element 16149 to transfer the measurement variation to the medium, such as a dummy filling tool 161 52 or a shovel to occupy a few shims, + 1ej M ^ ^ FREE 4 I optimize the method 16 16 151 with the most variation. The dummy filling tool is used to modify j Γ? 呌 ;; once, ^, ^ ^ 1L ° and then to minimize the measurement variation, the optimization of the abandonment process is to find the adjustment process bar 侔 I 1汆 仵 To minimize the t-path effect caused by mutation. Another element, 16150, can also be used for calibration and maintenance # 3. Feedback to process tools

Basic,SQL )並予以模組化,在^ (如Java, Tcl, 否完全使用所有元素。例如,測計畫時可選擇是 以產生薄膜厚度變異、比較官痒机二不僅可用於製程模型 反規格之位置。下列將描ϋ二=叶規格、並可決定出違 钿述本方法所採用之一般計算網Basic, SQL) and be modularized. ^ (Such as Java, Tcl, whether to use all elements completely. For example, when planning, you can choose to generate film thickness variations and compare the official itch machine. The second is not only used for process model reflection The location of the specifications. The following will describe the two = leaf specifications, and can determine the general calculation network used to describe this method.

200405184 五、發明說明(212) 路。200405184 V. Description of Invention (212) Road.

第11 6圖係顯示一種應用本發法之軟體結構。使用者 16153經由圖形介面系統(GUI ) 16154,如網路劉覽器, 連通至系統。GUI 14614允許使用者選擇並上傳電子佈局 設計檔案至虛設填入系統,觀看需修正區域,或已經微影 系統設計所修正之設計區域。當系統安裝於量測工具中 時,如第115圖所示,使用者為一工具操作者,而則安 裝於工具指揮與控制電腦中。GU I亦可安裝於内建於工具 之電腦螢幕或觸控式螢幕。第111C圖係顯示一GUI實例了 自其他 所述特 使用者 或自另 亦可利 虛設填 來源或 閱佈局 片佈局 結果可 般而言 格式之 定裝置 亦可利 一電子 用介面 入之形 電腦以 經虛設 空間密 為下列 電子媒 之電性 用此介 媒介來 自儲存 狀、尺 傳送或 填入調 度、預 格式: 郎對GUI之定義及使用,允許使用者 介、預期之設計規則、以及設計檔案 效能予以選取、上傳、或傳送處理。 面自一伺服器選取製程與電子模型, 源或電腦以傳送或負載模型。使用者 於伺服器之虛設填入標的資料庫選取 寸、以及圖案,或是自另一電子媒介 負載模型。使用者亦可利用此介面檢 整後之結果,亦/或檢視最後整體晶 測製程薄膜厚度亦/或電性參數。此Figure 116 shows a software structure using the present method. The user 16153 is connected to the system via a graphical interface system (GUI) 16154, such as a network browser. GUI 14614 allows users to select and upload electronic layout design files to the dummy fill system to view areas that need to be modified or design areas that have been modified by the lithography system design. When the system is installed in the measurement tool, as shown in Figure 115, the user is a tool operator, and it is installed in the tool command and control computer. GU I can also be installed on a computer screen or touch screen built into the tool. Figure 111C shows an example of a GUI from other users, or from other sources, or you can also set the source or read the layout. The layout of the device can generally be a format, but also a computer with an electronic interface. Use the virtual space secret as the following electronic medium. Use this medium to come from the storage state, ruler transmission or fill in the schedule, pre-format: Lang's definition and use of the GUI, allow user interface, expected design rules, and design File performance is selected, uploaded, or transmitted. Select a process and electronic model from a server, source or computer to transfer or load the model. The user fills in the target database in the virtual database of the server and selects the size and pattern, or loads the model from another electronic medium. Users can also use this interface to check the results after the adjustment, and / or check the final overall film thickness and / or electrical parameters of the process. this

長條圖或其他統計圖 晶圓狀態之全晶片影 在製程步驟或流程時 像,或是在某點上即時之電性參數 整體晶片之薄膜厚度、碟陷、侵Bar graphs or other statistical graphs Full wafer image of wafer status During process steps or processes, or real-time electrical parameters at a certain point Overall film thickness, dishing, invasion

第215頁 200405184 五、發明說明(213) 蝕過程之影片 •整體晶片之電性參數變異,如薄膜電阻以及電容變異之 影片 ^ •數值表单Page 215 200405184 V. Description of the invention (213) Video of the etching process • Variation of the electrical parameters of the whole chip, such as film resistance and capacitance variation Video ^ • Numerical form

此GUI 14614與一系列軟體元素、服務、或是函數 1 6 1 5 5 (此處標記為服務模組)相連通,以管理通過系統 至資料庫1 6 1 5 8 ’以及計算核心流程1 6 1 5 6之資料流°。'將服 務161 55予以模組化,並導入以起始計算核心流程16156, 以執行部分演算規則,整合並格式化在GUI中顯示之内 容。此元素之實例為Java或Tel語言,可使採用嵌入%[核 心之資料庫與採用HTML、XML、或動態HTML語言使Gui之間 互動更為簡易。此類元素亦可起始數學流程,進行計算以 決疋佈局中正確之虛設填入配置。This GUI 14614 communicates with a series of software elements, services, or functions 1 6 1 5 5 (here labeled as service modules) to manage the system-to-database 1 6 1 5 8 'and core computing processes 1 6 Data flow of 1 5 6 °. 'Modify services 161 to 55 and import them to start the core computing flow 16156 to execute some calculation rules, integrate and format the content displayed in the GUI. Examples of this element are Java or Tel language, which can make the interaction between Gui easier by using embedded core database and by using HTML, XML, or dynamic HTML language. Such elements can also start the mathematical process and perform calculations to determine the correct dummy fill configuration in the layout.

此服務模組1 6 1 5 5,連通至流程與函數之計算核心 16156,執行虛設填入演算規則與繁雜之計算程序,如製 程與電子模型與模擬過程等。此核心亦進圖 之計算。此計算包括指,、資料、模型參數有預 格、圖像、或影像格式,以及檔案系統中檔案之指標。 此服務模組1 4 3 5 5,亦可連通至電子丨c設計軟體或處 理佈局資訊1 4 3 5 7,如設計標的之位置與座標,以及決定 將虛設填入單元配置於何處。 資料庫1 6 1 5 8經由SOL指令連通至服務模組丨6丨5 5,利 用SQL指令管理系統資料,如量測位置;使用者簡介,包This service module 1 6 1 5 5 is connected to the calculation core 16156 of processes and functions, and executes dummy filling calculation rules and complicated calculation procedures, such as process and electronic model and simulation process. This core is also included in the calculation. This calculation includes data, model parameters with pre-formats, images, or image formats, and indicators of files in the file system. This service module 1 4 3 5 5 can also be connected to the electronic design software or process layout information 1 4 3 5 7 such as the location and coordinates of the design target, and decide where to place the dummy filling unit. The database 1 6 1 5 8 is connected to the service module via the SOL command. 6 5 5 and uses SQL commands to manage system data, such as measurement location; user profile, package

l〇57-5667-PF(Nl).ptd 第216頁 200405184 五、發明說明(214) --- 括限定允許以及較喜愛之内容與呈現方式;使用者資料, 包括佈局擷取貧料、先前佈局設計檔案、特定工具盘 之模型參數、以及如表面拓撲、電阻、電容等整體=片預 測結果。實例中所採用之資料庫可為〇racle、Inf〇rmix、l〇57-5667-PF (Nl) .ptd Page 216 200405184 V. Description of the invention (214) --- Includes limited permission and preferred content and presentation methods; user data, including layout extraction Layout design files, model parameters of specific tool trays, and overall = chip prediction results such as surface topology, resistance, and capacitance. The database used in the examples can be 〇racle, Inf〇rmix,

Access、SQL Server、Foxpro。檔案系統16158 連通至所 有元素以接收資料並儲存成檔案,典型如資料庫中太大而 無效率之儲存。 此系統可直接連接至量測設備以產生量測計晝。此連 結作業可經由電腦網路161 59或電腦匯流排完成。~ 假若圖中區塊A 161 60與區塊B 161 61係位於同一部電 腦中,則可獨立地配置此系統。假若區塊A與區塊β係位於 不同的電腦,且透過網路相連通,則系統通常以客戶端一 伺服器端之結構配置。此網路可包括透過外部網路、内部 網路、網際網路或VPN之電子與光學媒介。在實例中,區 塊A與B可為量測工具之一部分,而使用者丨6丨5 3為一設計 者。 本卽所述並未元全揭示虛設填入方法之所有可能,伸 仍提供一些較佳之操作組成。本節述及三類基本計算組 成,基於使用者需求以組成操作與傳送功能之較佳方法。 第一類組成為獨立配置,如第11 7 A圖所示,其中所有元素_ (第116圖中16154-16159)係位於16163中,且資料之進出 (16164、16165 )自單一電腦取得。第二類組成為一客戶 端-伺服器配置,如第11 7B圖所示,其中GUI位於一客戶端 電腦16 167,經由網路16 170存取位於一伺服器或多重伺服Access, SQL Server, Foxpro. The file system 16158 communicates with all elements to receive data and store it as a file, typically as large and inefficient storage in a database. This system can be connected directly to a measurement device to produce a measurement meter day. This connection can be done via computer network 161 59 or computer bus. ~ If block A 161 60 and block B 161 61 are located in the same computer, you can configure this system independently. If block A and block β are located on different computers and are connected through the network, the system is usually configured with a client-server structure. This network may include electronic and optical media via extranets, intranets, the Internet, or VPN. In the example, the blocks A and B may be part of a measurement tool, and the user 丨 6 丨 5 3 is a designer. This article does not fully reveal all the possibilities of the dummy filling method, but still provides some better operation components. This section deals with three types of basic calculation components, which are better methods of composing operation and transmission functions based on user needs. The first type of composition is an independent configuration, as shown in Figure 11 7A, where all elements (16154-16159 in Figure 116) are located in 16163, and the data in and out (16164, 16165) are obtained from a single computer. The second type consists of a client-server configuration, as shown in Figure 11B, where the GUI is located on a client computer 16 167 and accessed via a network 16 170 on a server or multiple servers.

200405184200405184

器之伺服器架構中之其他元素丨6丨7 1。此連 思通機制可a 網際網路、内部網路、或外部網路i 6 J 7〇, ^ J、、&甶 務一或多組客戶端或使用者。 司服器可服 第二類組成,如第1 1 7 C圖所示,為客戶妓 型之延伸,包括經由網路1 6 1 76連通至含此系統二,器, 組元素(1 6 1 7 7 - 1 6 1 8 3 )之其他的電腦。例如,、々交 ^更^ 經由祠服器161 80,使用動態量測計晝產生,二“可 地使用另一電腦,其中伺服器中儲存製程模型與需遠端 1 6 1 7 9與設計規格與限制1 6 1 7 8。此網路亦可傳送旦、、:查 負料至塁測设備之控制電腦1 6 1 8 1並回傳實際量測纟士果回田 伺服器1 80,且含工具之伺服器經由網路連結或網^服務 16176,利用量測功能改良製程參數選取182或配 入16183。 她口又具Other elements in the server architecture of the server 丨 6 丨 7 1. The connection mechanism can be an Internet, Intranet, or Extranet i 6 J 70, ^ J,, & service one or more groups of clients or users. The server can serve the second type of composition, as shown in Figure 1 1 7C, which is an extension of the client's prostitute type, including the connection through the network 1 6 1 76 to the system containing the second device, group elements (1 6 1 7 7-1 6 1 8 3) other computers. For example, 々 交 ^ 更 ^ It is generated by using a dynamic measurement meter through the temple server 161 80. Second, “the other computer can be used, where the server stores the process model and the remote 1 6 1 7 9 and the design. Specifications and restrictions 1 6 1 7 8. This network can also send data to the control computer of the measurement equipment 1 6 1 8 1 and return the actual measurement of the fruit to the server 1 80 , And the server with the tool through the network link or network service 16176, using the measurement function to improve the process parameter selection 182 or 16183. She also has

第11 6與11 7圖顯示之架構可與多重量測工具合併使 用’其中動態量測軟體安裝於伺服器上,並經由網路 1 6 1 94與量測工具連通。此網路可包括經外部網路、内部 網路、網際網路或VPN以電子或光學式相連接。第118圖之 結構顯示使用者16 184與客戶端1 6185連通至安裝於伺服器 或祠服器群之方法1 6 1 8 6。在製程流程之每一製程步驟中 校準模型16187。在此實例中,校準16195與16196可執行 於製程步驟A 16188、B 16190、C 16193之模型中。此校 準製程模型可做為方法之一部分,如第丨〇 4圖所示,且伺 服器16186產生量測工具a 16189、B 16191、C 16192之量 測計晝。在此結構中可包括第丨丨7C圖所述之所有功能,如The architectures shown in Figures 11 16 and 11 7 can be combined with multiple weight measurement tools and used. 'The dynamic measurement software is installed on the server and communicates with the measurement tools via the network 1 6 1 94. This network may include an electronic or optical connection via an extranet, an intranet, the Internet, or a VPN. The structure of Fig. 118 shows a method of connecting users 16 184 and clients 1 6185 to a server or temple server group 1 6 1 8 6. The model 16187 is calibrated during each process step of the process flow. In this example, calibrations 16195 and 16196 can be performed in the models of process steps A 16188, B 16190, and C 16193. This calibration process model can be used as part of the method, as shown in Fig. 04, and the server 16186 generates measurement tools a 16189, B 16191, and C 16192 to measure the day. In this structure, you can include all the functions described in Figure 7C, such as

1057-5667-PF(Nl).ptd1057-5667-PF (Nl) .ptd

200405184200405184

利用里測貝料做為製程最佳化與虛設填入之迴授。 e · 方法之應用 此方法可應用於廣域 別地使用於開發内連線結 料。量測結果將切線中測 域之量測。此舉可特別針 内連線技術指出導入 戰。銅溝槽製程需要進行 械研磨層之區域與全面平 變異,當加入更多内連線 薄膜厚度可利用輪廓量測 能成功之方法(如量測多 擬)進行量測。 的量測應用 構與製程, 試結構之量 對銅與低介 銅溝槽與低 量測以決定 坦度。圖案 (金屬)層 、光學反射 層時可利用 。上述施行 並導入低介 測結果轉換 電常數内連 介電常數材 電化學沈積 附屬導致最 時會使此變 、橢形量測 各试赤執波 電常數 至主動 線。 質之挑 與化學 大之厚 異惡化 、以及 之雷射Use the measured shellfish as feedback for process optimization and dummy filling. e. Application of the method This method can be applied to a wide range of areas for the development of interconnecting materials. The measurement results will be measured in the measurement field in the tangent. This can be particularly pointed to the introduction of warfare technology. The copper trench manufacturing process requires the variation of the area of the mechanical polishing layer and the overall flatness. When more interconnects are added, the thickness of the film can be measured using a contour measurement method (such as multiple measurements). The measurement application structure and manufacturing process, test the structure of the amount of copper and low dielectric copper trench and low measurement to determine frankness. Available for pattern (metal) layer and optical reflection layer. The above-mentioned implementation and introduction of the conversion of low-dielectric measurement results, dielectric constant interconnection, dielectric constant material, electrochemical deposition, and auxiliary deposition will cause this change at most. The difference between quality and chemistry is worse, and the laser

特別是應用此方法可依據電化學沈積(ECD )薄膜厚 度與化學機械研磨層之碟陷與侵蝕來決定量測位置與取樣 計晝。利用此類晶圓階段參數合併電子模型以預測晶片之 電性影響,以確認出量測時可能問題之區域。In particular, this method can be used to determine the measurement location and sampling time according to the thickness of the electrochemical deposition (ECD) film and the dishing and erosion of the CMP layer. These wafer stage parameters are combined with electronic models to predict the electrical impact of the wafer to identify areas that may be problematic during measurement.

利用内連線之電性特徵可決定電路之電性表現,且内 連線通常為高效能設計之限定因素。此電性參數包括内連 線電阻與電容。電路特性量測如信號延遲、時脈扭曲、以 及串擾雜訊為内連線之電阻與電容之參數。内連線電阻為 佈線電阻、金屬厚度、内連線長度、線寬之函數。内連線 電容為金屬厚度、内連線長度、線寬、線間距、以及佈線The electrical characteristics of the interconnect can be used to determine the electrical performance of the circuit, and the interconnect is usually the limiting factor for high-performance designs. This electrical parameter includes the interconnect resistance and capacitance. Circuit characteristics such as signal delay, clock distortion, and crosstalk noise are the parameters of the resistance and capacitance of the interconnect. The interconnect resistance is a function of wiring resistance, metal thickness, interconnect length, and line width. Inner wiring capacitors are metal thickness, inner wiring length, line width, line spacing, and wiring

1057-5667-PF(Nl).ptd 第219頁 200405184 五、發明說明(217) 間絕緣體(氧化物)之介電常數。内連線結構之幾何分佈 影響其電性。因此,幾何結構之明顯變異將影響電性量 測,故在製造期間需進行同室量測。 第11 9圖顯示如何應用於溝槽製程之流程圖。與第丨〇 4 圖所示相同,量測1 6034 £01)161940%?移除16195、01^終 點161 96、以及CMP阻障層移除製程1 6197之圖案附屬模型 16033 ’並用以產生整體晶片厚度、碟陷、與侵蝕丨“⑽。 利用電性模型16 198產生整體晶片薄膜電阻199。可計算之 部分電性參數包括電容、内連線Μ延遲、壓降、電流損 耗、介電常數或串擾雜訊之變異。此量測產生元素丨6 〇 3 5 接收設計規格與條件,並與整體晶片參數比較,如第c節 所述’於某些規格誤差或超過設計限制之參數予以旗標化 做為量測與位置儲存之用。將量測位置與取樣計畫直接或 經由網路上傳至合適量測工具1 6 036。量測工具之操作方 法與系統描述於前述第d節與第1 1 5圖至11 8圖中。 最常見用以決定平坦度之量測工具係採用輪廓量測與 光學方法。最常見決定電性參數之工具係於電子結構中採 用探針式電流量測。此量測位置亦可做為迴授至虛設填入 工具或製程控制系統162 〇〇,如第11 5D圖所示與第d節所 示。在此請注意此方法亦可用以決定步驟丨6033所示製程 f 步驟間間歇量測之用。此舉之施行請參考第丨丨8圖。 第11 9圖所述為溝槽製程中形成一内連線層之條件。 一般較低内連線層之圖案附屬與薄膜厚度變異會延遞至較 高層。此方法可延伸使用以特徵化並確認晶片或晶圓因多1057-5667-PF (Nl) .ptd Page 219 200405184 V. Description of the Invention The dielectric constant of the insulator (oxide) between (217). The geometrical distribution of the interconnect structure affects its electrical properties. Therefore, the obvious variation of the geometric structure will affect the electrical measurement, so it is necessary to perform the same room measurement during manufacturing. Figure 119 shows a flowchart of how to apply it to the trench process. Same as shown in figure 丨 〇4, measuring 1 6034 £ 01) 161940%? Remove 16195, 01 ^ endpoint 161 96, and CMP barrier layer removal process 1 6197. Pattern auxiliary model 16033 'and used to generate the whole Wafer thickness, dishing, and erosion 丨 ⑽. Use electrical model 16 198 to generate overall wafer sheet resistance 199. Some of the electrical parameters that can be calculated include capacitance, interconnect delay, voltage drop, current loss, and dielectric constant. Or crosstalk noise variation. This measurement generates elements 丨 6 〇 3 5 Receive the design specifications and conditions, and compare with the overall chip parameters, as described in section c, 'Flags for certain specifications with errors or exceeding design limits are flagged Standardization is used for measurement and location storage. Upload the measurement location and sampling plan directly or via the network to the appropriate measurement tool 1 6 036. The operation method and system of the measurement tool are described in section d and Figures 1 15 to 11 8 The most common measurement tools used to determine flatness are contour measurement and optical methods. The most common tools used to determine electrical parameters are probe-type currents in electronic structures. Measure. This measurement It can also be used as a feedback to a dummy filling tool or process control system 162 〇〇, as shown in Figure 11 5D and section d. Please note that this method can also be used to determine the process shown in step 6333 f For intermittent measurement between steps. Please refer to Figure 丨 丨 8 for the implementation of this measure. Figure 11-9 describes the conditions for forming an interconnect layer in the trench process. Generally, the pattern of the lower interconnect layer Ancillary and film thickness variations will be passed to higher layers. This method can be extended to characterize and confirm wafer or wafer factors

200405184 五、發明說明(218) 層圖案效應形成之問題區域。如第1 2 0圖所示,製程流程 模型可延伸以預測多層效應,並利用此方法決定可能違反 設計規格之量測位置。第1 2 0圖揭示多層圖案附屬模型可 做為擷取金屬層1 17201、金屬層2 17202、至某些更高層 N 1 720 2間之效應。在此例中於每一層施行圖案附屬製程 流程模型1 72 0 2。此模塑可用以特徵化多層效應,並於形 成後續金屬層後確認量測位置。此量測計晝1 6 0 3 6被傳送 至量測工具1 6 0 3 9或一使用者或一操作者,並在製程後用 以導引工具1 6 〇 3 9進行晶圓量測1 6 0 3 8。 與 需 終 完 率 損 以 描 必 法 製 此 “ CMP時低介電常數材質之薄度會影響晶體電路之結構 電性。在溝槽製程中導入低介電常數介電質有許多課題 克服。不僅不易製造低介電層,在所有整合步驟如蝕刻 毺銅上之阻障蓋層(barrier caP)以及CMP終止層 ^之後,亦不易維持其介電常數。許多低 問題在於銅之CMP步驟,低介電芦- 材負良200405184 V. Description of the invention (218) Problem areas formed by the layer pattern effect. As shown in Figure 120, the process flow model can be extended to predict multilayer effects and use this method to determine measurement locations that may violate design specifications. Figure 120 shows that the multi-layered pattern accessory model can be used to capture the effects between metal layer 1 17201, metal layer 2 17202, and some higher layers N 1 720 2. In this example, a pattern auxiliary process flow model 1 72 02 is performed on each layer. This molding can be used to characterize the multilayer effect and confirm the measurement location after the subsequent metal layer is formed. This measurement meter is transferred to the measurement tool 1 16 0 3 6 or a user or operator, and is used to guide the tool 1 6 0 3 9 after the process for wafer measurement 1 6 0 3 8. It is necessary to make the final completion loss to describe this method. "The thinness of the low dielectric constant material during CMP will affect the structural electrical properties of the crystal circuit. There are many problems to overcome when introducing low dielectric constant dielectric into the trench process. It is not easy to manufacture a low dielectric layer, and it is also difficult to maintain the dielectric constant after all integration steps such as etching the barrier cap on the copper and the CMP stop layer. Many low problems are the copper CMP step, which is low Dielectric Reed

害、碟陷、椤a 1二成%盾之軟質特性將導致CMP 磲、佼蝕,以及後續之電性缺 此方法可與製程步驟合併使 、曰 確保區域與全面平坦度。‘】準低介電常數材質, Ϊ器與掃晦式探針顯微鏡以“敕:f可以利用觸控筆 要之量測與輸入。 -正體低介電製程流程中 弟1 2 1圖所示為如何將 流程圖。在此模型中,-—程導入溝槽製程之方 ;導入形成之問題區域選取整據因低介電材質與 應用需加入掏取薄膜厚度變=流程之量測位置。 、〜相關電性與結構影響之Damage, dishing, and softness of 椤 a% to 20% of the shield will cause CMP damage, erosion, and subsequent electrical defects. This method can be combined with process steps to ensure area and overall flatness. '] Quasi-low-dielectric constant material, the instrument and the scanning probe microscope with “敕: f can use the stylus measurement and input. -Figure 1 2 1 in the process of the normal low-dielectric process For the flow chart, in this model, the-process is introduced into the trench process; the problem area formed by the introduction is based on the low-dielectric material and the application, and the thickness of the drawn film must be added to the measurement position of the process. , ~ Of the related electrical and structural effects

200405184 五、發明說明(219) 製程模型。模組化形成I LD之低介電材質特性與製程步 驟’由使用者加入流程中,並為特定工具進行校準 17207 。 ~ 此ILD層利用微影與蝕刻進行圖案化。虛設填入材質 置入低介電層以調診此層之結構特性,當進入内連線製程 時達到預期有效介電常數,並降低空間性電容。 電化學沈積金屬或銅層1 7209,並採用CMP研磨金屬 層,一般採用三步驟CMP製程,包括體型研磨(bulk lsh )、終點測試(endpoint )、以及阻障層移除 人)。因製程形成之圖案附屬可隨有效 ?電吊二予,特徵化(包括使用晶圓狀態模型與電性參數 之變里,1ί Γ圓開發整體晶片模型以預測有效介電常數 208 /、一’如划薄膜厚度平坦度、碟陷、或侵触之函數 性,如薄又膜1展用與電容之電性模型17213傳送結構特 特徵。可計算^ Γ異、碟陷、與侵蝕對應整體晶片之電性 壓降、電流損耗、介雷當‘=括電合、内連雜延遲、 預測變異係根據;擾雜訊之變異。 述之方法合適格與條件比對,利用節所 樣計書直接咬智由^里測位置1 6035。將此量測位置與取 測工具之ϊίϋ,上傳至合適量測工具16036中。量 118圖中。 系統描述於前述第d節與第115圖至 亦可利用此方 於製程整合並改1兹新氚程之主動區域進行量測,有助 涛膜厚度之平坦度。大多習知大量銅材200405184 V. Description of invention (219) Process model. The low-dielectric material characteristics and manufacturing steps of the modularized I LD are added to the process by the user and calibrated for specific tools 17207. ~ This ILD layer is patterned using lithography and etching. The dummy filling material is placed in the low-dielectric layer to diagnose the structural characteristics of this layer. When entering the interconnection process, the expected effective dielectric constant is reached, and the spatial capacitance is reduced. A metal or copper layer 1 7209 is electrochemically deposited and the metal layer is polished using CMP. A three-step CMP process is generally used, including bulk lsh, endpoint, and barrier removal. The pattern attached due to the manufacturing process can be changed with the effective electrical characteristics, including characterization (including the use of wafer state models and changes in electrical parameters, 1 圆) to develop an overall wafer model to predict the effective dielectric constant of 208 /, a ' Such as the film thickness flatness, dishing, or aggression as a function, such as thin and thin film 1 and the electrical model of the capacitor 17213 transmission structure characteristics. ^ Γ difference, dishing, and erosion corresponding to the overall chip The electrical voltage drop, current loss, dielectric thunderbolt '= including electric coupling, interconnected delay, and forecast variation are based on the variation of disturbance noise. The methods described are compared with the conditions and conditions, using the sample book directly. The bite wisdom is measured from the position 1 6035. Upload this measurement position and the measurement tool to the appropriate measurement tool 16036. The measurement is in the 118 map. The system is described in the previous section d and 115. Using this method to measure and integrate the active area of the new process in the process of measurement, helps to flatten the thickness of the film. Most of them are familiar with a large amount of copper

第222頁 200405184 五、發明說明(220) :填入係採用電化學沈積方法,以各類化學機制之添加 :’如催化物、平坦劑、或抑制劑等改善金屬層之平坦 ^。在各類化學式改良中加入虛設填入結構可達到較佳之 …坦,。设備製造商亦朝機械性手段改進平坦度。Nut 〇〇i 5ϊ ΐ 一種方法’以旋轉晶圓’並以塾(pad)導入電鍍 勺解決方案。此接觸平坦技術之優點為可同時區域性與全 =性地平坦化銅薄膜。此方法之另一優點為可降低銅以 度,減少後續CMP所需移除之銅量。此方法亦可應用至任 何電化學機械沈積(ECMD製程),可利用第4圖所示流 圖將校準整體晶片ENCD模型導入製程流程中。 ,在可取得整體晶片圖案附屬模型的條件之下可於任何 製程中達到上述之功能。在電漿蝕刻與微影中擷取整體晶 片圖案附屬模型之開發模型可整合於第丨丨〇、丨2 〇、;[ 2 1圖 之製程模型流程中。此方法如第18圖所示用以在製程步驟 後確認問題區域。但此方法亦可用於同室量測與含多重製 程步驟之綜合工具中。 可利用同室量測感測器與綜合工具,即結合數種工具 與製程步驟成為一大型設備以達成此描述之功能。第丨2 2 圖即顯示線上量測工具與應用於同室量測感測與綜合工具 之間相似處。為進行比較,第1 2 2 A圖顯示第11 8圖之區塊 顯示在製程流程中使用線上量測工具之應用。(此流程係 描述於第118圖之第d節中。)第122B圖係顯示使用同室量 測感測器與綜合工具之相似處。在此應用中,此方法係於 製程模型A、B、c、D、E上執行校準之電腦17215上實行。Page 222 200405184 V. Description of the invention (220): The filling system uses an electrochemical deposition method to add various chemical mechanisms: 'such as a catalyst, a flattening agent, or an inhibitor to improve the flatness of the metal layer. Adding dummy filling structures to various chemical formula improvements can achieve better ... tank. Equipment manufacturers are also improving flatness mechanically. Nut 〇〇i 5ϊ ΐ A method ‘to rotate the wafer’ and introduce the plating spoon solution as a pad. The advantage of this contact flattening technique is that the copper film can be planarized simultaneously and regionally. Another advantage of this method is that it can reduce the copper content and the amount of copper that needs to be removed for subsequent CMP. This method can also be applied to any electrochemical mechanical deposition (ECMD process). The flow chart shown in Figure 4 can be used to import the calibrated monolithic ENCD model into the process flow. Under the condition that an attached model of the overall wafer pattern can be obtained, the above functions can be achieved in any process. The development model that captures the whole wafer pattern auxiliary model in plasma etching and lithography can be integrated in the process model flow of Figures 丨 丨 〇, 丨 20, [21]. This method is used in Figure 18 to identify problem areas after the process steps. However, this method can also be used in in-room measurement and comprehensive tools with multiple process steps. The same room measurement sensor and comprehensive tools can be used, that is, a combination of several tools and process steps into a large-scale equipment to achieve the functions described. Figure 丨 2 2 shows the similarities between the online measurement tool and the same-room measurement sensing and synthesis tool. For comparison, Figure 1 2 2 A shows the block in Figure 1 18 showing the application of online measurement tools in the process flow. (This process is described in Section d of Figure 118.) Figure 122B shows the similarities between using the same-room measurement sensor and a comprehensive tool. In this application, this method is performed on a computer 17215 that performs calibration on process models A, B, c, D, and E.

ΙΙΙϋΙΙΙϋ

l〇57-5667-PF(Nl).ptd 第223頁 200405184 五、發明說明(221) 擷取圖案附屬並用以預測整體晶 者+,招械梦r々々 之日日圓狀恶與電性炎 數,根據第c即之設計規格進行 驟進行量測位置鱼量測叶佥夕、$说 利用第C即所述之步 且/、里列I之選取。經由綢政志 匯流排連接至合適之感測器或工具丨7217。 / 連接 通至嵌於製程工具β中之同室旦/、 、里“丨计畫連 认、士、踩说七曰 rJ至里測感測器1 7220,在製葙拄 於被選取之ϊ測位置取得資料。 =輊時 於綜合工具中1 722 2之同室 /造;十旦亦冋打連接至嵌 此綜合工具整合多重製程牛驟、$,為C1 7223、E1 7225。 線上或同室完成量測。在此告、f 、…又備故可於 線上量測1 72 4 ΛϋΥ,量測計4亦連通至-lL々 ^ 其為綜合工具1 7222之一部分。 此即之敘述可與任何次數之錯誤嘗試合 出較佳之量測計書。如第〗2 s A 开使用決疋 晉之詈料* ;二 圖所不’此包含-或多組位 置之里測计1,可由跨晶圓之一或多組晶 測產生。在此應用中,將製程晶圓1 7228 ϋ = 具巧9。此方法係為卫具量測決定系統之—部=里用γ 試進行量測17231,如施行二 片預广利用錯誤嘗 戈她仃於第1 1 3Α圖之銅溝槽製程流 釭。匕工,、依據選取之位置或位置1 7231進行量測丨了“ 此應用係顯示在製造流程之線上之量測,但熟知此技缻^ 人士用相同方法直接應用於同室量測。此量測決; 統可整合於量測工具中’或經由一網路或匯流排連通。’、 e ^第123B圖所示’利用此方法可產生量測卫具之動態 ΐ測2令二在此應用中’量測決定系統持續地依據已知: 圓之别次量測指導量測。將此載入晶圓丨6236載入量測工l〇57-5667-PF (Nl) .ptd Page 223 200405184 V. Description of the Invention (221) The pattern attached is used to predict the overall crystal + According to the design specification of the c, that is, the measurement position is carried out, and the position of the fish is measured. Ye Yexi, $ said to use the steps described in the C, and /, the selection of the column I. Connect to the appropriate sensor or tool via the Silk Bus. 7217. / Connect to the same room embedded in the process tool β /,, li "丨 plan to recognise, say, step, say, say, rJ to li measurement sensor 1 7220, in the production of the selected test Obtain data from the location. = When in the same room / manufacturer of 1 722 2 in the comprehensive tool; Shidan also connected to this integrated tool to integrate multiple process steps, $, C1 7223, E1 7225. Online or same room completion volume Here, we can measure 1 72 4 ΛϋΥ on the line, and the gauge 4 is also connected to -lL々 ^ It is part of the comprehensive tool 1 7222. This description can be used any number of times. Wrong attempt to produce a better measurement book. For example, the second 2 s A is used to determine the quality of the materials *; not shown in the second picture, this contains-or multiple sets of locations inside the measurement 1, can be used across wafers One or more sets of crystal measurement are generated. In this application, the process wafer 1 7228 ϋ = coincidence 9. This method is used to measure the measurement of 17231 in the γ test for the security measurement determination system, such as Carry out two pre-wide use mistakes to taste the flow of the copper trench process she drew in Figure 1 1 3Α. Dagger, according to the selected position or position 1 723 1 Carry out the measurement 丨 This application is a measurement displayed on the manufacturing process line, but people who are familiar with this technique directly apply it to the same room measurement. The measurement system can be integrated in the measurement tool 'or communicated through a network or a bus. ', E ^ as shown in Figure 123B' Using this method can generate dynamic measurement of the measuring fixture 2 orders two in this application 'The measurement decision system continues to be based on known: the measurement of the other times to guide the measurement . Load this wafer into 6236

1057-5667-PF(Nl).ptd 第224頁 200405184 五、發明說明(222) 具1 6 2 3 4。於量測決定系統1 6 2 3 5中執行之方法根據第丨丨3 A 與113B圖所定義之重複步驟產生預測238並選取位置 1 6 2 3 9。此量測工具1 6 2 3 4量測1 6 2 4 0此類位置。軟體則為 工具或量測決定系統之一部分,用以決定1 6 2 4 1量測位置 是否符合設計規格,在此例中則為檢驗所有銅是否已於該 量測位置被清除或研磨去除。假若此位置並未符合規格或 未清除完畢,輸出或重複製程步驟或刮除。假若符合規 格,即可預測其他相似之問題區域1 6 2 4 2並選取1 6 2 4 3以進 行量測。量測1 6 2 4 2下一被選取位置或位置1 6 2 4 3,並根據 量測決定系統1 6 2 3 5所定義之錯誤嘗試步驟重複此流程 (16245-16248),直到晶圓最後輸出至下一製程249。此 應用係顯示在製造流程之線上之量測,但熟知此技藝之人 士可利用相同方法直接應用於同室量測。此量測決定系統 可整合於量測工具中,或經由一網路或匯流排連通。整合 量測工具允許更多自動化或較短程之量測步驟。 利用任何次數之錯誤嘗試與此方法,以如第丨丨3A圖所 示之統計或一系列施行方式、或第丨丨3B圖所示除負或動態 施行方式產生量測位置。第1 24圖顯示兩類更具效用之錯 誤嘗試方式以產生動態量測規劃,其中使用三組預測區 塊,P1 1 623 8、P2 1 6242、P3 1 624 6,如第 123B 圖所示。 在第124A圖中顯示利用校準特定工具或配方之單一模 型1 6 2 5 0產生跨晶圓之一或多組晶方之整體晶片預測。根 據最大變異選取地點進行量測1 6 2 5 2。利用此位置與預測 模型所彳于之ϊ測結果1 6 2 5 3選取另一位置以進行量測1057-5667-PF (Nl) .ptd Page 224 200405184 V. Description of Invention (222) 1 6 2 3 4 The method executed in the measurement decision system 1 6 2 3 5 generates the prediction 238 and selects the position 1 6 2 3 9 according to the repeated steps defined in the diagrams 3A and 113B. This measuring tool measures 1 6 2 3 4 such positions. Software is part of the tool or measurement decision system, used to determine whether the 1 6 2 4 1 measurement location meets the design specifications. In this example, it is to verify that all copper has been removed or ground removed at the measurement location. If this position does not meet the specifications or is not cleared, the output or copy process steps or scraping are performed. If it meets the specifications, you can predict other similar problem areas 1 6 2 4 2 and select 1 6 2 4 3 for measurement. Measure 1 6 2 4 2 Next selected position or position 1 6 2 4 3 and repeat the process (16245-16248) until the end of the wafer. Output to the next process 249. This application is shown on-line measurement in the manufacturing process, but those who are familiar with this technique can apply the same method directly to the same room measurement. This measurement decision system can be integrated into the measurement tool or connected via a network or bus. Integrated measurement tools allow more automated or shorter-range measurement steps. Using any number of erroneous attempts and this method, the measurement position is generated by the statistics or a series of execution methods shown in Fig. 3A, or the negative division or dynamic execution methods shown in Fig. 3B. Figure 1 24 shows two more effective error-tried ways to generate a dynamic measurement plan. Three sets of prediction blocks are used, P1 1 623 8, P2 1 6242, and P3 1 624 6, as shown in Figure 123B. Figure 124A shows the use of a single model calibrating a specific tool or recipe 1625 to generate an overall wafer prediction across one or more sets of wafers. Based on the largest variation, select a site for measurement 1 6 2 5 2. Use this location and prediction results from the model 1 6 2 5 3 Select another location for measurement

l〇57-5667-PF(Nl).ptd 第225頁 200405184 五、發明說明(223) 1 6254。量測模型P2 1 65 43可與pi 1 625 1相同,或微調以 適應位置1 6 2 5 2測得之製程漂移(此點為本應用顯示不同 核型之原因)。此模型可繼續使用位置丨6252或丨6254以調 整模型’並預測下次量測之位置丨62 56。此圖中顯示更簡 易之實例,利用一組一組位置或晶方依最高變異次序逐一 進行量測工具步驟,直到錯誤嘗試中結束此晶圓量測。 製程漂移發生於部分製造或量測製程之元素改變成為 操作小時與重新校準之函數。對CMp而言,發生漂移通常 導因研磨墊衣。在部分中實例中,墊衣需簡易改變以增進 CMP模型之有效移除率,但其他實例中則需進行新的校 準。一種穩定量測方法為根據經互異工具條件,如墊衣或 互異配方條件如研磨液流率,校準之多種模型之預測與位 置選取進打。一種施行此穩定量測方法之方式為根據製程 之操作週期中互異點所取得之資料校準模型。在第124β圖 所示之實例中,所示三組校準1 6 257、1 626〇、1 626 3已於 特定研磨墊之0、100、3 00小時時完成。利用此校準模型 產生預測1 62 58、1 626 1、1 6 264,並量測合適位置1 625 9、 預測不符合此類位置之實際量測 1 6262、1 626 5。此方法允許對一範圍内之操作參數進行錯 誤嘗試,以確定已將漂移列入考慮1 一錯誤嘗試係利用 模型P1 1 6 258之被選取量測決定顯著漂移是否發生(如 若是,則載入另一模 型P2 1 6261並進行量測,直到決定出較佳模型為止 第1 2 5圖顯示此穩定方法更完整之描述'。利用校準模 型16266產生一或多組晶方之整體晶片預測1 626 7。利用預〇57-5667-PF (Nl) .ptd page 225 200405184 V. Description of the invention (223) 1 6254. The measurement model P2 1 65 43 can be the same as pi 1 625 1 or fine-tuned to accommodate the process drift measured at position 1 6 2 5 2 (this point is why the application shows different karyotypes). This model can continue to use position 6252 or 6254 to adjust the model 'and predict the position of the next measurement 62 56. This figure shows a simpler example, using a set of positions or cubes to perform the measurement tool steps one by one in the highest variation order, until the wafer measurement is ended in the wrong attempt. Process drift occurs when part of the manufacturing or measurement process changes as a function of operating hours and recalibration. For CMPs, drift usually results from abrasive pads. In some examples, the padding needs to be easily changed to improve the effective removal rate of the CMP model, but in other examples new calibrations are required. A stable measurement method is based on the prediction and position of multiple models calibrated based on different tool conditions, such as padding or different formulation conditions, such as grinding fluid flow rate. One way to implement this stable measurement method is to calibrate the model based on the data obtained at different points during the operating cycle of the process. In the example shown in Figure 124β, the three sets of calibrations shown, 16257, 1626, and 16263, were completed at 0, 100, and 300 hours on a particular polishing pad. Use this calibration model to generate predictions 1 62 58, 1 626 1, 1 6 264, and measure appropriate locations 1 625 9. The predictions do not match the actual measurements 1 262, 1 626 5 of such locations. This method allows erroneous attempts to be performed on a range of operating parameters to determine that drift has been taken into account. 1 An erroneous attempt is to use a selected measurement of model P1 1 6 258 to determine if a significant drift has occurred (if so, load Another model is P2 1 6261 and measurement is performed until a better model is determined. Figure 1 2 5 shows a more complete description of this stabilization method. The calibration model 16266 is used to generate an overall wafer prediction of one or more sets of cubes 1 626 7 Make use of

200405184 五、發明說明(224) 測厚度1 6268建議量測工具1 627〇量測位置1 6269。完成量 測1 627 1並比較1 62 73量測厚度1 6 272與預測厚度1 62 78。假 若誤差低於臨界值’則繼續使用校準模型丨6 2 6 6進行直接 i測。若誤差咼於臨界值,則比較量測厚度丨6 2 7 2與預測 厚度16276、16278之於相同位置之資料庫16274,但此處 卻採用經其他製程狀態或配方校準之之模型丨62 、 1 62 77。利用量測與預測資料位置之最小平方回歸(丨⑽以 squares fit),或其他數值或可見檢視方法決定。此預 測提供最佳回歸1 6 279以產生晶片之新預測1 628 〇。根據新 的預測晶片拓撲選取其他最大變異厚度 定銅:能未被清除之其他位置1 6 282 )。利用此位幻62=3 導引里測工具1 6 2 8 4以進行新量測1 6 2 8 5。比較此量測厚度 1 6286與預測厚度1 6281以決定模型之可行性以及是否需進 行進一步地量測。200405184 V. Description of the invention (224) Measuring thickness 1 6268 Recommended measuring tool 1 6270 and measuring position 1 6269. Complete measurement 1 627 1 and compare 1 62 73 measured thickness 1 6 272 with predicted thickness 1 62 78. If the error is lower than the critical value, then continue to use the calibration model 6 2 6 6 for direct measurement. If the error is less than the critical value, the measured thickness is compared to the database 16274 at the same position as the predicted thickness 16276, 16278, but a model calibrated by other process states or recipes is used here. 1 62 77. It is determined by least square regression (丨 ⑽ with squares fit) of measurement and prediction data position, or other numerical values or visible viewing methods. This prediction provides an optimal regression of 1 279 to produce a new prediction of 1 628. According to the new predicted chip topology, other maximum variation thicknesses are selected. Ding Cu: other positions that cannot be removed 1 6 282). Use this magic 62 = 3 to guide the inner measurement tool 1 6 2 8 4 to make a new measurement 1 6 2 8 5. Compare this measured thickness 1 6286 with the predicted thickness 1 6281 to determine the feasibility of the model and whether further measurements are needed.

此方法^可與IC相關CAD軟體元素合併使用,根據薄 膜之物理性量測與電性細調設計規則。新κ設計之設計規 則通常自測試晶圓與前次產品裝i。因新設計並無前次製 造資料,設計參數之控制帶通常自然地保守(例如,採用 大於必須之值以配合未知變異)。此方法可用以確認或微 調新式製造裝置,如第126A與12 6B圖所示。在此方法中, 將新汉计1 6 3 0 0上傳至系統,並執行佈局擷取丨6 3 〇 2。將擷 取參數輸入校準製程1 63 08與電性模型中,取得薄膜厚度 與電性1 6 3 0 6。此特性可單獨使用或使用於更延伸性電路 模擬器中預測電路特性之變異163〇6。根據電路設計規則This method ^ can be used in combination with IC-related CAD software elements to fine-tune the design rules based on the physical measurements and electrical properties of the film. The design rules for new κ designs usually self-test wafers and previous product packages. Because new designs do not have previous manufacturing data, the control bands of design parameters are usually naturally conservative (for example, values greater than necessary to accommodate unknown variations). This method can be used to confirm or fine-tune new manufacturing equipment, as shown in Figures 126A and 126B. In this method, the NEXCOM meter 1630 is uploaded to the system, and the layout capture is performed. The captured parameters were input into the calibration process 1 63 08 and the electrical model to obtain the film thickness and electrical 1 6 3 0 6. This characteristic can be used alone or in more extended circuit simulators to predict variations in circuit characteristics 16306. According to circuit design rules

1057-5667-PF(Nl).ptd 第227頁 2004051841057-5667-PF (Nl) .ptd p. 227 200405184

=4比較此轉數1631〇以於設計規則限制τ決定 定設計㈣如何限制之量㈣,此舉可由使 用者^取。例如,此量測可為如標準差之統計臨界值。= 4 Compare this number of revolutions 1631 to the design rule limit τ to determine how much the design will be limited. This can be taken by the user ^. For example, this measurement can be a statistical critical value such as the standard deviation.

Ϊ測地點與配方儲存於系統資料庫或檔案系統中 1 632 1。在設計限制下選取之晶片特徵或位置,量測以確 認預測值。此方法可於變異超過設規則之位置使用相同配 方,、提供一或多種參數(如薄膜電阻)之分佈。利用位置 开y成或夕種里測工具之量測配方。將量測配方傳送 16314至線上或同室量測工具或工具(如光學反射、輪廓 量測、或CD量測設備)。Speculation locations and recipes are stored in the system database or file system 1 632 1. The characteristics or locations of the wafers selected under design constraints are measured to confirm the predicted values. This method can use the same formulation where the variation exceeds the set rule, and provides the distribution of one or more parameters (such as thin film resistors). Use the position formula to measure the recipe. Transfer measurement recipes 16314 to online or in-room measurement tools or tools (such as optical reflection, contour measurement, or CD measurement equipment).

對裝置在工具伴隨預測用之校準模型上進行物理性製 私(即製造)。對實際晶圓進行裝置人之1(^佈局16316。在 部分實例中,可製程多組晶圓以取得量測參數之統計分 =、。利用量測配方16314量測製程之晶圓或晶圓1 63 2〇。將 ,測傳送至一元件評估預測物理性與電性參數是否為實際 置測所支持。利用此方法比較丨6 324量測結果1 6 322與預測 特徵1 6 324。假若量測結果不符合預測,此處建議採用第 124與1 25圖所示之流程以於系統資料庫中尋找較佳量測模 型以進一步改進預測。 假若確認預測參數,比較量測參數與設計規則及規格 1 6 3 2 6 °利用此結果根據預測與量測變異調整設計規則, 以改進裝置之效能與製造可能度1 63 28。此方法亦可用於 搖整設計參數控制帶,提供設計者更具彈性之裝置量測或 進一步產生相類似之裝置。The device is physically manufactured (ie manufactured) on a calibration model for tool companion prediction. The actual wafer is installed by the person 1 (^ Layout 16316. In some examples, multiple sets of wafers can be processed to obtain statistical scores of measurement parameters = ,. The measurement recipe 16314 is used to measure the wafer or wafer of the process 1 63 2〇. Transfer the measurement to a component to evaluate whether the predicted physical and electrical parameters are supported by the actual measurement. Use this method to compare 6 324 measurement results 1 6 322 and predicted characteristics 1 6 324. If the amount The measurement results do not meet the prediction. It is recommended to use the process shown in Figures 124 and 125 to find a better measurement model in the system database to further improve the prediction. If the prediction parameters are confirmed, compare the measurement parameters with the design rules and Specification 1 6 3 2 6 ° Use this result to adjust design rules based on prediction and measurement variation to improve device performance and manufacturing possibility 1 63 28. This method can also be used to shake the design parameter control band, providing designers with more Flexible devices measure or further produce similar devices.

1057-5667-PF(Nl).ptd 第228頁 200405184 —— 五、發明說明(226) 如此節前段所述,可利用并太汰r▲ 控制,特別是溝槽製程流程:組製程之迴授 在丨丨始广士 ^ i 此/瓜私圖與圖案將於數組控 制施仃中砰細描述。此描述開始於本方法 案附屬蝕刻模型產生CD與薄膜厚声 ^ ° & ^ , Λ , π 导膜厚度工具之量測配方。此流 ^第104圖所示之圖案附屬電漿㈣ 圖所不,將“裝置之佈局上傳至系統1 633〇。: =7 取以擷取特徵特性伴隨姓刻圖 ° 或含敍刻製程模型之流程合併使用。取得整以=6 ,,並計算伴隨之電性特徵1 6334。將此裝置之設計規則 ”規格(如CD條件)與晶圓上傳1 634〇, 片 與晶圓條件相比較。 像預測日日片 根據比較產生量測配方。利用錯誤嘗試與 之臨,值決定被選取地點之量測。例如,一類錯誤 選擇$測超過設計參數或於設計限制之預設範圍内之^ 數。此類錯誤嘗試顯示於第丨丨以與丨丨⑺圖中。另一二Θ 嘗试亦可選取預測參數落於設計參數之位置,以及可处$ 在以於設計規則中凸顯出控制帶。此類錯誤嘗試 ^ : 述各段與第126Α與126Β圖中。將最後量測配方儲存於次= 庫或檔案系統1 6 344,並傳送至合適量測設備,如{ 膜厚度量測工具34 6。 ’、缚 第1 2 8 Α圖中使用相同流程,顯示量測配方之動態產生 如何決定製程控制系統之迴授。在此應用中,利用^段所 述钱刻圖案附屬之方法1 638 2產生⑶與薄膜厚度量測工X具1057-5667-PF (Nl) .ptd Page 228 200405184 —— V. Description of the Invention (226) As mentioned in the previous paragraph, you can use the control of Taiji r ▲, especially the trench process: feedback from the group process In 丨 丨 Guangshi ^ i This private picture and pattern will be described in detail in the array control application. This description begins with the method attached to this method to generate CD and film thick sound ^ ° & ^, Λ, π guide film thickness tool measurement formula. This flow ^ The attached plasma of the pattern shown in Figure 104 is not included. Upload the “device layout to the system 1 633〇 .: = 7 to capture the characteristic characteristics accompanying the engraved map ° or model with engraving process The processes are combined and used. Get the whole number = 6 and calculate the accompanying electrical characteristics 1 6334. The design rules of this device "specifications (such as CD conditions) and the wafer upload 1 634, compared with the wafer and wafer conditions . Like forecast day and day film Based on comparison produce measurement formula. Using false attempts and their presence, the value determines the measurement of the selected location. For example, for a type of error, select $ to exceed the design parameters or a number within a preset range of design limits. Such erroneous attempts are shown in Figures 丨 丨 and 丨 丨 ⑺. The other two Θ attempts can also choose where the predictive parameters fall on the design parameters, and can be used to highlight the control band in the design rules. Such erroneous attempts ^: the paragraphs and the figures 126A and 126B. Store the last measurement recipe in the library or file system 1 6 344, and transfer it to a suitable measurement device, such as {膜厚 量 工具 34 6. The same process is used in Figure 1 2 8 A to show how the dynamic generation of the measurement recipe determines the feedback from the process control system. In this application, the method 1 638 2 attached to the money engraved pattern described in paragraph ^ is used to generate ⑶ and film thickness measuring tools.

第229頁 1057-5667-PF(Nl).ptd 200405184 五、發明說明(227) 1 6 3 9 0之量測配方1 6 3 8 4。電漿蝕刻控制系統1 6 3 8 6將配方 設定(如蝕刻趨向)供應至蝕刻工具丨6 3 8 8。量測工具 1 6 3 9 0利用此方法產生量測配方以量測晶片與晶圓上之位 置。此表示晶片特徵(如高寬比)與晶圓參數之量測結果 將饋入製程控制系統1 6 3 8 6。此控制迴授1 6 3 9 2可為列量測 或為聚集統計或是計算,如利用數組厚度量測計算輻射平 坦度之量測。此控制系統1 6 3 8 6調整配方設定並上傳此蝕 刻製程工具1 6 3 8 8。 同樣地,此方法可用於形成迴授以控制CMP製程,如 第128B圖所示。在此方法中,利用圖案附屬CMp模型或含 ECD或HDP與CMP之模型流程1 6352產生量測工具配方 1 63 54。此CMP製程控制系統產生配方,包括壓力、研磨液 流速、或研磨時間。此CMP製程研磨晶圓,並利用配方 1 6 3 5 4由量測工具1 6 3 6 2量測。此量測工具或工具包括光學 反射或輪廓量測。利用此量測做為控制系統丨6 3 5 6之控制 迴授1 6 3 62。例如,用以調整研磨時間以達較佳之清潔效 果。通常在CMP製程中,晶圓中心研磨速率將快於周邊, 反之亦然。目前CMP研磨頭可調整輻射壓力以補償非平坦 性。亦可利用此方法調整CMp研磨頭壓力以補償非平坦 性’而量測工具1 6 3 6 0可為線上或同室之殘餘薄膜厚度量 測。 同樣地’此方法可用於形成控制CMp製程之迴授,如 ,1 28C圖所示。在此應用中採用圖案附屬微影模型(如特 欲化特欲密度於最終映射線寬之效應之模型)1 6 3 6 6。利Page 229 1057-5667-PF (Nl) .ptd 200405184 V. Description of the invention (227) 1 6 3 9 0 Measuring formula 1 6 3 8 4 The plasma etching control system 1 6 3 8 6 supplies recipe settings (such as etching trends) to the etching tool 6 3 8 8. Measurement tool 1 6 3 9 0 Use this method to generate a measurement recipe to measure the wafer and the position on the wafer. This indicates that the measurement results of wafer characteristics (such as aspect ratio) and wafer parameters will be fed into the process control system 1 6 3 8 6. This control feedback 1 6 3 9 2 can be a column measurement or an aggregate statistics or calculation, such as the measurement of the radiation flatness using the array thickness measurement. The control system 1 6 3 8 6 adjusts the recipe settings and uploads the etching process tool 1 6 3 8 8. Similarly, this method can be used to form feedback to control the CMP process, as shown in Figure 128B. In this method, a measurement tool recipe 1 63 54 is generated using a pattern attached CMP model or a model flow 1 E352 containing ECD or HDP and CMP. This CMP process control system generates recipes including pressure, slurry flow rate, or milling time. This CMP process grinds the wafer and measures it with a measuring tool 1 6 3 6 2 using a recipe 16 3 5 4. This measurement tool or tool includes optical reflection or profile measurement. Use this measurement as the control of the control system 丨 6 3 5 6 feedback 1 6 3 62. For example, it is used to adjust the grinding time for better cleaning results. Usually in the CMP process, the wafer center grinding rate will be faster than the periphery, and vice versa. Currently CMP grinding heads can adjust the radiation pressure to compensate for unevenness. This method can also be used to adjust the pressure of the CMP grinding head to compensate for the non-planarity ', and the measuring tool 1636 can be used to measure the residual film thickness on the line or in the same room. Similarly, this method can be used to form feedback for controlling the CMP process, as shown in Figure 1 28C. In this application, a pattern-attached lithography model (such as the model of the effect of specific density on the final mapped line width) is used. 1 6 3 6 6 Profit

1057-5667-PF(Nl).Ptd 第230頁 2004051841057-5667-PF (Nl) .Ptd Page 230 200405184

用此方法產生篁測工具1 6 3 7 4之量測配方3 6 8。此微影製程 控制系統改變微影製程1 6372之曝光控制與步驟1 63 7〇。執 行锨影並利用ϊ測工具1 6 3 7 4量測最終晶圓丨6 3 7 4。提供映 射線與特徵尺寸之量測做為微影製程控制系統1 637 〇之控 制迴授1 6374,以調整微影製程之配方。 此方法亦可用於設計測試結構或裝置,或關於預測拓 撲與具測試結構之特徵,特別是難以取得量測之設計。在 此應用中,利用此方法預測晶片拓撲。此方法決定晶片特 徵中與設計規格差距之最大變異,如前節所述。利用此特 被可设計測試結構或裝置或導引量測工具以量測存在於晶 片上之測試結構或裝置。 _ %如第9^圖中28 26所述,此方法可用於選取並綜合配方 與設備設定或選取消耗品(如研磨液、研磨墊、氣體成分 製程步驟或多重製程步驟中(如製程流程),最小化 製程=異與後續於電性參數之變異。改變低層級擷取之模 型式板擬或預測元素綜合符合下一更高層級之規格,並考 慮製造所產生之變異。 此方法可用於裝置1 660 8、製程16610、與製造層級 16612之圖案附屬綜合1 6 608,並提供製程16610與製造 16612層級迴授至更高設計層級(1 6 602- 1 6608 ),如第 1 2 9圖所示。 為綜合製程流程,提供一種方法預測物理(如表面拓 撲L與電性特徵(如薄膜電阻與電容)做為製程步驟範圍 之結果’特別是針對特定工具與欲製造之特定裝置。此預Using this method, the measurement formula 3 6 8 of the speculative tool 1 6 3 7 4 is generated. This lithography process control system changes the exposure control of lithography process 1 6372 and steps 1 63 7 0. Perform shadowing and measure the final wafer using the measurement tool 1 6 3 7 4 6 3 7 4. The measurement of the ray and the feature size are provided as the control feedback 1 637 of the lithography process control system 1 637 〇 to adjust the formula of the lithography process. This method can also be used to design test structures or devices, or to predict the characteristics of topologies and test structures, especially designs that are difficult to obtain. In this application, this method is used to predict the wafer topology. This method determines the largest variation in wafer characteristics from the design specification, as described in the previous section. Use this feature to design a test structure or device or guide a measurement tool to measure the test structure or device present on the wafer. _% As described in 28 26 in Figure 9 ^, this method can be used to select and synthesize recipes and equipment settings or select consumables (such as polishing liquid, polishing pad, gas component process steps or multiple process steps (such as process flow) , Minimize the process = variation and subsequent variation of electrical parameters. Change the model board prediction or prediction element captured at the lower level to meet the specifications of the next higher level, and consider the variation produced by the manufacturing. This method can be used for Device 1 660 8, manufacturing process 16610, and pattern auxiliary 1660 of manufacturing level 16612, and provide manufacturing process 16610 and manufacturing 16612 level for feedback to higher design levels (1 6 602- 1 6608), as shown in Figure 1 2 9 To provide an integrated process flow, a method is provided to predict physics (such as surface topology L and electrical characteristics (such as thin film resistors and capacitors) as a result of the process step range ', especially for specific tools and specific devices to be manufactured. This Advance

第231頁 200405184 五、發明說明(229) 測可跨結構變異地廣泛應用,如可分開一起執行,或綜合 執行’故可特徵化裝置之製造能力’且有助於開發後續製 程或製程流程之設計規則。 本方法於製程最佳化與配方綜合上利用第丨〇 9與1 1 〇圖 中校準與預測方法,特徵化積體電路圖案附屬,並產生整 體晶片預測以比較製程與電性參數於配方參數或消耗品之 變異。此類模型可於每一步驟形成,並為特定製程工具校 準。此模型亦可整合至模擬製程流程,提供最終晶圓狀態 之參數型態預測,如薄膜厚度步驟、陣列高度,電性參數 如電阻、電容、以及串擾雜訊。此應用可根= 政 圖案附屬選取製程設定、工具配…具或之 第1 3 0圖提供製程綜合之簡單實例,晶圓實際製程於 工具或配方設定之某範圍内。此處考慮四組製程步驟(亦 即ECD 1 6642、整體研磨1 6 644、終點研磨丨664 6、與阻障 層移除1 6648 )。對每一製程步驟考慮三組工具配方設定 Λ、β、C。在步驟1中利用配方設定a、b、或c對具下^拓 撲之晶圓16666進行製程16642。完成每一配方或工具\定 A、B、C,並物理性與電性地量測最終拓撲變異,並進^行 比較1 6 6 50。此比較確認出第一製程步驟中具最小拓撲$ 異之配方與工具16658。此晶圓利用工具設定a延遞、 1 66 67以利用第2步驟製程1 6 644。繼續蝕刻製程步驟至姐 繼續進行此例中四組製程步驟(1 66 42 —1 6648 )二“ 利用此方法模組化並預測每一製程步驟之變異。電 腦上於不同設計與製程之範圍中執行製程綜合,取代實際Page 231 200405184 V. Description of the invention (229) Testing can be widely used across structural variations, such as separate execution, or comprehensive implementation of 'so the device's manufacturing capabilities can be characterized' and help to develop subsequent processes or process flow Design rules. This method uses the calibration and prediction methods in Figures 109 and 110 to synthesize the integrated circuit pattern in the optimization of the process and the synthesis of the recipe, and generates an overall wafer prediction to compare the process and electrical parameters to the recipe parameters. Or variation of consumables. Such models can be formed at each step and calibrated for specific process tools. This model can also be integrated into the simulation process flow to provide parameter type predictions of the final wafer state, such as film thickness steps, array height, and electrical parameters such as resistance, capacitance, and crosstalk noise. This application can be used to select process settings, tool configurations, tools, etc. Figure 130 provides a simple example of process synthesis. The actual wafer process is within a certain range of tool or recipe settings. Four sets of process steps are considered here (ie ECD 1 6642, overall grinding 1 6 644, final grinding 丨 664 6, and barrier layer removal 1 6648). Consider three sets of tool recipe settings Λ, β, C for each process step. In step 1, the recipe setting a, b, or c is used to perform a process 16642 on the wafer 16666 with the topology. Complete each recipe or tool \ determine A, B, C, and physically and electrically measure the final topological variation, and compare 1 6 6 50. This comparison confirmed the recipe and tool 16658 with the smallest topological difference in the first process step. This wafer uses tool setting a-delay, 1 66 67 to use the second step process 1 6 644. Continue the etching process steps until the sister continues the four sets of process steps (1 66 42 — 1 6648) in this example. “Using this method to modularize and predict the variation of each process step. On the computer in the range of different designs and processes Perform process synthesis instead of actual

1057-5667-PF(Nl).ptd 第232頁 200405184 五、發明說明(230) 對每一電路設計根據一製程設定製造微影光罩與製程(製 造)。第1 3 1圖顯示如何地使用與第1 3 0圖相同實例之製程 綜合。 每一製程步驟、工真、與消耗品組合具有個別圖案附 屬’故需利用測試或實際產品晶圓之权準’如第1 〇 7 A、 1 07B、1 〇9圖所示。在此應用中,利用前後晶圓狀態量測 校準已知配方設定之模塑,在此例中具有三製程設定, A、B、C。對每一製程步驟而言(16684、16686、16688、 1 6 689 ),需對每一配方設定A、B、或C進行校準,以產生 相對應之圖案附屬以對應每一設定。假若位於設定之區域 内,則取得涵蓋已知製程步驟之完整操作區域之一般模 型。在第1 3 0與1 3 1圖所示之簡單實例中,此單一製程參數 之區域由三組設定A、B、或C所定義。然而,此應用可應 用至任何數目之製程參數、個別設定、以及消耗品組(如 研磨液、研磨墊、氣體組合)。 將新IC設計上傳至系統1 6 6 8 2並進行擷取,如第丨〇 5 a 與10 5B圖所示,產生第106圖所示之數值表。每一配方設 定A、B、C之校準模型載入16698並擷取16682,產生整體 晶片之物理性或電性之拓撲預測,如第11 〇圖所示。為評 估電性變異,利用RC擷取工具或其他電性模擬工具結合物 理拓撲整體或部分晶片之預測。每一配方設定之全曰片拓 撲朝下一製程步驟2延遞1 66 86。直到所有步驟之所^配方 (16684、16686、16688、16690 )設定完成製程為止。 利用預測準則評估所有配方組合(或序列)丨6 7〇6。1057-5667-PF (Nl) .ptd Page 232 200405184 V. Description of Invention (230) For each circuit design, a lithographic mask and a process (manufacturing) are manufactured according to a process setting. Figure 13 shows how to use the same process synthesis as in Figure 130. Each process step, workmanship, and consumable combination has individual pattern attachments 'so it is necessary to use test or actual product wafer standards' as shown in Figures 107A, 107B, and 109. In this application, front and rear wafer state measurements are used to calibrate the molding of known recipe settings. In this example, there are three process settings, A, B, and C. For each process step (16684, 16686, 16688, 1 6 689), each recipe setting A, B, or C needs to be calibrated to generate a corresponding pattern attached to correspond to each setting. If it is within the set area, a general model of the complete operating area covering the known process steps is obtained. In the simple examples shown in Figures 130 and 131, the area of this single process parameter is defined by three sets of settings A, B, or C. However, this application can be applied to any number of process parameters, individual settings, and consumable groups (such as polishing fluids, polishing pads, gas combinations). Upload the new IC design to the system 1 6 6 8 2 and capture it. As shown in Figures 5a and 105B, a numerical table as shown in Figure 106 is generated. The calibration model of each recipe setting A, B, and C is loaded into 16698 and 16682 is retrieved to generate the physical or electrical topological prediction of the overall chip, as shown in Figure 110. To evaluate electrical variation, use RC acquisition tools or other electrical simulation tools in combination with physical topological predictions of the whole or part of the chip. The complete set of each formula is extended to the next process step 2 by 1 66 86. Until the recipe (16684, 16686, 16688, 16690) of all steps is set to complete the process. Evaluate all recipe combinations (or sequences) using prediction criteria.

200405184200405184

如第131圖所示之實例,具有34或81種不同設定。 佳製程設定序列之準則時可簡單地加總每一 /、广# ^ 立異序列之平 均拓撲變異,或較複雜地利用成本函數公戎,相 八 根據重要性 對多重標的加權計算。 Φ 一般利用某種成本函數之型式,對如何讓特定虛設填 入配置符合欲得之薄膜厚度與電性效能規格進行特徵化處 理。成本函數可簡單如檢查是否超過特定薄膜厚度非一致 性之臨界值,或複雜如二次函數,變數包含有非一致性與 可於迴授型系統中被最小化之非預期電性效應。良好之虛 設填入方法會考慮製程與電性影響,成本函數為一種可採 用之實施例,將可最小化下列參數: •厚度之非一致性=(LW,LS,密度)之函數 •電性效能=RC ||延遲||扭轉||雜訊 •延遲=(R,C,L,Rtr,CL)之函數 •扭轉=(R,C,L,Rtr,CL)之函數 •雜訊=(R,Cc〇upU / Ctotal,L,Rtr,Tr,1 )之函數 其中 R =内連線電阻The example shown in Figure 131 has 34 or 81 different settings. When setting the criteria for a sequence in a good process, you can simply add up the average topological variation of each and every sequence, or use the cost function publicly more complexly, and calculate the weighting of multiple targets based on importance. Φ Generally use a certain type of cost function to characterize how to make certain dummy filling configurations meet the desired film thickness and electrical performance specifications. The cost function can be as simple as checking whether a certain film thickness non-uniformity threshold is exceeded, or as complex as a quadratic function. Variables include non-uniformity and unexpected electrical effects that can be minimized in feedback systems. A good dummy filling method will consider the process and electrical effects. The cost function is an example that can be used to minimize the following parameters: • Non-uniformity of thickness = (LW, LS, density) function • Electrical Efficiency = RC || Delay || Twist || Noise • Delay = Function of (R, C, L, Rtr, CL) • Twist = Function of (R, C, L, Rtr, CL) • Noise = ( R, CcoupU / Ctotal, L, Rtr, Tr, 1) where R = interconnect resistance

C =内連線電容 L =内連線電感C = interconnecting capacitance L = interconnecting inductance

Rtr =驅動電阻 Ί;=信號上升時間 Q =負載電容 C_Ple =層間耦合電容Rtr = drive resistance Ί; = signal rise time Q = load capacitance C_Ple = interlayer coupling capacitance

l〇57-5667-PF(Nl).ptd 第234頁 200405184 五、發明說明(232)l〇57-5667-PF (Nl) .ptd page 234 200405184 V. Description of the invention (232)

Ctotal =總電容(搞合+重疊+邊緣) 1 =内連線長度 此成本為基於製程(薄膜厚度)非一致性與電性效能 變異之加權總和之二次誤差函數U,其中電性效能係做為 下列一或多組量測:RC、延遲、扭轉、雜訊。Ctotal = total capacitance (combine + overlap + edge) 1 = interconnect length This cost is a quadratic error function U based on the weighted sum of process (film thickness) non-uniformity and electrical performance variation, where electrical performance As one or more of the following measurements: RC, delay, twist, noise.

ErrorT = ( TtQT_十-TQ ErrorEP = (EPtarget - EPactural) K2 · ErrorErrorT = (TtQT_ten-TQ ErrorEP = (EPtarget-EPactural) K2Error

EP U = (Er rorTT · K2 · E r rorT ) + (Err ογερί 其中 target = ^ ^ ^ * S'J ^ tEP U = (Er rorTT · K2 · E r rorT) + (Err ογερί where target = ^ ^ ^ * S'J ^ t

Tactural =實際或預測薄膜厚度量測之向量 EPtarget =欲得電性效能量測之向量 EPactUral =實際或預測電性效能量湏丨J之向量 ErrorT =薄膜厚度誤差之行向量Tactural = vector of actual or predicted film thickness measurement EPtarget = vector of desired electrical performance measurement EPactUral = vector of actual or predicted electrical performance 湏 J vector ErrorT = vector of film thickness error

ErrorEP =電性效能誤差之行向量 U =二次誤差,一數量值,最小化 I =對角形矩陣,沿對角成分加權1至q總薄膜厚度量測 wri 0 0 Κι = 0 0 0 0 WT^ K2 =對角形矩陣,沿對角成分加權1至p總電性效能量測ErrorEP = row vector of electrical performance error U = quadratic error, a quantity value, minimized I = diagonal matrix, weighted along the diagonal component 1 to q total film thickness measurement wri 0 0 Κι = 0 0 0 0 WT ^ K2 = diagonal matrix, weighted along diagonal components from 1 to p

1057-5667-PF(Nl).ptd 第235頁 200405184 五、發明說明(233) WSPI 0 〇 ^2 = 〇 ___ 〇 0 0 wspp 此成本可包括每一信號線或晶片之區域,利用此方法 可以輕易地調整薄膜厚度向量與加權矩陣,以提供整體晶 片欲最小化之正確的二次誤差。根據最需最小化之元素$ 調整加權參數。此調整可自動調整,或由使用者執行加權 f案。在成本函數中額外需最小化之參數為環境影響與能 量參數。在此可導入外部誤差E以及加權矩陣&以將境或 能量參數考慮進來。 計算1 66 92並儲存1 6 694第131圖中每一配方系列之誤 差。選取產生最多預期成果(如最小誤差)之配方 1 6696。 Μ接收新IC設計並設計製程流程時,執行佈局擷取以 特徵化關鍵特徵,如線間距、線寬、以及有效密度。預測 整體晶片之圖案附屬變異,利用成本函數量測已知配方之 總變異。1057-5667-PF (Nl) .ptd Page 235 200405184 V. Description of the Invention (233) WSPI 0 〇 ^ 2 = 〇 ___ 〇0 0 wspp This cost can include the area of each signal line or chip. Using this method The film thickness vector and weighting matrix can be easily adjusted to provide the correct quadratic error that the overall wafer wants to minimize. Adjust the weighting parameters based on the element $ that needs to be minimized the most. This adjustment can be adjusted automatically or a weighted f case can be performed by the user. The additional parameters that need to be minimized in the cost function are environmental impact and energy parameters. Here, the external error E and the weighting matrix & can be introduced to take environment or energy parameters into account. Calculate 1 66 92 and store 1 6 694 Error for each formula series in Figure 131. Select Formula 1 6696 that produces the most expected results (eg, minimal error). When M receives a new IC design and designs the process flow, layout extraction is performed to characterize key features such as line spacing, line width, and effective density. Predict the pattern variation of the whole wafer, and use the cost function to measure the total variation of the known recipe.

第1 3 1圖係顯示利用詳盡搜尋以選取每一配方選擇組 合,並儲存結果。此方法並不適用於現今半導體製造,因 分析每一可能配方組合複雜度與計算成本過高。如第丨32 圖所示之貫例,半導體製造商欲開發製程流程以生產I c。 利用裝置規格、設計規則、與製程限制產生知識庫(Q χ mn )個別製程流程,其中Q代表裝置數目,m為每一步驟之 配方設定數目,η為製程步驟數目。Figure 1 31 shows the use of an exhaustive search to select each recipe selection combination and save the results. This method is not applicable to today's semiconductor manufacturing because the complexity and computational cost of analyzing every possible recipe combination is too high. As shown in Figure 32, the semiconductor manufacturer wants to develop a process flow to produce I c. The individual process of the knowledge base (Q χ mn) is generated by using the device specifications, design rules, and process limitations, where Q represents the number of devices, m is the number of recipe settings for each step, and η is the number of process steps.

1057-5667-PF(Nl).ptd 第236頁 200405184 五、發明說明(234) 此知識庫可能相當龐大。假設一裝置需n = 30步驟,且 每一步驟具m = 5各不同配方設定。此流私之總數可為530, 可能流程之數目隨製程步驟成指數成長。假右晶圓製造商 欲選取一製程流程製造一或多樣裝置,則直接乘上Q於m組 配方設定之η次方。 故當使用詳盡搜尋方法於第1 3 1圖所示之簡單配方選 擇時,現今半導體製程流程之大小與複雜度需使用最佳化 方法決定參數選取,而不必詳盡地檢驗每一可能設定。在 多重製程步驟中利用可能最佳方法綜合配方設定或消耗品 組合,包括下列步驟: •目視觀察並自變異對應配方設定圖中選取 •查詢表單 •單純化(simplex) •結合梯度方法 •模擬訓練 •近似線性程式化 •動態程式化 •近似動態程式化 隨每性與動態程式化方法,、經由成本函數伴 奴母衣耘步驟以發現最佳方法,以最小化一〜 之圖案附屬。此太土I田从 b 或夕組权疋1057-5667-PF (Nl) .ptd Page 236 200405184 V. Description of the Invention (234) This knowledge base may be quite large. Suppose a device requires n = 30 steps, and each step has m = 5 different recipe settings. The total number of such smuggling can be 530, and the number of possible processes increases exponentially with the process steps. The fake right wafer manufacturer wants to select a process flow to manufacture one or more devices, then directly multiply Q by the nth power set in the m group recipe setting. Therefore, when using the exhaustive search method in the simple recipe selection shown in Fig. 131, the size and complexity of today's semiconductor process flow need to be optimized using the method to determine the parameter selection, without having to exhaustively examine every possible setting. In multiple process steps, use the best possible method to synthesize the recipe setting or consumable combination, including the following steps: • Visual observation and selection from the map of the corresponding recipe for the mutation • Query form • Simplex (simplex) • Combined gradient method • Simulation training • Approximately linear stylization • Dynamically stylized • Approximately dynamic stylization The randomness and dynamic stylization method, through the cost function to follow the steps of the slave to find the best method, to minimize the pattern attached to one ~. This Taito Ida right from b or xi group

與CMP製程步驟之 攸〜、蝕刻、ECD 已知製程 \ 配方、以及消耗性組合。 異1^被描述為製程漂移(漸進式改變)= b V所進八汉夂)或製程位移(瞬Combined with CMP process steps, etching, ECD known processes, recipes, and consumable combinations. Alien 1 ^ is described as process drift (progressive change) = b V entered eight Chinese 夂) or process shift (instantaneous

200405184 發明說明(235) 間變化)。亦可利用圖案附屬模型根據經一段時間變異之 製程選取配方設定與消耗品。第丨3 3圖係顯示如何地用圖 案附屬模型特徵化製程於數個小時間之變異。測試晶圓 16802之製程於某時間週期t採用配方設定1 ι6806,並自 晶圓上一或多組晶方上進行量測丨6 6 〇 8。利用配方設定i於 時間週期t + 1 0 0小時期間對測試晶圓丨6 8 〇 4進行製程 1 6 8 1 2,並自晶圓上一或多組晶方上進行量測丨6 6丨4。此流 程可為2至N總配方設定或消耗品組合重複執行。測試晶圓 1 6 8 0 3之製程於某時間週期t採用配方設定n,並自晶圓上 一或多組晶方上進行量測1 6 6 1 8。測試晶圓1 6 8 0 5之製程於 某時間週期(如t + 1 0 0小時或t + 1 〇 〇 〇晶圓)採用配方設定2 1 6 8 2 2,並自晶圓上一或多組晶方上進行量測丨6 6 2 4。 利用每一 2 xN總資料組校準一個別模型,如1 〇 7 A、 107B、109圖之步驟所示。此類模型顯示n組配方設定與使 用1 000小時之工具之操作空間1 6 824。此類模型可用於新 設計1 6 826以預測1 6828製程中超過某操作小時或製程某晶 圓數目(如1 0 0 0小時或100晶圓)之圖案附屬變異。此可 將配方綜合視為製程設定選取之製程漂移與位移。此方法 可用於計算已知製程步驟或工具之控制與量測策略。例 如’假若發現因電漿蝕刻之特定側壁效應形成製程漂移, 可利用弟1 0 4圖所示之方法排程進入下次電漿室清潔操 作0 此方法可用於設備與消耗品供應商以及半導體設計與 製造公司間形成互動,如第丨34圖所示。將製程與工具資200405184 Invention Description (235). You can also use the attached model of the pattern to select recipe settings and consumables based on the process of variation over time. Figure 3 shows how to use the satellite model to characterize the process variation over several hours. The test wafer 16802 is manufactured at a certain time period t using a recipe setting of 1 6680, and is measured from one or more sets of crystal cubes on the wafer. The recipe setting i is used to process the test wafer 6 8 12 during the time period t + 100 hours, and the measurement is performed on one or more sets of crystal cubes on the wafer 6 6 丨4. This process can be repeated for 2 to N total recipe settings or consumable combinations. The test wafer 1 6 803 process uses a recipe setting n at a certain time period t, and measures 1 6 6 1 8 from one or more sets of crystal cubes on the wafer. The process of testing wafer 1 6 8 0 5 at a certain time period (such as t + 100 hours or t + 1 000 wafers) uses a recipe setting of 2 1 6 8 2 2 and one or more wafers from the wafer. The measurement is performed on the group crystal cube 6 6 2 4. Use each 2 xN total data set to calibrate a separate model, as shown in the steps of 107A, 107B, and 109. This type of model shows the operating space of n sets of recipes and the operating space of 1 000 hours of tools 1 6 824. This type of model can be used in the new design of 16 826 to predict the pattern-dependent variation of a 16828 process that exceeds a certain operation hour or a certain number of wafers in the process (such as 100 hours or 100 wafers). This can be regarded as the process synthesis and process drift and displacement selected by the process setting. This method can be used to calculate control and measurement strategies for known process steps or tools. For example, if it is found that the process drift is caused by the specific sidewall effect of plasma etching, you can use the method shown in Figure 10 to schedule the next plasma chamber cleaning operation. The interaction between design and manufacturing companies is shown in Figure 34. Process and tools

l〇57-5667-PF(Nl).ptd 第238頁 200405184 五、發明說明(236) 料16902上傳至系統16906,並如107A、107B、1〇9圖之步 驟所示校準模型,建立校準流程程序之資料庫1 6 9 〇 8。此 資料可來自多重工具設定、工具、消耗品組合、製程流 程、或生產線。半導體公司可上傳設計1 6904並利用系統 與模型預測或模擬此設計之物理與電性行為。產生設計預 測資料庫1 6 9 1 0。半導體公司1 6 9 1 8可利用網路連接與網路 劇克器觀看結果1 6 9 1 4。設備或消耗品供應商1 6 9 1 6可利用 網路連接與網路瀏覽器觀看結果169 12。此結果可提供給 設備與消耗品供應商以及半導體設計與製造公司進行工具 或消耗品之分析與診斷1 6 9 2 0。外加診斷方法可與系統與 祠服器合併使用以改進遠端診斷。此點特別有助於遠距離 之遠端分析與診斷。 此方法可用於比較半導體設計與製造公司之消耗品組 合、設備、或工具設定。在此利用中,工具或消耗品$應 商1 695 2提供消耗品組合1 69 54、1 69 56、1 6958之製程資^ 以校準系統1 6 95 0中製程模型或流程,如1〇7A、1〇7B、貝1()9 圖所示。公司1 6 94 0可上傳設計1 694 8並產生不同工具或消 耗品組合之預測,且比較結果1 6 946。公司1 694 〇、 彳 1 6942、1 6944可利用此結果選擇或購買特定之消耗品組合 或工具。 、口 此方法可用於淺溝槽隔離(STi )製程流程。STI 成介電材質以填補溝槽,於丨c之相鄰裝置間提供絕緣少 能。ST I製程包括下列幾個步驟: 、 1 ·形成墊氧化層-通常採用熱氧化製程形成墊氧化〇57-5667-PF (Nl) .ptd Page 238 200405184 V. Description of the invention (236) Material 16902 is uploaded to the system 16906, and the calibration model is established as shown in the steps of 107A, 107B, and 109 to establish the calibration process. Program database 16 9 08. This data can come from multiple tool settings, tools, consumable combinations, process flows, or production lines. Semiconductor companies can upload designs 1 6904 and use systems and models to predict or simulate the physical and electrical behavior of this design. Generate design prediction database 1 6 9 1 0. Semiconductor company 1 6 9 1 8 can use internet connection and internet to watch the results 1 6 9 1 4. The equipment or consumable supplier 1 6 9 1 6 can use Internet connection and web browser to view the result 169 12. This result can be provided to equipment and consumable suppliers and semiconductor design and manufacturing companies to analyze and diagnose tools or consumables 1 6 9 2 0. Additional diagnostic methods can be used in conjunction with the system and the server to improve remote diagnosis. This is especially helpful for long-distance analysis and diagnosis. This method can be used to compare semiconductor design and manufacturing company consumable combinations, equipment, or tool settings. In this use, the tool or consumable $ supplier 1 695 2 provides the manufacturing resources of the consumable set 1 69 54, 1, 69 56, 1 6958 ^ to calibrate the process model or process in the system 1 6 95 0, such as 107A , 107B, 1 () 9 as shown in the figure. Companies 1 694 0 can upload designs 1 694 8 and generate forecasts for different tool or consumable combinations, and compare the results 1 946. Companies 1 694 〇, 彳 1 6942, 1 6944 can use this result to select or purchase a specific combination of consumables or tools. This method can be used in the shallow trench isolation (STi) process flow. The STI is made of a dielectric material to fill the trench, and provides less insulation between adjacent devices. The ST I process includes the following steps: 1. Forming a pad oxide layer-usually using a thermal oxidation process to form a pad oxide

200405184 五、發明說明(237) 層。 2.沈積氮化層-利用CVD於墊氧化層頂部沈積氮化 句。 3微影映射溝槽幾何形狀_利用微影製程於晶圓鱼曰 :i 3射出溝槽尺寸。制光阻光罩使特徵尺寸標示出i曰 否敍刻之位置。 贝疋 L蚀刻溝槽—利用氮化層蝕刻與矽蝕刻於微影定 槽區域中形成深度溝槽(如5 0 0 〇埃)。 屢 5. 填滿溝槽—移除光罩,利用CVD製程之氧化層填 溝槽。此沈積之氧化層於氮化層上形成一厚:南 之區域上)。 #薄槽 6. 移除氧化層-利用CMP移除氮化層上所有氧化材 質。此目的為形成結合氧化層與氮化層材質之一平括 級。 一增 7·移除氮化層與墊氧化層-移除氮化層與墊氢 :=層溝槽與裸露矽層。在後續步驟中,將於;槽 間乾淨區域形成電晶體。 f f層1 6 96 0中之氧化層溝槽1 6 962之目的係整合於第 、圖中。CMP步驟之圖案附屬通常會造成氧化層 、 除或碟陷1 69 66,如第136B圖所示。溝槽中氧化;之: 會明顯地影響後續製程步驟,如定義裝置主動區"斑隔離巴 與形成裝置後之電性影響。 乳與k離& 氧化層溝槽區域之物理性碟陷將會影響後續 層與複晶石夕間極特徵之形成,導致產生不預期化200405184 V. Description of invention (237) layer. 2. Deposition of a nitride layer-Use CVD to deposit a nitride layer on top of the pad oxide layer. 3 lithography mapping groove geometry _ Use the lithography process on the wafer to say: i 3 shot groove size. The photoresist mask makes the feature size indicate the position of the engraved mark. Bech L Etching Trenches—Using nitride layer etching and silicon etching to form deep trenches (such as 5000 angstroms) in the lithographic trench area. Repeatedly 5. Fill the trench—Remove the mask and fill the trench with the oxide layer of the CVD process. The deposited oxide layer forms a thick: south region on the nitride layer). #Thin groove 6. Remove oxide layer-Use CMP to remove all oxide materials on the nitride layer. The purpose is to form a gradation that combines the materials of the oxide layer and the nitride layer. One increase 7 · Remove the nitride layer and pad oxide layer-remove the nitride layer and pad hydrogen: = layer trench and exposed silicon layer. In a subsequent step, a transistor will be formed in a clean area between the grooves. The purpose of the oxide layer trench 1 6 962 in the f f layer 1 696 0 is integrated in the figure and figure. The pattern attachment of the CMP step usually causes oxide layers, removal or dishing 1 69 66, as shown in Figure 136B. Oxidation in the trench: It will significantly affect subsequent process steps, such as defining the active area of the device " spot isolation bar and electrical effects after forming the device. The physical sag in the trench region of the milk and k ion & oxide layer will affect the formation of interpolar features between the subsequent layer and the polycrystalline stone, leading to unexpected

1057-5667-PF(Nl).ptd1057-5667-PF (Nl) .ptd

第240頁 200405184 五、發明說明(238) 徵,如臨界電壓改變、漏電流增加、或複晶矽橋接等現 象,降低製造裝置之效能與穩定性。在此些實例中,因 CMP之圖案附屬所產生之碟陷將於主動裝置與隔離區介面 形成角落圓化現象。 此方法亦可用於ST I製程流程中,包括將新式介電材 質引入製程時預測在晶片形成ST I溝槽時之圖案附屬。在 第137圖所示之應用中,利用如107A、107B、109圖所示之 校準流程形成預測圖案附屬之以I模型。此校準流程可利 用設計用於取得此類特徵之STI特徵化晶圓。將此STI設計 上傳至系統1 6982,並執行如第丨〇5A、1〇5B圖之敘 第106圖之關於STI設計之圖案感測之數值表。利用此 模型或模型預測最終溝槽幾何形狀1 6986。此模型 C:型=或微影。接著利用此最終溝槽幾何形狀*電性 臨im”i 699〇對電性特徵之影響,如漏電流、 =利:接現象、、以及整體裝置之效能 置外加氧化層溝槽,形成虛設填特被於咸略中量測並配 降低碟陷或最小化碟陷之電性影^調整修正圖案,故可 16-25圖所示之各類虛設填入技術'。此應用可採用第 4何熟習此技藝者,在不脫 内,當可作更動與潤飾,因此本本發明之精神和範圍 之申請專利範圍所界定者為準。明之保護範圍當視後附Page 240 200405184 V. Description of the invention (238) characteristics, such as changes in threshold voltage, increase in leakage current, or polycrystalline silicon bridges, reduce the performance and stability of manufacturing equipment. In these examples, the dishing caused by the pattern attachment of the CMP will form a corner rounding phenomenon at the interface between the active device and the isolation area. This method can also be used in the ST I process flow, including the prediction of pattern attachment when a ST I trench is formed on a wafer when a new dielectric material is introduced into the process. In the application shown in Fig. 137, the calibration pattern shown in Figs. 107A, 107B, and 109 is used to form a prediction pattern attached to the I model. This calibration process can utilize STI-characterized wafers designed to obtain such features. Upload this STI design to the system 1 6982, and execute the numerical table about the pattern sensing of the STI design as shown in Figures 105A and 105B. Use this model or model to predict the final groove geometry 1 6986. This model C: type = or lithography. Then use this final trench geometry * electrical im ”i 699〇 to influence the electrical characteristics, such as leakage current, = benefit: connection phenomenon, and the performance of the overall device to add an oxide trench to form a dummy fill It is specially measured and adjusted in the salt solution to reduce or minimize the dishing, and adjust the correction pattern, so various types of dummy filling techniques shown in Figures 16-25 can be used. This application can use the 4th Anyone who is familiar with this technique can make changes and retouches without departing. Therefore, the scope of the patent application for the spirit and scope of the present invention shall prevail. The scope of protection shall be attached as attached.

200405184 圖式簡單說明 發流ί2圖係顯示用於開發積體電路裝置之一般設計與開 ^1 y圖係顯不利用本發明之一方法改進一設計流程; 第2A ί :系顯示利用本發明之一方法改進-製造流程; 圓表面輪ΐ f顯不在銅溝槽製程中採用CMP製程之理想晶 周邊氧化區 域發= : = 線上發生碟陷以及在 塑開:場區·’包含銅線…間具有大 第2D圖係顯示加入金屬虛設填入以提升金屬之有效密 度’並於CMP後取得較佳之薄膜厚度平坦度; 第3 A圖係顯示大型金屬場區域; 第3 B圖係顯示將氧化虛設填入加入金屬區域; 第4 A圖係顯示一對稱虛設填入圖案; 第4B圖係顯示單一方向之一非對稱虛設填入圖案; 第4C圖係顯示兩方向之一非對稱虛設填入圖案; 第5A圖係顯示利用ECD填入於氧化層中形成一溝槽; 第5 B圖係顯示利用e C D於溝槽中沈積銅之初始狀態; 第6 A圖係顯示溝槽中沈積銅之最後狀態; 第6B圖係顯示利用CMP研磨超出之銅沈積; 第7圖係顯示完整虛設填入方法之計算流程; 第8A圖係i員示KD沈積厚度之變異,如圖案附屬之結 果,如寬與窄之導線;200405184 Schematic description of the flow 2 2 shows the general design and development of integrated circuit devices. 图 1 y shows that the design process is not improved by using one of the methods of the present invention; Section 2A: shows the use of the present invention One method is to improve the manufacturing process; the round surface wheel ΐ f is not used in the copper trench manufacturing process, and the ideal perimeter oxidation area of the CMP process is generated =: = dishing occurs on the line and the plastic opening: field area · 'contains copper wire ... There is a large 2D picture showing the addition of metal dummy filling to increase the effective density of the metal 'and obtain a better flatness of the film thickness after CMP; Figure 3 A shows a large metal field area; Figure 3 B shows Oxidation dummy filling is added to the metal area; Figure 4A shows a symmetrical dummy filling pattern; Figure 4B shows an asymmetric dummy filling pattern in a single direction; Figure 4C shows an asymmetric dummy filling pattern in two directions Figure 5A shows the use of ECD to fill a trench in the oxide layer; Figure 5B shows the initial state of depositing copper in the trench using e CD; Figure 6A shows the deposition in the trench The most copper Status; Figure 6B shows the copper deposition that was exceeded by CMP polishing; Figure 7 shows the calculation flow of the complete dummy filling method; Figure 8A shows the variation of KD deposition thickness, such as the result of a pattern attached, such as the width With narrow wires

l〇57-5667-PF(Nl).ptd 第242頁 200405184 圖式簡單說明 第8B圖係顯示利用氧化虛設填入以達平坦ECD薄膜厚 度; 第9A圖係顯示佈局擷取之相關步驟; 第9B圖係顯示佈局擷取之連續步驟; 第1 0 A圖係顯示標的A之鄰近結構; 第1 0 B圖係顯示標的A與B之間距; 第1 0 C圖係顯示較近與較遠標的之間距; 第1 0 D圖係顯示如何將網格邊界是為鄰近標的; 第11圖係顯示晶片上兩空間區域之關係與形成佈局擷 取表, 第1 2 A圖係顯示利用產品晶圓對一特定配方校準一工 具; 第1 2B圖係顯示利用測試晶圓對一特定配方校準一工 具; 第1 3 A圖係顯示如何利用校準將佈局特徵對應至薄膜 厚度變異; 第1 3B圖係顯示利用校準對應預測新I C設計之薄膜厚 度變異; 第1 3C圖係顯示如何利用晶圓狀態參數,如薄膜厚度 變異,預測電性參數; 第1 4圖係顯示校準一製程步驟並產生一模型之步驟流 程; 第1 5圖係顯示利用一校準模型產生一預測之步驟流 程;〇57-5667-PF (Nl) .ptd Page 242 200405184 Brief description of the diagram Figure 8B shows the use of oxide dummy filling to achieve a flat ECD film thickness; Figure 9A shows the relevant steps for layout extraction; Figure 9B shows the continuous steps of layout extraction; Figure 10 A shows the adjacent structure of the target A; Figure 10 B shows the distance between the target A and B; Figure 10 C shows the closer and farther The distance between the targets; Figure 10D shows how the grid boundary is adjacent to the target; Figure 11 shows the relationship between the two spatial regions on the chip and the layout extraction table, and Figure 12A shows the use of product crystals. Circle to a specific recipe to calibrate a tool; Figure 12B shows how to calibrate a tool to a specific recipe using test wafers; Figure 13A shows how to use calibration to map layout characteristics to film thickness variations; Figure 1B Figure 1 shows the use of calibration to predict the film thickness variation of the new IC design. Figure 1C shows how to use wafer state parameters, such as film thickness variation, to predict electrical parameters. Figure 14 shows the calibration process steps and generates a Model steps Cheng; of FIG. 15 show the use of a calibration line model generates a prediction of the flow step;

1057-5667-PF(Nl).ptd 第243頁 200405184 圖式簡單說明 第1 6圖係顯示產生虛設填入規則與表單之步驟流程; 第1 7圖係顯示一取樣虛設規則表,最大虛設填入線寬 為内連線線寬與線間距之函數; 第1 8圖係顯示量測尺寸並配置虛設填入之步驟流程; 第1 9圖係顯示於一區塊中配置虛設填入標的之詳細步 驟流程; 第2 0 A圖係顯示量測虛設填入尺寸規則之一實例; 第20B圖係顯示虛設填入圖案產生規則之一實例; 第2 1 A圖係顯示一對稱虛設填入圖案; 第21B圖係顯示具有同效密度之另一虛設填入圖案, 但使用不同填入標的尺寸; 第22A圖係顯示利用1x2單元產生2x4單元; 第22B圖係顯示利用1x2單元產生4x4單元; 第23圖係顯示如何以8組標的表示4x4單元; 第24圖係顯示形成一單元分級之步驟流程; 第2 5圖係顯示利用具低介電層之虛設填入方法調整修 正第7圖之虛設填入方法; 第2 6圖係顯示施行虛設填入方法之計算結構,亦可做 為虛設填入系統; 第2 7 A圖係顯示安裝於單一電腦中虛設填入系統之一 _ 獨立施行方法; 第27B圖係顯示虛設填入系統之一客戶端-伺服器施行 方法; 第2 8圖係顯示客戶端-伺服器施行方法之延伸,包括1057-5667-PF (Nl) .ptd Page 243 200405184 Brief description of the diagram Figure 16 shows the flow of steps for generating dummy filling rules and forms; Figure 17 shows a sampling dummy rule table, the maximum dummy filling The input line width is a function of the inner line width and line spacing. Figure 18 shows the process of measuring the size and configuring the dummy filling. Figure 19 shows the configuration of the dummy filling target in a block. Detailed steps and procedures; Figure 20A shows an example of measurement dummy filling rule; Figure 20B shows an example of dummy filling pattern generation rule; Figure 2A shows a symmetrical dummy filling pattern Figure 21B shows another dummy filling pattern with the same density, but using a different filling target size; Figure 22A shows the use of 1x2 units to generate 2x4 units; Figure 22B shows the use of 1x2 units to generate 4x4 units; Figure 23 shows how to represent 4x4 units with 8 sets of targets; Figure 24 shows the process of forming a unit hierarchy; Figure 25 shows the use of a dummy filling method with a low dielectric layer to adjust and modify Figure 7 Dummy filling method Figure 26 shows the calculation structure of the dummy filling method, which can also be used as a dummy filling system. Figure 2 7A shows one of the dummy filling systems installed in a single computer. _ Independent execution method; Figure 27B Figure 1 shows a client-server implementation method of a dummy filling system; Figure 28 shows an extension of the client-server implementation method, including

1057-5667-PF(Nl).ptd 第244頁 200405184 圖式簡單說明 一網路上之外部元件; 採 第29圖係顯示一般客戶端_伺服器虛設填 用内部網路、外部網路、或網際網路; 、, 第3 0圖係顯示虛設填入系統之較佳計算姓 網路服務之二客戶端_伺服器架構; 、α再,採用具 第3 1圖係顯不如何利用網路服務動態建立為 劃之虛設填入網路服務; 、 、 第32圖係顯示虛設填入系統之系 :局至虛設填入系統之前™元件力t入: 第33圖係顯示虛設填入系統之即 加入元素時分析並配置虛設填入;、…、用,*於佈局中 第3 4圖係顯示需少埴 糸 卜 取晝面,用於管理使者^蠱局官理器GUI之螢幕操 第35A圖A 佈與佈局擷取; 果 果 果 果 結 ; 、 糸、、先之後、度擷取之結 第3 5B圖係顯示利用雲少 ; J用而夕填入系統之線寬擷取之結 第36A圖係顯示虛設 ; 于、、先之金屬虛設填入之結 第3 6 β圖係顯示虛設入〆 ; 、 糸、、先之氧化物虛設填入之結 第3 7圖係顯示利用虑μ搶 / 果,其中由此备μ @卢 真糸統配置金屬虛設填入之 、中由此系統選取尺寸與圖案以最小化電性影響;1057-5667-PF (Nl) .ptd Page 244 200405184 The diagram briefly illustrates the external components on a network; Figure 29 is used to show the general client_server virtual filling of the internal network, external network, or the Internet Network; Figure 30 shows the second client_server architecture of a better calculation of the surname network service that is filled into the system in a virtual way; Figure α shows how to use the network service with Figure 31 Dynamically created as a virtual filling network service; Figure 32, shows the system of the virtual filling system: before the bureau to the virtual filling system ™ component force: Figure 33 shows the virtual filling system Analyze and configure dummy fills when adding elements; ..., use, * in the layout Figure 3 4 shows the need to take the day and time to manage the messenger ^ 蛊 bureau manager GUI screen operation section 35A Figure A: Cloth and layout capture; Fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit, fruit. Figure 36A shows the dummy; the knots filled in by the metal before, before, and the figure 3 6 β are shown. Set 7;, 糸 ,,,,,,,,,,,,,,,,,,,,,,-succeeded, oxide-filled knots Figure 37 shows the use of μμ to grab / result, where μ @ @ 卢 真 糸 糸 is configured with metal dummy-filled, and thus from The system selects the size and pattern to minimize the electrical impact;

200405184 圖式簡單說明 第3 8圖係顯示在虛設填入系統之製造元素下可使用之 工具型式資訊之螢幕擷取畫面; 第39圖係提供顯示整體晶片影像之比較工具GUI之螢 幕擷取晝面; 第4 0圖係提供顯示整體晶片統計圖之比較工具GU I之 榮幕操取晝面; 第4 1圖係顯示如何進行微影製程; 第42圖係顯示利用〗c設計與圖案製造微影光罩之流 程; 第43圖係顯示對準鍵之合適的對焦距離,但晶片層級 _ 變異超出對焦深度限制; 第44圖係顯示適合溝槽製程之微影製程; f 45圖係顯示電鍍銅沈積(ECD )之圖案附屬; 第46A圖係顯示因氧化化學機械研磨(CMp 膜厚度變異; ’ %双々两 第46B圖係顯示使用淺溝槽絕緣 π 乂电II左立,L 、一 一 ^ w ^ ^ ^ 1 1 ; m =產Λ之侵飿、碟陷、與角落圓化效應; 弟46c圖係顯示使用淺溝槽絕緣(sn)製藉 驟中伴隨產生之銅碟陷、介雷質俨:'"W之CMP步 第47All#Ss 電質 與殘餘銅效應; f47A圖係顯不方區中不同密度特徵之俯滿阁· 第47B圖係顯示區 、圖’ 楚4»岡展泥-匕域中特欲之乳化層厚度變里· 回,、…貝不表面拓撲如何影響 〆、, 第49圖係顯示特徵密度如何影尺寸; 第5〇A圖係顯示—方法之高層㈣^特破尺寸;200405184 Brief description of the drawings. Figures 3 and 8 are screen shots showing the tool type information that can be used under the manufacturing elements of the dummy filling system. Figure 39 is a screen shot of the comparison tool GUI showing the overall chip image. Figure 40 is a comparison tool GU I which provides a comparison tool that displays the overall wafer statistics. Day 41 is shown in Figure 41. Figure 41 shows how to perform the lithography process. Figure 42 shows the use of c design and pattern manufacturing. Lithography mask process; Figure 43 shows the proper focus distance of the alignment key, but the wafer level _ variation exceeds the depth of focus limit; Figure 44 shows the lithography process suitable for the trench process; f 45 shows Pattern attached to the electroplated copper deposition (ECD); Figure 46A shows the CMP film thickness variation due to oxidation; '% 双 々 二 Figure 46B shows the use of shallow trench insulation π 乂 电 II Zuoli, L, One by one ^ w ^ ^ ^ 1 1; m = invasion, dishing, and corner rounding effect of producing Λ; Figure 46c shows the copper dishing accompanying the use of shallow trench insulation (sn) borrowing steps , Jie Lei quality: "" W of CMP 47All # Ss Electricity and residual copper effect; f47A is a full-scale pavilion with different density characteristics in the area showing no squares; Figure 47B is a display area and a picture of 'Chu 4 »Gangzhan Mud-Dark Emulsion The thickness of the layer changes. Back, ... How does the surface topology affect 〆, Figure 49 shows how the characteristic density affects the size; Figure 50A shows the high-level method of the method ㈣ special break size;

200405184 圖式簡單說明 1 第5 0 B圖係顯示設計改變方法之高層級流程圖; 第5 0 C圖係顯示光罩校正方法之高層級流程圖; 第51圖係顯示調整設計以符合預期映射或蝕刻特徵尺 寸之應用; 第52圖係顯示未調整設計以符合預期映射或蝕刻特徵 尺寸之應用; 第53A圖係顯示一般用於佈局產生之步驟;200405184 Brief description of the drawings 1 Figure 5 0B is a high-level flowchart showing how to change the design; Figure 5 0C is a high-level flowchart showing how to correct the reticle; Figure 51 shows how to adjust the design to match the expected mapping Figure 52A shows the application without adjusting the design to match the expected mapping or etching feature size. Figure 53A shows the steps typically used for layout generation.

第53B圖係顯示當將設計變化加入設計流程時,—般 用於佈局產生之步驟; X 第5 4 A圖係顯示佈局擷取之相關步驟; 第5 4 B圖係顯示佈局擷取之相關連續步驟; 第54C圖係顯示佈局擷取之相關連續步驟; 取表; 第55圖係顯示晶片上兩空間區域之關係與形成佈局擷 第5 6圖係顯示製程模型元素· 第5 7 A圖係顯示利用方ϋ m 具; 刃用產品晶圓對一特定配方校準一 具; .第5则係顯示利用測試晶κ對—特定配方校準一 工 第5 8圖係顯示如何士丨 产變異; 7利用权準將佈局特徵對應至薄膜厚 第5 9 Α圖係顯示利用> ^ ^ s . 用技準對應預測新IC設計之薄膜厚 度變呉, 第5 9 B圖係顯示如輪 17利用晶圓狀態參數,如薄膜厚度Figure 53B shows the general steps for layout generation when design changes are added to the design flow; X Figure 5 4 A shows the relevant steps for layout extraction; Figure 5 4 B shows the relevant steps for layout extraction Continuous steps; Figure 54C shows the relevant continuous steps of layout extraction; Take the table; Figure 55 shows the relationship between the two spatial regions on the chip and the formation of the layout Figure 5 6 shows the process model elements · Figure 5 7 A The display shows the use of square tools; the product wafers are used to calibrate a specific recipe; the fifth display shows the use of test crystal κ pairs-a specific recipe calibration process Figure 58 shows how to produce variation; 7Using the right to map the layout features to the thickness of the film. Figure 5 9 A shows the use > ^ ^ s. Uses the technical correspondence to predict the thickness of the thin film of the new IC design. Round state parameters such as film thickness

1057-5667-PF(Nl).ptd 第247頁 200405184 圖式簡單說明 變異,預測電性11 第60圖係顯‘一二 第61 A圖係_尸才又準机程之步驟; 第6 1 B圖係顯:預測整體晶片拓撲之步驟 第6 1 C圖係顯=預测晶圓拓撲之連續步驟 第61D圖係顯=預測晶圓拓撲之連續步驟 第62A圖係顯=預測晶圓拓撲之連續步驟; 徵尺寸(如線寬)不·預測因微影製程步驟或流程導致之特 第62B圖係_示’> 第63圖係顯^—預測元素之對應情形; 第64圖係顯禾微影預測元素之對應情形; 測之步驟; 根據晶片拓撲變異產生特徵尺寸變異預 第6 5圖係顯示 測之步驟; X Ba片特徵密度產生特徵尺寸變異預 第66A圖係_ _ 方之微影模型;不利用測試晶圓校準用於特定工具與配 第66B圖係_ — ^ 異; 、不用校準微影模型預測特徵尺寸變 第67圖係顯+ α扣&、 步驟; ,又準微影模型預測特徵尺寸變異之 第68圖係顯示-確認與校正元辛· ,圖傳,示確認選項A‘: 第鬧係_示確認選項B之;:; 第69C圖係_示確認選項c之步驟;1057-5667-PF (Nl) .ptd Page 247 200405184 The diagram briefly explains the variation and predicts the electrical properties. 11 Picture 60 shows' 1-2 Picture 61 A Picture _ The steps of the cadaver and the correct schedule; page 6 1 Figure B shows the steps to predict the overall wafer topology. Figure 6C shows the steps to predict the wafer topology. Figure 61D shows the continuous steps to predict the wafer topology. Figure 62A shows the predicted wafer topologies. The continuous steps; The characteristic dimensions (such as line width) are not predictable due to the lithographic process steps or processes. Figure 62B is shown_ > Figure 63 is shown ^ —the corresponding situation of the predicted elements; Figure 64 is Xianhe lithography predicts the corresponding situation of the elements; the measurement steps; the feature size variation based on the wafer topological variation is shown in Fig. 65; the X Ba film feature density is generated in the feature size variation is shown in Fig. 66A _ _ Fang Lithography model; test wafer calibration is not used for specific tools and matching 66B image system _ ^ difference; calibrating lithography model is not used to predict feature size changes. Figure 67 system display + α button &steps; The quasi-lithographic model predicts the variation of feature size in Figure 68. Display-Confirmation and Calibration Oct-membered, image transmission, an acknowledgment option A ': _ a confirmation of the alarm system of option B;:; _ based on FIG. 69C shows the confirmation step c options;

1057-5667-PF(Nl).ptd 第248頁 圖式簡單說明 第6 9D圖係顯示確認 第7。圖係顯示—校正二步驟; =7〗圖係顯示計算佈局 丰第72圖係顯示利用測試驟’· 步驟, 、枓计算佈局調整修正之 第73A圖係顯示表面拓撲 型成分之特徵尺寸預測間之關係#;拉型預測與採用微影模 之㈣以改進映1射與預期尺寸之誤差調整佈局 度之Γ關4A:之τ程示計算特徵寬度、㈣ 第74β圖係顯示表面 寬度、特徵間距m撲與°又°十參數之關係,如特徵 ^7ΛΓ m〆 以及輪入微影模型前之密度; 产之特η宮V手顯示如何利用測試晶圓計算已知高度或厚 度之:被寬度、特徵間距、密度間數學關係; 75圖係顯不如何利用流程反覆地進行多層級之 與权正; J 76Α圖係顯示使用微影測試晶圓之步驟; 76B圖係顯示測試晶圓參數相關表單之一實例; = 77Α圖係顯示微影測試晶圓之一堆疊; f77B®#'顯示微影測試晶圓之金屬層1 第77C圖係顯示微影測試金屬之插塞層1 第77D圖严顯不微影測試晶圓之金屬層2 ; 第78圖係顯示於金屬層1中改變線寬與線間距之剖面1057-5667-PF (Nl) .ptd Page 248 Brief description of the drawing No. 6 9D picture display confirmation No. 7. The diagram shows two steps of correction; = 7. The diagram shows the calculation layout. The 72th diagram shows the use of test steps. The calculation of the layout adjustment and correction of the 73A diagram shows the feature size prediction surface of the surface topology component. The relationship #; pull-type prediction and the use of lithography mode to improve the mapping error and the expected size. Adjust the layout. Γ Off 4A: The τ program shows the calculation of the feature width. ㈣ The 74β picture shows the surface width and features. The relationship between the distance m and the ten parameters, such as the characteristic ^ 7ΛΓ m〆 and the density before turning into the lithography model; the special η palace V hand shows how to use the test wafer to calculate the known height or thickness: the width The mathematical relationship between the feature distance and density; Figure 75 shows how to use the process to repeatedly perform multi-level and weight correction; Figure J76A shows the steps for testing wafers using lithography; Figure 76B shows the correlation of test wafer parameters An example of a form; = 77A shows a stack of lithographic test wafers; f77B® # 'shows the metal layer 1 of the lithographic test wafer Figure 77C shows the plug layer 1 of the lithographic test metal Figure 77D Strict Shadow test wafer metal layer 2; Figure 78 shows a cross-section with varying line width and line spacing in metal layer 1

第249頁 1057-5667-PF(Nl).ptd 200405184 圖式簡單說明 圖, 第7 9圖係顯示於金屬層1中固定線寬與線間距之剖面 圖, 第8 0圖係顯示於金屬層2中改變線寬與線間距之次級 剖面圖; 第81A圖係顯示金屬層1與金屬層2之圖案; 第81B圖係顯示覆於金屬層1上之金屬層2 ; 第8 2圖係顯示改變金屬層1中之陣列結構; 第8 3圖係顯示插塞層1中之大型插塞陣列; 第84A圖係顯示金屬層1與拆塞層1中之圖案; 第84B圖係顯示覆蓋於金屬層1圖案上之插塞層1圖 案; 第85A圖係顯示金屬層1中三區域之狹縫結構; 第85B圖係顯示金屬層1中三區域之狹縫圖案; 第85C圖係顯示覆蓋於金屬層1狹縫結構上之插塞層1 中之插塞圖案; 第85D圖係顯示覆蓋於插塞層1與金屬層1圖案上之金 屬層2圖案; 第86A圖係顯示表面拓撲方法之應用; 第86B圖係顯示當表面拓撲發生時之方法的影響; 第87A圖係顯示特徵密度方法之應用; 第87B圖係顯示當特徵密度發生時之方法的影響; 第88圖係顯示利用步進器機制解決晶圓層級之表面變 異;Page 249 1057-5667-PF (Nl) .ptd 200405184 The diagram is a simple explanatory diagram, and Figures 7 and 9 are sectional views showing the fixed line width and line spacing in metal layer 1. Figure 80 is shown in the metal layer. The secondary cross-sectional view of changing the line width and line spacing in Figure 2; Figure 81A shows the pattern of metal layer 1 and metal layer 2; Figure 81B shows the metal layer 2 overlying metal layer 1; Figure 8 2 The array structure in metal layer 1 is shown changed. Figure 83 shows the large-sized plug array in plug layer 1. Figure 84A shows the patterns in metal layer 1 and unplug layer 1. Figure 84B shows the overlay. The plug layer 1 pattern on the metal layer 1 pattern; Figure 85A shows the slit structure of the three regions in metal layer 1; Figure 85B shows the slit pattern of the three regions in metal layer 1; Figure 85C shows The plug pattern in the plug layer 1 covering the slit structure of the metal layer 1; Figure 85D shows the metal layer 2 pattern covering the plug layer 1 and the metal layer 1 pattern; Figure 86A shows the surface topology Application of the method; Figure 86B shows the effect of the method when surface topology occurs; Figure 87A shows the feature density Application of the method; FIG. 87B based on the display method when the impact occurs when the feature density; 88 FIG display system using a stepper mechanism to resolve the surface of the wafer level variability;

1057-5667-PF(Nl).ptd 第250頁 200405184 1式簡單說明 __ 第89圖係顯示利用步進器機 離,並包括對焦深度外致仏對準鐽較佳對焦距 第90圖係顯示晶片層=步進器域之映射; 第91圖係顯示利用電腦硬體、軟髀之f用方法; 行方法; 體、與網路設備之施 第92A圖係顯示安裝於客戶端 軟體整合於單一電腦中之施行方法· J服杰上、或與其他 第92B圖係顯示經由網路連 方法; 、各戶、與伺服器之施行 第9 2 C圖係顯不客戶端連通飼 供服務之施行方法; 艮益以及經由往網路提 第93圖係顯示電子設計自動 ); G之軛仃方法(EDA工具 第94A圖係顯示利用EDA工具之施行應用·, 第9 4B圖係顯示經由網路連接EDA工豆浐_ 第95圖係顯示製造系統設計之施行:用『仃應用’ 第9 6圖係顯不製造系统吟辞之始* 影相關之工具設定、配方、、或;:耗用…選擇微 第97圖係顯不管理自多重設計之佈局擷取之gui . 第98A圖係顯示晶片佈局之晶片寬度擷取之社: 第98B圖係顯示根據晶片寬度擷取之姓果., 第9 9 Α圖係么示…先之設計之G υ二微係篏 入製造系統之設計中; 第99B圖係顯示製造系統或微影之設計中管理工具與 1057-5667-PF(Nl).ptd 第251頁 200405184 圖式簡單說明 工具配方之GUI ; --- 第1 〇 0 A圖係顯示如何利用方法產生三維特 狀 w试4何形 驅 第1 0 0 B圖係顯示利用1 〇 mm佈線之輸入與輪出 w 動器之電路; ⑴ 上單一 第1 0 0 C圖係顯示採用收斂緩衝器之電路,· 第1 0 0 D圖係顯示無收斂緩衝器之電路; 第1 0 1 A圖係顯示一般未取得晶片上問題區 一欠 訊之量測。此問題發生在測試鍵盔法整人a 7 3之規格資 構; 口日日月之問題性結 第1 0 1 B圖係顯示動態量測計晝產生之 類似問題區域之規格結構予以量^ ; 的’可確認出 第102A圖係顯示因氧化CMp導致之薄膜 第1 02B圖係顯示使用淺溝槽絕 ^ , 驟中伴隨產生之侵银、碟陷、與角落圓化製程之CMP步 第i 02C圖係顯示使用溝槽製程之銅cMp 生之銅碟陷、介電質侵蝕、與殘餘銅效應,驟中伴隨產 第l〇2D圖係顯示由平坦化長声二; 度特徵之俯視圖; X 形區中不同密 第1 02E圖係顯示在平坦化長 異; 度甲特欲之氧化層厚度變 屬 弟1 0 3 A圖係顯示溝槽 第1 0 3 B圖係顯示於兩 線電阻非預期地增加; 製程中銅CMP之理想結果; 金屬線上形成銅之殘留,導致金1057-5667-PF (Nl) .ptd Page 250 200405184 Simple description of type 1 __ Figure 89 shows the use of a stepper to move away, and includes the focus depth, external alignment, and better focus distance. Figure 90 shows Wafer layer = map of stepper domain; Figure 91 shows the method of using computer hardware and software; Method of operation; Application of body and network equipment Figure 92A shows the installation of client software and integration in Execution method in a single computer · J service or other 92B picture shows the method of connecting via the Internet; each house, and the server implementation 9 2 C picture shows the client connection and feeding services Method of implementation; Gen Yi and Figure 93 show the electronic design automatically through the Internet); G's yoke method (EDA tool Figure 94A shows the application using the EDA tool. Figure 9 4B shows via the network Road connection EDA Industrial Beans _ Figure 95 shows the implementation of the manufacturing system design: Use "仃 application" Figure 9 6 shows the beginning of the manufacturing system's rhetoric * Shadow related tool settings, recipes, or;: Use ... to select the micro-picture 97 to show the layout capture without management from multiple designs gui. Figure 98A shows the chip width extraction agency showing the layout of the chip: Figure 98B shows the last name extracted according to the width of the chip. Figure 9 9 Α shows ... the first design of the G υ micro-system Entered into the design of the manufacturing system; Figure 99B shows the management tool and 1057-5667-PF (Nl) .ptd in the design of the manufacturing system or lithography. Page 251 200405184 Schematic illustration of the tool recipe; --- Fig. 100A shows how to use the method to generate a three-dimensional characteristic. Test 4 He-shaped drive. Fig. 100B shows a circuit that uses a 10mm wiring input and a wheel-out actuator. 单一 The first single Figure 0 0 C shows the circuit using the convergence buffer. · Figure 1 0 0 D shows the circuit without the convergence buffer. Figure 1 0 A shows the measurement of the lack of information on the problem area on the chip. This problem occurs when testing the specifications of the whole helmet a 7 3 of the key helmet method; the problematic structure of the mouth, the sun, the moon and the moon. Figure 1 0 1 B shows the specifications of the dynamic measurement meter during the day. ^; Of 'it can be confirmed that the picture 102A shows the thin film caused by the oxidation of CMP and the picture 102B shows Using shallow trench insulation, the CMP step i 02C accompanying the invasion of silver, dishing, and corner rounding process in step i 02C shows the copper dishing, dielectric erosion, With the residual copper effect, the 10th 2D map showing the flattened long sound II; the top view of the degree feature; the different dense 02E maps in the X-shaped area are shown in the flattening change; the degree of special desire The thickness of the oxide layer is changed. The 1 0 3 A picture shows the trench. The 1 0 3 B picture shows an unexpected increase in the resistance of the two wires. The ideal result of copper CMP during the process. The copper residue on the metal wire leads to gold.

200405184 圖式簡單說明 第1 03C圖係顯示兩金屬線上形成銅之碟陷,導致金屬 線電阻非預期地增加; 第1 0 4圖係顯示高層級流程圖; f 1〇5A圖係顯示佈局擷取之相關步驟; f 1〇5B圖/系顯示佈局擷取相關之連續步驟; 第1 〇 6圖係顯示晶片中間距區域間之關係與形成佈局 具 第1 07A圖係顯示利用產品晶圓對一特定配方校準一工 具 苐1 0 7 B圖係顯示利 用測試晶圓對一特定g己方校準一工200405184 Brief description of the diagram. Figure 1 03C shows the formation of a copper dishing on the two metal wires, which leads to an unexpected increase in the resistance of the metal wire. Figure 104 shows a high-level flowchart; f 105A shows the layout. Relevant steps taken; f 105B / shows successive steps related to layout extraction; Fig. 106 shows the relationship between the pitch areas in the wafer and the formation of the layout. Fig. 07A shows the use of product wafer pairs. A specific recipe calibrates a tool 苐 10 7 B shows the calibration process of a specific g alley using a test wafer

將佈局特徵對應至薄族 預測新IC設計之薄膜厚 第1 0 8 A圖係顯示如何利用校準 厚度變異; 第1 08B圖係顯示利用校準對廉 度變異; ~ 第1 0 8 C圖係顯示如何赤丨 曰 變異,預測電性參數; B曰圓狀態參數,如薄膜厚度 第1 0 9圖係顯示校準_制 流程; t知步驟並產生一模型之步驟 第11 0圖係顯示利用校進 電性參數與效能變異之影/杈型預測製程變異以及後續 第111 A圖係顯示如何使握爪私, 第111B圖係顯示如何预31式預測; 之量測位置; 使用預測與設計規格以選取晶片Corresponds the layout characteristics to the thin family to predict the film thickness of the new IC design. Figure 1 08A shows how to use the calibration thickness variation; Figure 1 08B shows how to use the calibration to change the variation of the integrity; ~ Figure 1 0 8C shows How to calculate the variation and predict the electrical parameters; B indicates the circular state parameters, such as the thickness of the thin film. Figure 10 shows the calibration process. Figure 11 shows the steps to know the steps and generate a model. Figure 110 shows the use of calibration. The variation of the electrical parameters and performance variation of shadow / fork type prediction process and the subsequent Figure 111A shows how to make the gripper private, and Figure 111B shows how to predict 31-type prediction; the measurement position; using prediction and design specifications to Select chip

1057-5667-PF(Nl).ptd 第253頁 200405184 圖式簡單說明 第111 C圖係顯示量測計晝之顯示螢幕; 第11 2圖係顯示如何產生整體晶片量測查 ^ 驟; 息之詳細步 弟113A與113B圖係顯示一錯誤嘗試表單,、 量測計晝; 以產生錯誤 第11 4 A圖係顯示用於特徵化整體晶片或晶 膜厚度變異之線性圖; 日日 改變、薄 第11 4B圖係顯示選取並特徵化晶圓上之多曰 徵化晶圓層級變異。顯示晶方變異線性圖與晶:方以特 線性圖形間之關係; /、9 111層級變異 櫓 第11 4C圖係顯示選取並特徵化晶圓上之多 徵化晶圓對晶圓之變異,並於製程週期之不 =方以特 晶圓上執行此方法; $間於多重 第11 5A圖係顯示使用量測工具之應用; …第115B圖係顯示使用量測工具之應用,從 授以調整或重新校正製程模型; “彳工具迴 第11 5C圖係顯示使用量 授以調整或重新校正製程模型;、之應用從里刪工具姆 第11 5D圖係顯示利用量測工具提供迴授至 製程最佳化或配方繂人系絲 、 製程控制戋 7、不口糸統,以及一虛設填入工目 ^ 第11 6圖係顯示施杆& t 具; 第π觸顯 第第;端-伺服器操作^ 只不本方法之網路操作;1057-5667-PF (Nl) .ptd Page 253 200405184 The diagram is briefly explained. Figure 111 C shows the display screen of the measuring meter day. Figure 11 2 shows how to generate an overall wafer measurement check. ^ The detailed steps 113A and 113B show a mistaken attempt form, and measure the day; to produce an error. Figure 11 A shows a linear diagram used to characterize the thickness variation of the overall wafer or crystal film; daily changes, thin Figure 11 4B shows how much of the wafer-level variation on the wafer is selected and characterized. Shows the relationship between the crystal square variation linear graph and the crystal: special linear pattern; /, 9 111 level variation 橹 The 11 4C graph shows the selection and characterization of the polymorphic wafer-to-wafer variation on the wafer. This method is performed on the wafer in the process cycle. Figure 5A shows the application of the measurement tool. Figure 115B shows the application of the measurement tool. Adjust or re-calibrate the process model; “彳 Tool 11th 5C shows the use of the amount to adjust or recalibrate the process model; The application is deleted from the tool 11th 5D Figure shows the use of the measurement tool to provide feedback to Process optimization or formulation: human thread, process control, 7, non-verbal system, and a dummy fill in the project ^ Figure 11 6 shows the pole &t; -Server operation ^ Only network operation of this method;

1057-5667-PF(Nl).ptd 第254頁 200405184 圖式簡單說明 之操 第11 8圖係顯示在製程流程中利用多重量測工具 作方法; 第11 9圖係顯示利用溝槽製程流程之操作方法; 第1 2 0圖係顯不多重層級製程流程之操作方法; 之 第1 2 1圖係顯示在溝槽製程流程中導入低界電所 操作方法; μ 第1 2 2 Α圖係顯示線上量測工具之操作方法; 第1 2 2 B圖係顯示同室量測工具之操作方法; 第1 2 3 A圖係顯示在量測晶圓前將量測配方載 / 方法; < 刼作 第1 23B圖係顯示當開始量測時反覆地調整量測配 位置之操作; 〃 第1 2 4 A圖係顯示根據前知或錯誤嘗試預測參數, 多重製程之重複或動態量測之操作方法; 第1 24B圖係利用顯示代表不同製程狀態之多重 型時,利用多重製程之重複或動態量測之操作方法;転模 第125圖係顯示利用實際量測自資料選取 型以產生量測配方之操作方法; 氣私槟 作 方法 第126A圖係顯示產生量測配方以調整設計規則之操 第1 26B圖係顯示利用量測配方與 設計規則或規格之操作方法; 竹以凋整 产旦=7且圖之係:顯Γ利用電聚餘刻製程以產生⑶與薄膜厚 度里別工具之篁測配方之操作方法;1057-5667-PF (Nl) .ptd Page 254 200405184 Simple explanation of the diagram Figure 11 8 shows the use of multiple weight measurement tools in the process flow; Figure 11 9 shows the use of the trench process flow Operation method; Figure 1 2 0 shows the operation method of the multi-level process flow; Figure 1 2 1 shows the operation method of introducing the low-level power station in the trench manufacturing process; μ Figure 1 2 A The operation method of the online measurement tool; Figure 1 2 2 B shows the operation method of the same room measurement tool; Figure 1 2 3 A shows the loading method / method of the measurement formula before measuring the wafer; < Operation Figure 1 23B shows the operation of repeatedly adjusting the measuring position when the measurement is started; 〃 Figure 1 2 4 A shows the operation method of repeated or dynamic measurement of multiple processes based on previous or wrong attempts to predict parameters ; Figure 1 24B shows the operation method of repeated or dynamic measurement of multiple processes when displaying multiple types representing different process states; Figure 125 shows the use of actual measurement from the data selection type to generate the measurement formula. Method of operation Method of operation Figure 126A shows the operation of generating a measurement recipe to adjust the design rules. Figure 1 26B shows the operation method of using the measurement recipe and the design rules or specifications. Γ The operation method of using electropolymerization to produce the speculative formula of ⑶ and film thickness tools;

200405184 圖式簡單說明 第1 2 8 A圖係顯示提供迴授以調整電漿蝕刻配方或控制 設定之操作方法; 第128B圖係顯示提供迴授以調整CMP配方或控制設定 之操作方法; 第1 28C圖係顯示提供迴授以調整微影配方或控制設定 之操作方法; 第1 2 9圖係顯示製程整合; 第1 3 0圖係顯示製程整合之一實例; 第1 3 1圖係顯示整合之應用方法; Φ 至模型式預測之 第1 32圖係顯示伴隨整合之運算條件 .第1 3 3圖係顯示結合製程漂移與位移 應用方法; 第1 34圖係顯示促進設備與消耗品供應商與半導體設 計與製造使用者間之互動之應用方法; 第1 3 5圖係顯示比較設備或消耗品之應用方法; 第1 3 6 A圖係顯示預期淺溝槽隔離之結果; 第13 6 B圖係顯示因研磨所造成之隔離溝槽碟陷現象; 以及 第137圖係顯示STI製程流程之應用方法。 符號說明: 1、2、1 0、15、17〜銅;3、5〜CMP後預期之晶圓表 面; 4、9、1 3、24、29〜氧化層(或場氧化層);200405184 Brief description of the diagram. Figure 1 2 8 A shows the operation method that provides feedback to adjust the plasma etching recipe or control settings. Figure 128B shows the operation method that provides feedback to adjust the CMP recipe or control settings. Figure 28C shows how to provide feedback to adjust the lithographic formula or control settings. Figure 1 29 shows the process integration. Figure 130 shows an example of process integration. Figure 1 31 shows the integration. The application method of Φ to model-based prediction. Figure 1 32 shows the calculation conditions with integration. Figure 1 3 3 shows the application method combining process drift and displacement. Figure 1 34 shows the promotion equipment and consumable suppliers. Application method for interaction with semiconductor design and manufacturing users; Figure 1 3 5 shows the application method of comparing equipment or consumables; Figure 1 3 A shows the result of expected shallow trench isolation; Figure 13 6 B The figure shows the isolation trench dishing phenomenon caused by grinding; and Figure 137 shows the application method of the STI process flow. Explanation of symbols: 1, 2, 10, 15, 17 ~ copper; 3, 5 ~ was expected wafer surface after CMP; 4, 9, 1, 3, 24, 29 ~ oxide layer (or field oxide layer);

200405184 圖式簡單說明 6〜CMP後實際之晶圓表面; 7〜碟陷; 8〜侵餘; 11、16〜可虛設填入區域; 1 4〜金屬虛設填入; 1 8〜氧化虛設填入; 19、23、27、28 〜溝槽; 21、22〜電鍵層; 40、 42、46、47〜沈積銅厚度; 41、 48、1 2 0 7 2〜窄線寬上; 43、12086〜寬線寬; 4 4 ^乳化虛设柱, 45〜溝槽沈積厚度46等於厚度47 ; 50-63〜標的; 1 7 6〜氧化區中金屬虛設填入結構; 177、179、182、183、188 〜金屬線; 1 7 8、1 8 1〜金屬線中氧化物虛設填入結構; 1 8 4、1 8 7〜氧化區中非對稱金屬虛設填入結構; 1 2 0 1 0〜光源或雷射; 12 012〜光線、能量; 1 2 0 1 4〜聚光鏡片; 12016〜光罩; 1 2 0 1 8〜縮光鏡片; 1 2 0 2 0〜晶方;200405184 The diagram briefly illustrates the actual wafer surface after 6 ~ CMP; 7 ~ dish sinking; 8 ~ extravagance; 11, 16 ~ dummy filling area; 1 4 ~ metal dummy filling; 1 8 ~ oxidation dummy filling ; 19, 23, 27, 28 ~ trench; 21, 22 ~ key bond layer; 40, 42, 46, 47 ~ deposited copper thickness; 41, 48, 1 2 0 7 2 ~ narrow line width; 43, 12086 ~ Wide line width; 4 4 ^ emulsified dummy pillars, 45 to 46 trench thickness 46 equal to thickness 47; 50-63 to standard; 1 7 6 to dummy filled structures in the oxidation zone; 177, 179, 182, 183, 188 ~ metal wire; 1 7 8, 1 8 1 ~ dummy filling structure of oxide in metal wire; 1 8 4, 1 8 7 ~ asymmetric metal dummy filling structure in oxidation zone; 1 2 0 1 0 ~ light source or Laser; 12 012 ~ light and energy; 1 2 0 1 4 ~ condenser lens; 12016 ~ photomask; 1 2 0 1 8 ~ shrink lens; 1 2 0 2 0 ~ crystal cube;

1057-5667-PF(Nl).ptd 第257頁 200405184 圖式簡單說明 1 2 0 2 2〜晶圓; 1 2 0 2 4〜焦距; 1 2 0 2 6〜測試或對準鍵; 1 2 028〜聚焦深度(D0F ); 1 2 0 3 0〜晶片之表面變異; 1 2 0 3 2〜晶片上映射線寬不符合設計線寬之區域 1 2 1 0 2〜全面非平坦性; 1 2 1 0 4〜區域階梯高度; 12106〜低密度金屬線; 12108〜高密度金屬線; 12110〜凸起氧化層區域; 1 2 111〜矽; 12112、12120 〜二氧化矽; 1 2 11 4〜氮化矽侵蝕; 1 2 11 6〜邊角圓化; 1 2 11 8〜氧化層碟陷; 12122、121 26 〜銅; 1 2 1 2 4〜銅碟陷; i 12128〜介電質碟陷; 1 2 1 3 0〜銅殘餘; 1 2 1 3 2〜平坦化長度; 1 2 1 3 3〜下層特徵密度; 121 34〜凸起氧化層區域; 12135〜較低氧化層密度;1057-5667-PF (Nl) .ptd Page 257 200405184 Brief description of the drawing 1 2 0 2 2 ~ wafer; 1 2 0 2 4 ~ focal distance; 1 2 0 2 6 ~ test or alignment key; 1 2 028 ~ Focus Depth (D0F); 1 2 0 3 0 ~ Surface variation of the wafer; 1 2 0 3 2 ~ Area on the wafer where the line width does not match the design line width 1 2 1 0 2 ~ Full non-flatness; 1 2 1 0 4 ~ region step height; 12106 ~ low density metal line; 12108 ~ high density metal line; 12110 ~ protruded oxide layer area; 1 2 111 ~ silicon; 12112, 12120 ~ silicon dioxide; 1 2 11 4 ~ silicon nitride Erosion; 1 2 11 6 ~ corner rounding; 1 2 11 8 ~ oxide layer dish; 12122, 121 26 ~ copper; 1 2 1 2 4 ~ copper dish; i 12128 ~ dielectric dish; 1 2 1 3 0 ~ Residue of copper; 1 2 1 3 2 ~ Flattening length; 1 2 1 3 3 ~ Lower characteristic density; 121 34 ~ Raised oxide layer area; 12135 ~ Lower oxide layer density;

1057-5667-PF(Nl).ptd 第258頁 200405184 圖式簡單說明 1 30 5 0〜金屬2 ; 1 3 0 5 1〜插塞1 ; 1 30 52〜金屬1 ; 1 30 54 〜ILD; 1 3 0 5 5〜矽晶圓; 1 3 1 0 0〜改變線寬與線間距; 1 3 1 1 0〜具改變線寬與線間距之線陣列; 13124、13210 〜金屬層 1 ; 13126〜金屬層2 ; 1 3 2 0 0〜改變陣列尺寸結構; 1 3 2 11〜大型陣列結構; 1 3 2 5 0〜改變狹縫結構; 1 329 9 〜ILD 層; 1 3 3 0 0〜重疊線寬與線間距; 1 3 4 0 0〜固定尺寸/空間插塞陣列; 1 340 1〜重疊線寬與線間距; 13410〜插塞層1 ; 1 3 4 1 2〜大型插塞陣列; 13500〜固定尺寸/空間插塞鏈; 1 3 5 0 1〜重疊線寬與線間距; 1 420 0、1 420 1 〜晶圓; 1 4208〜晶圓表面A ; 1 4209〜晶圓表面B ; 1 4 2 1 0〜晶圓層級變異;1057-5667-PF (Nl) .ptd Page 258 200405184 Brief description of the drawing 1 30 5 0 ~ Metal 2; 1 3 0 5 1 ~ Plug 1; 1 30 52 ~ Metal 1; 1 30 54 ~ ILD; 1 3 0 5 5 ~ silicon wafer; 1 3 1 0 0 ~ change line width and line spacing; 1 3 1 1 0 ~ line array with change line width and line spacing; 13124, 13210 ~ metal layer 1; 13126 ~ metal Layer 2; 1 3 2 0 0 ~ change the array size structure; 1 3 2 11 ~ large array structure; 1 3 2 5 0 ~ change the slit structure; 1 329 9 ~ ILD layer; 1 3 3 0 0 ~ overlapping line width And line spacing; 1 3 4 0 0 ~ fixed size / space plug array; 1 340 1 ~ overlapping line width and line spacing; 13410 ~ plug layer 1; 1 3 4 1 2 ~ large plug array; 13500 ~ fixed Dimension / space plug chain; 1 3 5 0 1 ~ overlapping line width and line spacing; 1 4 2 0 0, 1 4 20 1 ~ wafer; 1 4208 ~ wafer surface A; 1 4209 ~ wafer surface B; 1 4 2 1 0 ~ wafer level variation;

1057-5667-PF(Nl).ptd 第259頁 200405184 圖式簡單說明 14212、142 14、1 42 22〜對準或測試光罩; 1 4 2 1 6〜調整; 14218、1 42 2 1 〜焦距(f ); 14220、14223〜具1C圖案之光罩; 1 4 224〜晶片(或晶方)層級變異; 1 4 226〜D0F限制; 1 4 228〜特徵超出CD變異規格; 1 6 0 0 3〜全面非平坦性; 1 6 0 0 4〜區域階梯高度; 1 6 5 0 1〜低密度金屬線; 1 6 5 0 2〜高密度金屬線; 16503〜凸起氧化層區域; 16005、16960 〜石夕; 16001、16010、16006、16017、16018、16021 〜二氧 化矽; 1 6 0 0 7〜氮化矽侵蝕; 1 6 0 0 8〜邊角圓化; 16009〜氧化層碟陷; 16011、16012 〜銅; 16013〜銅碟陷; 16014〜介電質碟陷; 1 6 0 1 5〜銅殘餘; 1 6 0 1 9〜銅殘餘導致突起或短路; 1 6 5 2 1〜平坦化長度;1057-5667-PF (Nl) .ptd Page 259 200405184 Brief description of the drawings 14212, 142 14, 1 42 22 ~ Align or test the photomask; 1 4 2 1 6 ~ adjustment; 14218, 1 42 2 1 ~ focal length (F); 14220, 14223 ~ photomask with 1C pattern; 1 4 224 ~ wafer (or crystal) level variation; 1 4 226 ~ D0F limit; 1 4 228 ~ feature exceeds CD variation specifications; 1 6 0 0 3 ~ Comprehensive non-flatness; 16 0 4 ~ area step height; 16 50 0 1 ~ low density metal wire; 16 50 2 ~ high density metal wire; 16503 ~ raised oxide layer area; 16005, 16960 ~ Shi Xi; 16001, 16010, 16006, 16017, 16018, 16021 ~ silicon dioxide; 16 0 7 ~ silicon nitride erosion; 16 0 8 ~ corner rounding; 16009 ~ oxide layer dishing; 16011, 16012 ~ copper; 16013 ~ copper dish sink; 16014 ~ dielectric dish sink; 1 6 0 1 5 ~ copper remnant; 1 6 0 1 9 ~ copper remnant caused protrusion or short circuit; 1 6 5 2 1 ~ flattened length;

1057-5667-PF(Nl).ptd 第260頁 200405184 圖式簡單說明 1 6 522〜下層特徵密度=50% ; 16523〜凸起氧化層區域,密度>50% ; 1 6 524〜較低氧化層密度<50% ; 1 6 0 9 5〜金屬線1 ; 1 6 0 96〜金屬線2 ; 1 6 11 2、1 6 1 1 6 〜晶圓; 1 6 11 3〜晶方; 16962、16964〜氧化石夕; 16966〜碟陷。1057-5667-PF (Nl) .ptd Page 260 200405184 Brief description of the drawing 1 6 522 ~ Characteristic density of the lower layer = 50%; 16523 ~ Area of raised oxide layer, density >50%; 1 6 524 ~ Lower oxidation Layer Density <50%; 16 0 9 5 ~ Metal Wire 1; 16 0 96 ~ Metal Wire 2; 1 6 11 2, 1 6 1 1 6 ~ Wafer; 1 6 11 3 ~ Cube Cube; 16962, 16964 ~ Oxidite; 16966 ~ Dish sinking.

1057-5667-PF(Nl).ptd 第261頁1057-5667-PF (Nl) .ptd Page 261

Claims (1)

200405184 六、申請專利範圍 1 · 一種方法,包括: 根據一化學機械研磨製程之電性影響分析與一圖案附 屬模型,於該化學機械研磨製程中產生虛設填入配置之一 策略;以及 利用該圖案附屬模型與該電性影響分析以評估置入該 虛設填入之預期結果; 該模型與該電性影響分析之利用係包含於產生虛設填 入配置之該策略中。 2 · —種方法’包括: 根據一化學機械研磨製程之電性影響分析與一圖案附 屬模型,於該化學機械研磨製程中產生虛設填入配置之一 策略;以及 利用該圖案附屬模型與該電性影響分析以評估置入該 虛設填入之預期結果; 該所產生之策略所適用之製造製程包含一氧化化學機 械研磨製程以外之製造製程。 3. —種方法’包括· 根據一化學機械研磨製程之一圖案附屬模型,於該化 學機械研磨製程中產生虛設填入配置之一策略;以及 利用該圖案附屬模蜇以評估置入該虛設填入之預期結 果; 該所產生之策略所適用之製造製程包含一或多個製造 階段。 4 · 一種方法,包栝:200405184 VI. Scope of Patent Application1. A method including: generating a dummy filling configuration strategy in the chemical mechanical polishing process based on an electrical impact analysis of a chemical mechanical polishing process and a pattern attachment model; and using the pattern Ancillary models and the electrical impact analysis to evaluate the expected results of placing the dummy fill; the use of the model and the electrical impact analysis is included in the strategy for generating dummy fill configurations. 2 · A method 'includes: a strategy for generating a dummy filling configuration in the chemical mechanical polishing process according to an electrical impact analysis of a chemical mechanical polishing process and a pattern auxiliary model; and using the pattern auxiliary model and the electrical process Sexual impact analysis to evaluate the expected results of placing the dummy filling; the manufacturing process to which the generated strategy applies includes manufacturing processes other than the chemical mechanical polishing process. 3. A method 'includes a strategy of generating a dummy filling configuration in the chemical mechanical polishing process according to a pattern auxiliary model of a chemical mechanical polishing process; and using the pattern auxiliary mold to evaluate the placement of the dummy filling Expected results of investment; The manufacturing process to which the generated strategy applies includes one or more manufacturing stages. 4 · One method, burden: II 1057-5667-PF(Nl).ptd 第262頁 200405184 六、申請專利範圍 根據一化學機械研磨製程之一圖案附屬模型’於該化 學機械研磨製程中產生虛設填入配置之一策略;以及 利用該圖案附屬模型以評估置入該虚設填入之預期結 果; 該所產生之策略所適用之製造製程包含一研磨或平坦 化製程,其中可移除一種以上的材料。 5 ·如申請專利範圍第1、2或3項所述之方法,其中還 包括: 操作一伺服器,為一半導體設計提供產生虛設填入之 功能,以及 一使用者可經由一網路澍覽器於〆客戶端(c 1 i en t ) 開發該虛設填入配置之策略。 6 ·如申請專利範圍第5項所述之方法’其中該伺服器 位於該使用者附近。 7 ·如申請專利範圍第6項所述之方法’其中該使用者 可遠端控制該伺服器。 8 ·如申請專利範圍第1、2或3項所述之方法’其中還 包括: 分析已應用該虛設填入策略之一設計’ 根據該分析调整該设计, 重複該分析與該調整步驟;以及 確認根據該已調整設計戶斤製造之/積體電路符合複數 褚設之物理輿電性參數。1057-5667-PF (Nl) .ptd Page 262 200405184 VI. Patent application scope According to a pattern attached model of a chemical mechanical polishing process' create a dummy filling configuration in the chemical mechanical polishing process; and use the strategy The auxiliary model of the pattern is used to evaluate the expected result of placing the dummy filling. The manufacturing process to which the generated strategy is applicable includes a grinding or planarization process in which more than one material can be removed. 5 · The method as described in item 1, 2, or 3 of the scope of patent application, further comprising: operating a server, providing a function for generating a dummy entry for a semiconductor design, and a user viewing through a network The server develops the strategy of the dummy filling configuration on the client (c 1 i en t). 6. The method according to item 5 of the scope of patent application, wherein the server is located near the user. 7 The method according to item 6 of the scope of patent application, wherein the user can remotely control the server. 8 · The method described in item 1, 2 or 3 of the scope of patent application 'which further includes: analyzing a design to which the dummy filling strategy has been applied' adjusting the design based on the analysis, repeating the analysis and the adjustment steps; and It is confirmed that the manufactured / integrated circuit according to the adjusted design meets the physical electrical parameters of the plurality of devices. 1057-5667-PF(Nl).ptd 第263頁 200405184 六、申請專利範圍 -一 包括兩組或更多組製程。 I 0 ·如申請專利範圍第3項所述之方法,其中該兩階段 包括在單一製程中兩組或更多組步驟。 II ·如申請專利範圍第3項所述之方法’其中該兩階段 包括沈積與化學氣象沈積。 1 2 ·如申請專利範圍第g項所述之方法’其中該策略之 產生包括產生複數組虛設填入之規則。 1 3 ·如申請專利範圍第1、2、3或4項所述之方法,其 中還包括: 定義用以虛設填入之一組複數分級單元配置 (hierarchical ceU placements);以及 利用該等分級單元配置加入虚設填入以減少一電子佈 局檔案之大小。 1 4 ·如申請專利範圍第1、2、3或4項所述之方法’其 中一使用者係經由一網路丨劉覽器與一網路祠服恭執行。亥虚 設填入產生作業。 -I , 甘Φ該祠服 1 5 .如申請專利範圍第1 4項所述之方法,/、中 器位於該使用者附近。 中該使用 1 6 .如申請專利範圍第1 4項所述之方法,”&quot; 者可遠端控制該伺服器。 法,其 1 7 ·如申請專利範圍第1、2、3或4項所述之方 中該製程包括溝槽製程(damascene process)方法,其1057-5667-PF (Nl) .ptd Page 263 200405184 6. Scope of Patent Application-1 Including two or more sets of processes. I 0 · The method as described in item 3 of the patent application scope, wherein the two stages include two or more sets of steps in a single process. II. The method according to item 3 of the scope of the patent application, wherein the two stages include deposition and chemical meteorological deposition. 1 2 · The method as described in item g of the scope of patent application ', wherein the generation of the strategy includes the rule of generating a complex array of dummy entries. 1 3 · The method described in item 1, 2, 3, or 4 of the scope of patent application, further comprising: defining a group of plural gradation units for dummy filling (hierarchical ceU placements); The configuration adds a dummy fill to reduce the size of an electronic layout file. 1 4 · The method described in item 1, 2, 3, or 4 of the scope of patent application ', wherein a user executes the service via a network, Liu Lan, and a network temple. Haixu assumes filling in and generating operations. -I, Gan Φ The temple suit 1 5. According to the method described in item 14 of the scope of patent application, the middleware is located near the user. 16 should be used. The method described in item 14 of the scope of patent application, "&quot; can remotely control the server. Method, which 1 7 · If the scope of patent application is 1, 2, 3 or 4 In the method, the process includes a trench process (damascene process) method. l〇57-5667-PF(Nl).ptd 18·如申請專利範圍第1、2、3或4項所述之寸與配 中該配置虛設填入之策略包括決定虚設填入之 ’〇57-5667-PF (Nl) .ptd 18 · As described in the patent application scope No. 1, 2, 3 or 4 in the position and configuration of the configuration of the dummy filling strategy includes determining the dummy filling ’ 第264頁 200405184 六、申請專利範圍 置 述之方法,其 1 9.如申請專利範圍第丨、2、3或4項所孤〜 中該製造製程包括形成一具低介電值之介電間層 rinterlayer ) 〇 20 ·如,申請專利範圍第3項所述之方法,其中該製造製 程包括化學氣相沈積或旋塗(spin—on)兵低介電值之介 電材質。 21·如申請專利範圍第丨、2、3或4項所述之方法,其 中該虛設填入策略之產生包括將一半導體設計分割成複數 格(grids ) ° 其中該虛設 -該等格中區 其中該虛設 22 ·如申請專利範圍第2 1項所述之方法 填入策略之產生包括擷取一半導體設計之每 域圖案之密度 巧·如申請專利範圍第2 1項所述之方法穴τ成^又 填入策略之產生包括擷取一半導體設計之每一該等格中區 域線寬。 .如申睛專利範圍第2丨項所述之方法,其中該虛設 填入策略之產生包括擷取一半導體設計之每一該等格中區 域線間距。 25·如申請專利範圍第21項所述之方法,其中該虛設 填入策略之產生包括計算每一格之一有效圖案密度。 2 6 ·如申請專利範圍第2 2項所述之方法,其中亦包括 利用複數模型對應產生虛設填入策略之一半導體設計,計 算出薄膜厚度之非平坦度(n on-uniformityPage 264 200405184 VI. The method of patent application scope description, 1 9. As described in the patent application scope No. 丨, 2, 3 or 4, the manufacturing process includes forming a dielectric chamber with a low dielectric value. (Layer rinterlayer) 〇20 · The method described in item 3 of the scope of patent application, wherein the manufacturing process includes chemical vapor deposition or spin-on dielectric materials with a low dielectric value. 21 · The method as described in claims 1, 2, 3, or 4 of the scope of patent application, wherein the generation of the dummy filling strategy includes dividing a semiconductor design into a plurality of grids. Among them, the dummy 22 · The generation of the method filling strategy as described in item 21 of the patent application scope includes the density of each domain pattern of a semiconductor design. · The method hole as described in the item 21 scope of patent application The generation of the fill-in strategy includes capturing the line width of the area in each grid of a semiconductor design. The method as described in item 2 of the Shenjing patent scope, wherein the generation of the dummy filling strategy includes extracting the area line spacing in each of the cells of a semiconductor design. 25. The method as described in item 21 of the scope of patent application, wherein the generation of the dummy filling strategy includes calculating an effective pattern density for each cell. 2 6 · The method described in item 22 of the scope of patent application, which also includes the use of a complex model to generate a dummy filling strategy for a semiconductor design, and calculate the non-uniformity of the film thickness. 1057-5667-PF(Nl).ptd 第265頁 200405184 六、申請專利範圍 2 7 ·如申請專利範圍第2 6項戶斤述之方法,其中亦包括 計算薄膜厚度之變異。 28 ·如申請專利範圍第2丨項所述之方法,其中亦包括 取得每一該等格中所有物件之座梯。 2 9 ·如申請專利範圍第2 8項所述之方法’其中亦包括 對應每一該等物件產生至少一組線寬、線間距、長度、以 及接合區塊(bounding Box)。 3 0 ·如申請專利範圍第2 1項戶斤述之方法’其中5亥虛设 填入策略包括於每一該等格之複數空區areas) 加入虛設填入。 31·如申請專利範圍第28項所述之方法,其中該虛設 填入包括於複數物件中之複數溝槽(slots ) ° 32·如申請專利範圍第30項所述之方法,其中包括在 加入虛設填入後重新計算一區域密度。 33 ·如申請專利範圍第3 〇項所述之方法’其中亦包&gt;括 在加入虛設填入後重新計算每一該等格中有效圖案雄、 产 〇1057-5667-PF (Nl) .ptd Page 265 200405184 6. Scope of Patent Application 27 • If the method described in item 26 of the patent application scope is included, it also includes calculating the variation in film thickness. 28. The method described in item 2 of the scope of patent application, which also includes obtaining the ladders of all the objects in each of the cells. 2 9 · The method described in item 28 of the scope of patent application, which also includes generating at least one set of line width, line spacing, length, and bounding box for each of these objects. 3 0 · If the method described in item 21 of the scope of patent application ’is used,‘ where 5 Hai ’s dummy fill strategy includes multiple empty areas in each of these grids. 31. The method as described in item 28 of the scope of patent application, wherein the dummy fills in plural slots included in a plurality of objects ° 32. The method as described in item 30 of the scope of patent application, including Recalculate an area density after filling in the dummy. 33. The method described in item 30 of the scope of the patent application, which also includes &gt; including recalculation of the effective pattern male and female in each grid after adding dummy filling. 34·如申請專利範圍第1、2、3或4項所速艇之方法’其㈣ 中該虛設填入策略係根據下述規則中裏少〆“多 炎 異公差(tolerance) ·•電容值與電卩且值/ ^ # μ #流損耗、介電常 輸出延遲、偏態(skew )、壓降、驅動策 數、或串擾雜訊(crosstalk noise) ° 甘械 3 5 ·如申請專利範圍第3 3項所述之方法^ ’产〃。糸艮 一研磨製程平坦化長度計算出該有效圖案密又34. The method of speedboat as described in the scope of application for patent No. 1, 2, 3 or 4 'wherein the dummy filling strategy is based on the following rules: "tolerance · capacitance And the value of the voltage / ^ # μ #Current loss, dielectric constant output delay, skew, voltage drop, driving strategy, or crosstalk noise The method described in item 3 3 ^ 'Production. The effective pattern density is calculated by the flattening length of a grinding process. 1057-5667-PF(Nl).ptd 第266頁 200405184 六、申請專利範圍 3 6 ·如申請專利範圍第3 3項所述之方法,其中係根據 橢圓形加權窗(elliptically weighted window)或其他 濾波器計算出該有效圖案密度。 3 7 ·如申請專利範圍第1 2項所述之方法,其中根據電 子设计方針之複數虛設填入規則隨技術或複數設計參數而 動態地產生。 3 8 ·如申請專利範圍第3 5項所述之方法,其中一有效 圖案雄、度係動態地隨一製程平坦化長度而改變。 3 9 ·如申請專利範圍第1、2、3或4項所述之方法’其 中該製造製程包括微影製程。 40·如申請專利範圍第1、2、3或4項所述之方法,其 中該製造製程包括電化學式沈積。 41.如申請專利範圍第1、2、3或4項所述之方法,其 中該製造製程包括銅化學機械式斫磨。 4 2 ·如申請專利範圍第丨、2、3或4項所述之方法,其 中亦包括自一半導體佈局中擷取出複數圖案附屬。 4 3 ·如申請專利範園第4 2項所述之方法,其中該等佈 局附屬包括對應線間距、線寬^、成線密度。 44 ·如申請專利範圍第i、2、3或4項所述之方法,其 中亦包括: 利用已圖案化之蜊試晶圓或測試複數半導體裝置之方 法,對應一預選工具或製程配方以校準一圖案附屬模型; 以及 根據一半導體製程之一圖案附屬模型產生製程中配置1057-5667-PF (Nl) .ptd Page 266 200405184 VI. Patent Application Range 3 6 · The method as described in Item 33 of the Patent Application Range, which is based on elliptically weighted window or other filtering The calculator calculates the effective pattern density. 37. The method as described in item 12 of the scope of patent application, wherein the plural dummy filling rules according to the electronic design policy are dynamically generated with technical or plural design parameters. 38. The method as described in item 35 of the scope of patent application, wherein an effective pattern male degree and degree dynamically change with the flattening length of a process. 39. The method according to item 1, 2, 3, or 4 of the scope of patent application, wherein the manufacturing process includes a lithography process. 40. The method as set forth in claim 1, 2, 3, or 4, wherein the manufacturing process includes electrochemical deposition. 41. The method as set forth in claim 1, 2, 3, or 4, wherein the manufacturing process includes copper chemical mechanical honing. 4 2 · The method described in the scope of patent application No. 丨, 2, 3 or 4, which also includes extracting a plurality of pattern attachments from a semiconductor layout. 4 3 · The method as described in item 42 of the patent application park, wherein the layout attachments include corresponding line spacing, line width ^, and line density. 44 · The method described in item i, 2, 3 or 4 of the scope of patent application, which also includes: using a patterned clam test wafer or testing multiple semiconductor devices, corresponding to a pre-selected tool or process recipe for calibration A pattern auxiliary model; and a configuration in a process of generating a pattern auxiliary model according to a semiconductor process 1057-5667-PF(Nl).ptd 第267頁 200405184 六、申請專利範圍 虛設填入之該策略。 # 4 5 ·如申請專利範圍第1、2、3或4項所述之方’套’、 中亦包括: P 利用一已校準之圖案附屬模型以比對圖案附,,彳、薄 (features)與複數晶圓階段參數,如最終薄膜厚二 膜厚度變異、碟陷、侵蝕,以及複數電性參數,如^指 阻值、電阻值、電容值、串擾雜訊、壓降、驅動電/;,L 、 耗、介電常數、以及有效介電常數;以及 *兮策 根據該圖案附屬模型產生製程中配置虡設填入 人 略0 4 6 ·如申請專利範圍第1、2、3或4項所述之方法’、 中亦包括: 根據該圖案附屬模型產生製程中配置虡設填入之该策 略;以及 利用一成本函數(cost function )評估在晶圓階段 之製私與電性參數變異而施行虛設填入調整所造成之影 響。 4 7 ·如申請專利範圍第1、2、3或4項所述之方法’其 中亦包括: 根據結合多組圖案附屬模型產生於〆製程中配置虛設 填入之一策略;以及 預測依該策略產生之該虛設填入對製糕變異之影響。 4 8 ·如申請專利範圍第1、2、3或4項所述之方法’其 中亦包括根據結合多組圖案附屬模型產生於一製程中配置1057-5667-PF (Nl) .ptd Page 267 200405184 VI. Scope of Patent Application This strategy is filled in by default. # 4 5 · As described in the scope of the patent application for item 1, 2, 3, or 4, it also includes: P Use a calibrated attached model of the pattern to compare the pattern attached, 彳, thin (features ) And multiple wafer stage parameters, such as final film thickness, film thickness variation, dishing, erosion, and multiple electrical parameters, such as resistance, resistance, capacitance, crosstalk noise, voltage drop, drive power / ;, L, power dissipation, dielectric constant, and effective dielectric constant; and * Si strategy to configure the settings in the manufacturing process based on the auxiliary model of the pattern, fill in the details 0 4 6 · If the scope of patent applications 1, 2, 3 or The method described in item 4 also includes: the strategy filled in by the configuration settings in the production process according to the pattern attachment model; and the use of a cost function to evaluate the manufacturing and electrical parameters at the wafer stage The effect of mutation and implementation of dummy filling adjustment. 4 7 · The method described in item 1, 2, 3, or 4 of the scope of the patent application, which also includes: generating a strategy based on the combination of multiple sets of pattern sub-models generated in the manufacturing process to configure dummy filling; and predicting The effect of this dummy filling by strategy on cake making variation. 4 8 · The method described in the scope of patent application No. 1, 2, 3, or 4 ’, which also includes generating in a process according to the combination of multiple sets of pattern auxiliary models 1057-5667-PF(Nl).ptd 第268頁 200405184 六、申請專利範圍 曰 皆段與電性參 虛設填入之一策略,最佳化整體晶片之晶 數。 、斤述之方法,其 49·如申請專利範圍第1、2、3或\項^戶與電性參數,產 中亦包括根據已預測或已模擬之晶圓階^二製程中虛設填 生複數虛設填入規則,並用於一爭導體製瓜 入之配置。 ,七+,其中該等虛 5 0 ·如申請專利範圍第4 9項所述之方/ e又填入規則包括虛設填入之尺寸。 真中該等产 5 1 ·如申請專利範圍第4 9項所述之方法 設填入規則包括虛設填入之配置。 、,其中該等虛 52 ·如申請專利範圍第4 9項所述之方法/理。/业 設填入規則包括虛設填入分級單元之形成與卢 53.如申請專利範圍第丨、2、3或4項所述之法,其 中亦包括: ^ 提供複數虛設填入功能以產生該虛設填入策略,以及 利用該等功能自動地調整一半導體裝置之複數GDS電 子佈局檔案。 54·如申請專利範圍第1、2、3或4項所述之方法’其 中亦包括: 在一網路伺服器上接收自一客戶端傳至之一半導體裝 置之一佈局檔案; 在該伺服器產生該佈局檔案之複數虚設填入調整;以 及 將該已調整虛設填入之佈局檔案傳回該客戶端。1057-5667-PF (Nl) .ptd Page 268 200405184 VI. Scope of patent application: One of the strategies of filling both segments and electrical parameters to optimize the crystal number of the overall wafer. The method described above, 49. If the scope of patent application is 1, 2, 3 or \ ^^ household and electrical parameters, the production also includes based on the predicted or simulated wafer level ^ dummy process in the second process A plurality of dummy filling rules are used for the configuration of a competing conductor system. , 7+, where the dummy 5 0 · As stated in item 49 of the scope of the patent application / e-filling rules include dummy filling dimensions. The real products 5 1 · The method as described in item 49 of the scope of patent application. The filling rules include the configuration of dummy filling. , Of which the false 52 · The method / reason described in item 49 of the scope of patent application. / Filling rules include the formation of dummy filling grade units and methods. 53. The method described in item 丨, 2, 3, or 4 of the scope of patent application, which also includes: ^ Provide multiple dummy filling functions to generate the A dummy fill strategy and the use of these functions to automatically adjust a plurality of GDS electronic layout files of a semiconductor device. 54. The method described in claim 1, 2, 3, or 4 of the method, which also includes: receiving a layout file transmitted from a client to a semiconductor device on a network server; The device generates a plurality of dummy fill-in adjustments for the layout file; and returns the adjusted dummy fill-in layout files to the client. 1057-5667-PF(Nl).ptd 第269買 200405184 六、申請專利範圍 5 5 ·如申請專利範圍第1、2、3或4項所述之方法,其 中亦包括: 在該伺服器上提供一服務,使一使用者可與在該伺服 器上執行之一虛設填入應用進行立動式之設定 (configure);以及 該使用者可利用該虛設填入應用產生虛設填入資訊。 5 6.如申請專利範圍第1、2、3或4項所述之方法,其 中亦包括: 一使用者可於一網路上確認對應一半導體設計與一製 造製程之虛設填入資訊。 5 7 ·如申請專利範圍第5 6項所述之方法’其中該被確 認之虛設填入資訊至少包括一虚設填入圖案、一虛設填入 策略、或一虛設填入表現其中之^ ° 5 8 ·如申請專利範圍第5 6項所述之方法’其中被確認 之虛設填入資訊係對應該半導體設計之一單獨内連線層。 5 9 ·如申請專利範圍第5 6項所述之方法’其中被確認 之虛設填入資訊係對應該半導體設計之複數單獨内連線 層。 6 0 ·如申請專利範圍第5 6項所述之方,法’其中亦包括 比對複數虛設填入標的之尺寸,據為$半導體設計之單一 或複數内連線層產生該等標的之,虡設填入圖案。 6 1 ·如申請專利範圍第5 6項所述之方法’其中該虛設 填入資訊包括複數虛設填入規則。 、 6 2 ·如申請專利範圍第6 0項所述之方法’其中該圖案1057-5667-PF (Nl) .ptd Buy 269,200,405,184 6. Apply for a patent scope 5 5 · The method described in item 1, 2, 3 or 4 of the patent scope, which also includes: Provided on the server A service that enables a user to perform a vertical configuration with a dummy fill application executing on the server; and the user can use the dummy fill application to generate dummy fill information. 5 6. The method described in item 1, 2, 3, or 4 of the scope of patent application, which also includes: A user can confirm on a network the dummy filling information corresponding to a semiconductor design and a manufacturing process. 5 7 · The method described in item 56 of the scope of patent application ', wherein the confirmed dummy filling information includes at least a dummy filling pattern, a dummy filling strategy, or a dummy filling performance ^ ° 5 8 · The method described in item 56 of the scope of patent application 'wherein the confirmed dummy filling information is a separate interconnect layer corresponding to one of the semiconductor designs. 5 9 · The method described in item 56 of the scope of patent application ', wherein the confirmed dummy filling information is a plurality of separate interconnecting layers corresponding to the semiconductor design. 60 · As described in Item 56 of the scope of the patent application, the method 'also includes comparing the dimensions of a plurality of dummy filling in the subject, which is based on the single or plural interconnecting layers of the semiconductor design. Set the fill pattern. 6 1 · The method described in item 56 of the scope of patent application ', wherein the dummy filling information includes plural dummy filling rules. , 6 2 · The method as described in item 60 of the scope of patent application, wherein the pattern —I —1 1057-5667-PF(Nl).ptd 第270頁 200405184 六、申請專利範圍 包括複數氧化或金屬虛設填入標的。、f φ # + 63 ·如申請專利範圍第6 0項所述之方法’壤膜晟&quot;业設 填入圖案之該等標的係配置以最小化全晶片4 、旱度之變 異。 ▲ 64 ·如申請專利範圍第6 〇項所述之方法’其中為虛設 填入圖案之該等標的係配置以最小化全晶片;7電性參 數之變異。 6 5 ·如申請專利範圍第6 4項所述之方法,其中4等電 性參數至少包括薄膜電阻值、電卩旦值、電容值、串擾雜 訊、壓降、•驅動電流損耗、介電常數、以及有效;丨電吊數 其中之一。 η η I rfa ^ 夕古决,其中該GDS槽 6 6 ·如申睛專利範圍第5 3項所述之方次 ^ 案係被調整以改進該半導體裝置之平坦性與電丨生效月匕u 6 7 .如申請專利範圍第6 6項所述之方法,其中該裝程 包括溝槽製程流程。 68·如申請專利範圍第1、2、3或4項所述之方法’其 中亦包括: 一使用者可使用一網路上含複數網路服務之一網f應 用,確認對應一半導體設計與/製造製程之虛設填入資 訊。 6 9.如申請專利範圍第1、2、3或4項所述之方法’其 中該虛設填入配置策略包括利用複數虛設填入標的改善低 介電電常數之介電結構之結構積體性(structure integrity)。—I —1 1057-5667-PF (Nl) .ptd Page 270 200405184 6. Scope of patent application Including multiple oxidation or metal dummy filling in the subject. , F φ # + 63 · The method described in item 60 of the scope of the patent application, ‘Copper Film’, is used to fill in the pattern of the target system to minimize the variation of the full chip4 and drought. ▲ 64. The method as described in item 60 of the scope of the patent application, wherein the target system configuration is filled with dummy patterns to minimize the whole chip; 7 the variation of electrical parameters. 6 5 · The method as described in item 64 of the scope of patent application, in which the fourth-level electrical parameters include at least the film resistance value, electrical densities, capacitance values, crosstalk noise, voltage drop, driving current loss, dielectric Constant and valid; 丨 one of the number of electric cranes. η η I rfa ^ Xigu Jie, in which the GDS slot 6 6 · The formula as described in item 53 of the patent application scope is adjusted to improve the flatness and electrical performance of the semiconductor device. 67. The method according to item 66 of the scope of patent application, wherein the loading process includes a trench manufacturing process. 68 · The method described in the scope of patent application No. 1, 2, 3, or 4 ', which also includes: A user can use a network f application containing a plurality of network services on a network to confirm that a semiconductor design and / Fill in information for the manufacturing process. 6 9. The method according to item 1, 2, 3, or 4 of the scope of the patent application, wherein the dummy filling configuration strategy includes using a plurality of dummy filling targets to improve the structural integrity of the dielectric structure with a low dielectric constant ( structure integrity). 1057-5667-PF(Nl).ptd 第271頁 200405184 六、申請專利範圍 70. 如申請專利範圍第1 中該虛設填入配置策略包括利用複^ ^設填入 改善具低介電電常數之介電結構之介$常數。 71. 如申請專利範圍第60或7〇項所述之方法 。 一溝槽製程流程之所有步驟中維持該有效介電常數其肀 72. 如申請專利範圍1、2、3或;項所述之方法旅數處 該虛設填入配置策略包括於一溝槽製程流稃中使。 設填入標的以促成複數低介電係數介電材料之積' 方法,其 或4項所述1票的維持戒 其 中在 方法 其 7 3 ·如申請專利範圍第1、2、3或4項戶斤述之 中亦包括: &gt; ; 維持一半導體虛設填入資訊之資料庫(;以 連結該資料庫並用以產生複數虛設填入齡置 及 改變虛設填入資訊以更新該資料庫 、3或4項所述i 方法 其 7 4 ·如申請專利範圍第1、 中亦包括: β 依據至少下列之一儲存校準資訊:複數象表 數配方、以及複數流程;以及 $等齡方 更新該校準資訊以反應該等製程工具、該 該等流程之變化。 工具 複 或 、,&gt;中亦包拉 7 5 ·如申請專利範圍第7 4項所述之方法 利用該校準資訊產生一虛設填入策略。、,六, 7 6 ·如申請專利範圍第7 4項所述之&amp;方/套/選擇出複數 根據欲得之複數虛設填入特性之校準資料 其 其中亦包拉1057-5667-PF (Nl) .ptd Page 271 200405184 VI. Application for Patent Scope 70. For the patent application scope No. 1, the dummy fill configuration strategy includes the use of complex ^ ^ settings to improve the dielectric with low dielectric constant. The dielectric constant of the electrical structure. 71. The method as described in the patent application scope No. 60 or 70. The effective dielectric constant is maintained in all steps of a trench manufacturing process, which is 如 72. As described in the patent application scope 1, 2, 3 or; the method described in the above paragraphs. The dummy fill configuration strategy is included in a trench manufacturing process. Stream in the make. The method of filling in the target to promote the product of a plurality of low-dielectric-constant dielectric materials is set, which is maintained by one of the four items mentioned above, or the method of which is 7 3. As in the scope of patent application No. 1, 2, 3 or 4 The household description also includes: &gt;; maintaining a database of semiconductor dummy filling information (; linking the database and used to generate multiple dummy filling ages and changing dummy filling information to update the database, 3 Or the method described in item 4 above 7 4 · If included in the scope of patent application No. 1, it also includes: β stores calibration information according to at least one of the following: a complex number table formula, and a complex process; and the equal age square updates the calibration The information reflects these process tools and the changes in these processes. Tool re-or, &gt; Zhong Ye Bao La 7 5 · The method described in the scope of patent application No. 74 uses the calibration information to generate a dummy fill Strategy., 6, 6, 7 · As mentioned in item 74 of the scope of the patent application, & square / set / select multiple to fill in the calibration data of the characteristic according to the desired complex number, which is also included. 1057-5667-PF(Nl).ptd 第272貢 200405184 六、申請專利範圍 製程工具、複數配方、以及複數流程。 77. 如申請專利範圍第1、2、3或4項所述之方法,其 中亦包括: 一使用者可透過一使用者介面裝置之一使用者介面, 利用一單擊(s i n g 1 e c 1 i c k )操作取得一半導體設計所需 之一虛設填入策略。 78. 如申請專利範圍第1、2、3或4項所述之方法,其 中亦包括: 一使用者可於該網路上利用複數網路服務取得一半導 體設計所需之一虛設填入策略。 7 9 . —種方法,包括下列步驟: 產生配置虛設填入之一策略,用以補償在一電化學沈 積或電化學機械沈積製造製程中之複數圖案附屬。 8 0 . —種方法,包括下列步驟: 根據一電化學沈積或電化學機械沈積製造製程之電性 影響分析與一圖案附屬模型,產生配置虛設填入之一策 略; 利用該圖案附屬模型與該電性影響分析,評估被配置 之虛設填入之該等預期結果;以及 將該圖案附屬模型與該電性影響分析之使用加入成為 產生該虛設填入配置策略之一部分。 8 1 . —種方法,包括下列步驟: 根據一電化學沈積或電化學機械沈積製造製程之電性 影響分析與一圖案附屬模型,產生配置虛設填入之一策1057-5667-PF (Nl) .ptd No. 272 Tribute 200405184 VI. Scope of patent application Process tools, multiple recipes, and multiple processes. 77. The method described in item 1, 2, 3, or 4 of the scope of patent application, which also includes: A user can use a user interface of a user interface device to use a single click (sing 1 ec 1 ick ) Operation to obtain a dummy fill strategy required for a semiconductor design. 78. The method described in item 1, 2, 3, or 4 of the scope of patent application, which also includes: A user can use a plurality of network services on the network to obtain one of the dummy filling strategies required by the semiconductor design. 7 9. A method comprising the following steps: A strategy for generating a dummy fill is used to compensate for the attachment of plural patterns in an electrochemical deposition or electrochemical mechanical deposition manufacturing process. 8 0. A method including the following steps: generating a strategy of disposing dummy filling based on an electrical impact analysis of an electrochemical deposition or electrochemical mechanical deposition manufacturing process and a pattern auxiliary model; using the pattern auxiliary model and the The electrical impact analysis evaluates the expected results of the configured dummy filling; and the use of the pattern auxiliary model and the electrical impact analysis as part of the strategy for generating the dummy filling configuration. 8 1. A method including the following steps: According to the electrical impact analysis of an electrochemical deposition or electrochemical mechanical deposition manufacturing process and a pattern attachment model, a strategy of generating a dummy filling is provided. 1057-5667-PF(Nl).ptd 第273頁 200405184 六、申請專利範圍 略;以及 利用該圖案附屬模型與該電性影響分析,評估被配置 之虛設填入之該等預期結果。 8 2 . —種方法,包括下列步驟: 根據一電化學沈積或電化學機械沈積製造製程之電性 影響分析與一圖案附屬模型,產生配置虛設填入之一策 略;以及 利用該圖案附屬模型與該電性影響分析,評估被配置 之虛設填入之該等預期結果。 8 3 .如申請專利範圍第7 9、8 0、8 1或8 2項所述之方 法’其中還包括: 操作一伺服器,為一半導體設計提供產生虛設填入之 功能;以及 一使用者可經由一網路瀏覽器於一客戶端(c 1 i e n t ) 開發該虛設填入配置之策略。 84.如申請專利範圍第8 3項所述之方法,其中該伺服 器位於該使用者附近。 8 5 .如申請專利範圍第8 4項所述之方法,其中該使用 者可遠端控制該伺服器。 8 6 ,如申請專利範圍第7 9、8 0、8 1或8 2項所述之方 法,其中還包括: 分析已應用該虛設填入策略之一設計; 根據該分析調整該設計; 重複該分析與該調整步驟;以及1057-5667-PF (Nl) .ptd Page 273 200405184 6. The scope of the patent application is omitted; and the attached model of the pattern and the electrical impact analysis are used to evaluate the expected results of the configured dummy filling. 8 2. A method, comprising the following steps: generating a strategy of configuring dummy filling based on an electrical impact analysis of an electrochemical deposition or electrochemical mechanical deposition manufacturing process and a pattern auxiliary model; and using the pattern auxiliary model and This electrical impact analysis evaluates the expected results filled in by the configured dummy. 8 3. The method described in item 7, 9, 80, 81, or 82 in the scope of patent application, which further includes: operating a server to provide a semiconductor design with a function of generating a dummy entry; and a user The strategy of the virtual filling configuration can be developed on a client (c 1 ient) via a web browser. 84. The method as described in claim 83, wherein the server is located near the user. 85. The method according to item 84 of the scope of patent application, wherein the user can remotely control the server. 86. The method according to item 7, 9, 80, 81, or 82 in the scope of patent application, further comprising: analyzing a design to which the dummy filling strategy has been applied; adjusting the design according to the analysis; repeating the Analysis and adjustment steps; and 1057-5667-PF(Nl).ptd 第274頁 200405184 六、申請專利範圍 確認根據該已調整設計所製造之 預没之物理與電性參數。 8 7.如申請專利範圍第8 2項所述之方法 段包括兩組或更多組製程。 8 8 ·如申請專利範圍第8 2項所述之方法 段包括在單一製程中兩組或更多組梦驟。 8 9 .如申請專利範圍第8 2項所述之方法 段包括沈積與化學氣相沈積。 9 0 ·如申請專利範圍第8 2項所述之方法 之產生包括產生複數組虛設填入之規則。 91 ·如申請專利範圍第7 9、8 〇、8 1或8 2項所 法,其中還包括: 定義用以虛設填入之一組複數分級單元配置 (hierarchical cell placements),以及 利用該等分級單元配置加入虚設填入以減少 局檔案之大小。 92.如申請專利範圍第79、8〇、81或82項所述執 法,其中一使用者係經由一網路瀏覺器與一網路祠乃 行该虛設填入產生作業。 9 3 ·如申請專利範圍第9 2項所述之方法 器位於該使用者附近。 94 ·如申請專利範圍第9 2項所述之方法 者可遠端控制該伺服器 積體電路符合複數 其中該兩階 其中該兩階 #中該兩階 其中該策略 述之$ Φ 電 子佈 方 其中該伺脉 其中 9 5 ·如申請專利範圍第γ 9、8 〇、81或8 2項所述 方1057-5667-PF (Nl) .ptd Page 274 200405184 6. Scope of patent application Confirm the pre-existing physical and electrical parameters manufactured according to the adjusted design. 8 7. The method paragraph described in item 82 of the scope of patent application includes two or more sets of processes. 8 8 The method paragraph described in item 82 of the scope of patent application includes two or more sets of dream steps in a single process. 89. The method section as described in item 82 of the scope of patent application includes deposition and chemical vapor deposition. 90. The generation of the method as described in item 82 of the scope of the patent application includes the rule of generating a dummy array of complex arrays. 91 · As in the scope of the patent application No. 7, 9, 80, 81 or 82, it also includes: defining a group of plural hierarchical cell placements for dummy filling, and using these classifications The unit configuration is added with dummy filling to reduce the size of the bureau file. 92. According to the law described in item 79, 80, 81, or 82 of the scope of patent application, a user performs the dummy filling operation through an internet browser and an internet temple. 9 3 · The device described in item 92 of the scope of patent application is located near the user. 94 · The method described in item 92 of the scope of patent application can remotely control the server integrated circuit to comply with a plurality of the two steps, the two steps, # of the two steps, and the strategy described by $ Φ electronic layout Among which, this service is 9 5 · As described in the scope of application for patent No. γ 9, 80, 81 or 82 1057-5667-PF(Nl).ptd 第275頁 2004051841057-5667-PF (Nl) .ptd Page 275 200405184 六、申請專利範圍 洗積製程。 、81或82項所述之方 枯決定虛設填入之尺寸 之方法,其中該製造 n-on )具低介電值之6. Scope of patent application The method described in item 81, 82, or 82. The method of determining the size of the dummy filling, wherein the manufacturing n-on 法,其中該製程包括一電化學機械 9 6 ·如申請專利範圍第7 9、8 〇 法’其中该配置虛設填入之策略包 與配置。 97.如中請專利範®第79、8〇、81或82項所述之方 法,其中該製造製程包括形成一具低介電值之介電間層 (interlayer )。 98 ·如申請專利範圍第8 2項所述 製程包括化學氣相沈積或旋塗(sP i 介電材質。 99·如申請專利範圍第79、8〇、81或82項所述之方 法,其中該虛設填入策略之產生包栝將一半導體設計分割 成複數格(grids)。 1 0 0 ·如申請專利範圍第9 9項所述之方法,其中該虛設 填入策略之產生包括擷取一半導體設計之每一該等格中區 域圖案之密度。Method, wherein the process includes an electrochemical machine 9 6 · Such as the patent application scope Nos. 7 9 and 80 method, in which the configuration is filled in with a strategy package and configuration. 97. The method as described in Chinese Patent Application No. 79, 80, 81, or 82, wherein the manufacturing process includes forming a dielectric interlayer with a low dielectric value. 98. The process as described in the scope of patent application No. 82 includes chemical vapor deposition or spin coating (sP i dielectric material. 99. The method as described in the scope of patent application 79, 80, 81 or 82, wherein The generation of the dummy filling strategy involves dividing a semiconductor design into a plurality of grids. 1 0 0 · The method as described in item 99 of the patent application scope, wherein the generation of the dummy filling strategy includes extracting a Density of the area pattern in each grid of a semiconductor design. 1 0 1.如申請專利範圍第9 9項所述之方法,其中該虛設 填入策略之產生包括擷取一半導體設計之每一該等格中區 域線寬。 1 0 2 ·如申請專利範圍第9 9項所述之方法,其中該虛設 填入策略之產生包括擷取一半導體設計之每一該等格中區 域線間距。 1 0 3 ·如申請專利範圍第9 9項所述之方法,其中該虛設 填入策略之產生包括計算每一格之一有效圖案密度。1 0 1. The method as described in item 99 of the scope of the patent application, wherein the generation of the dummy filling strategy includes extracting a region line width in each of the cells of a semiconductor design. 1 0 2 · The method as described in item 99 of the scope of the patent application, wherein the generation of the dummy filling strategy includes retrieving the area line spacing in each of the cells of a semiconductor design. 1 0 3 · The method as described in item 99 of the scope of patent application, wherein the generation of the dummy filling strategy includes calculating an effective pattern density for each cell. 1057-5667-PF(Nl).ptd 第276頁 2004051841057-5667-PF (Nl) .ptd p. 276 200405184 104.如申請專利範圍第1〇〇項所述之方法,其中亦包 括利用複數模型針庫產&amp;考 保1對應產生虛a又填入策略之一半導體設計, a十出薄膜厚度之非平坦度(n〇n~uniformity)。 ^ 5 ·如申請專利範圍第1 〇 4項所述之方法,其中亦包 括計真薄膜厚度之變異。 1^0 6·如申請專利範圍第99項所述之方法,其中亦包括 取付母一該等格中所有物件之座標。 10 7·如申請專利範圍第106項所述之方法,其中亦包 括對應每一該等物件產生至少一組線寬、線間距、長度、 以及接合區塊(bounding Box)。 1 〇 8 ·如申請專利範圍第9 9項所述之方法,其中該虛設 填入策略包括於每一該等格之複數空區(empty areas) 加入虛設填入。104. The method as described in item 100 of the scope of patent application, which also includes the use of a multiple model needle library product &amp; Kao Bao1 to generate a dummy a and fill in one of the semiconductor design strategies. Flatness (non ~ uniformity). ^ 5 The method as described in item 104 of the scope of patent application, which also includes variations in the thickness of the true film. 1 ^ 0 6 · The method as described in item 99 of the scope of patent application, which also includes taking the coordinates of all the objects in the grid of the mother-of-one. 10 7. The method according to item 106 of the scope of patent application, further comprising generating at least one set of line width, line spacing, length, and bounding box for each of these objects. 108. The method as described in item 99 of the scope of patent application, wherein the dummy filling strategy includes adding dummy filling to a plurality of empty areas in each of the grids. I 0 9 ·如申請專利範圍第1 〇 8項所述之方法,其中該虛 設填入包括於複數物件中之複數溝槽(s 1 〇 t s )。 II 0·如申請專利範圍第1 08項所述之方法,其中包括 在加入虛設填入後重新計算一區域密度。I 0 9 · The method as described in item 108 of the scope of patent application, wherein the dummy is filled in a plurality of grooves (s 1 0 t s) included in a plurality of objects. II 0. The method as described in item 108 of the scope of patent application, which includes recalculating a region density after adding dummy filling. 11 1 ·如申請專利範圍第1 08項所述之方法,其中亦包 括在加入虛設填入後重新計算每一該等格中一有效圖案密 度。 112.如申請專利範圍第79、8〇、81或82項所述之方 法,其中該虚設填入策略係根據下述規則中至少'類電性 參數變異公差(tolerance ):電容值與電阻值、薄膜電 阻值、輸出延遲、偏態(skew )、壓降、驅動電流損耗、11 1 · The method as described in item No. 108 of the scope of patent application, which also includes recalculating a valid pattern density in each of the cells after adding dummy filling. 112. The method according to item 79, 80, 81, or 82 of the scope of the patent application, wherein the dummy filling strategy is based on at least 'type electrical parameter variation tolerance in the following rules: capacitance value and resistance Value, sheet resistance, output delay, skew, voltage drop, drive current loss, 1057-5667-PF(Nl).ptd 第277頁 200405184 六、申請專利範圍 介電常數、或串擾雜訊1057-5667-PF (Nl) .ptd Page 277 200405184 6. Scope of patent application Dielectric constant or crosstalk noise (crosstalk noise ) 11 3 ·如申請專利範圍第丨丨1項所述之方法,其中係根 據一研磨製程平坦化長度計算出該有效圖案密度。/ 11 4 ·如申請專利範圍第丨丨1項所述之方法,其中係根 據橢圓形加權窗(elliptically weighted window)或其 他渡波器計算出該有效圖案密度。 % 5 ·如申請專利範圍第9 0項所述之方法,其中根據電 子:计方針之複數虛設填入規則隨技術或複數設計參數而 動態地產生。 ^ 11 6三如申請專利範圍第11 3項所述之方法,其中一有 &gt;文圖案进度係動態地隨一製程平坦化長度而改變。 、11 7.如申請專利範圍第79、80、81或82項所述之方 法,其中該製造製程包括微影製程。 、 18·如申請專利範圍第79、80、81或82項所述之方 八中該製造製程包括電化學式沈積。 、119·如申請專利範圍第79、80、81或82項所述之方 法其中讀製造製程包括銅化學機械式研磨。 、、’ 120·如申請專利範圍第79、80、81或82項所述之方 法’ $中亦包括自一半導體佈局中擷取出複數圖案附屬。 ^如申請專利範圍第1 2 0項所述之方法,其中該蓉 °附 &lt; 包括對應線間距、線寬、或線密度。 &quot; 、122·如申請專利範圍第79、80、81或82項所述之方 法,其中亦包括: 利用已圖案化之測試晶圓或測試複數半導體裝置之方(crosstalk noise) 11 3 The method as described in item 1 of the patent application scope, wherein the effective pattern density is calculated according to a flattening length of a grinding process. / 11 4 · The method according to item 1 of the scope of patent application, wherein the effective pattern density is calculated according to an elliptically weighted window or other wavelet. % 5 · The method as described in item 90 of the scope of patent application, wherein the plural dummy filling rules according to the electronic: accounting policy are dynamically generated with technical or plural design parameters. ^ 11 6. The method as described in item 11 of the scope of patent application, wherein one of the &gt; pattern progress dynamically changes with the flattening length of a process. 11) The method according to item 79, 80, 81, or 82 of the scope of patent application, wherein the manufacturing process includes a lithography process. 18. The method described in item 79, 80, 81, or 82 of the scope of the patent application. The manufacturing process includes electrochemical deposition. 119. The method according to item 79, 80, 81, or 82 of the scope of patent application, wherein the manufacturing process includes copper chemical mechanical grinding. ", 120" The method described in item 79, 80, 81, or 82 of the scope of patent application "$ also includes extracting a plurality of pattern attachments from a semiconductor layout. ^ The method as described in item 120 of the scope of the patent application, wherein the attached &lt; includes a corresponding line spacing, line width, or line density. &quot;, 122 · The method as described in item 79, 80, 81, or 82 of the scope of patent application, which also includes: using a patterned test wafer or testing a plurality of semiconductor devices 第278頁 200405184 六、申請專利範圍 法,對應一預 以及 根據一半 虛設填入之該 1 2 3 ·如申 法,其中亦包 選工具或製程配方以校準一圖案附屬槔贺; 利用 已 (features ) 膜厚度變異、 阻值、電阻值 耗、介電常數 根據該圖 略0 1 2 4 ·如申 法,其中亦包 根據結合 填入之一策略 預測依該 12 5.如申 法,其中亦包 中配置虛設填 電性參數。 1 2 6 ·如申 法,其中亦包 導體製程之 策略。 請專利範圍 括: 校準之圖案 與複數晶圓 碟陷、侵蝕 、電容值、 、以及有效 案附屬模型 一圖案附屬模型產生製稃中齡置 第79、80、81或82項所述之方 附屬模型以比對圖案附屬特徵* 階段參數,如最終薄膜摩度、薄 ,以及複數電性參數,如薄膳電 串擾雜訊、壓降、驅動電流損 介電常數;以及 產生製程中配置虛設填入之諒策 5月專利範圍 括: 多組圖案附屬模型產生於一製程中配置虡级 第79、80、81或82項所述之方 ;以及 策略產生之 請專利範圍 括根據結合 入之一策略 請專利範圍 括根據已預 該虛設填入對製程變異之影響 第79、80、81或82項所述之方夕 &amp;〆製移 多組圖案附屬模型產生&amp; | ,最佳化整體晶片之晶圓階&amp; / #與電 測或已模擬之晶圓階段〃 第79、80、81或82項戶斤 性參Page 278 200405184 VI. The scope of application for patents corresponds to a preliminary and one half filled in according to the 1 2 3 · If applied, it also includes the selection of tools or process recipes to calibrate a pattern attached to the congratulations; ) Film thickness variation, resistance value, resistance value loss, and dielectric constant are abbreviated according to the figure. 0 1 2 4 · As applied to the method, which also includes a strategy based on a combination of filling and prediction according to the 12 5. As applied to the method, where also Configure dummy charging parameters in the package. 1 2 6 · If applied, it also includes the strategy of conductor process. The patent scope includes: calibration pattern and multiple wafer dishing, erosion, capacitance value, and effective case attached model-pattern attached model production system attached to the party described in item 79, 80, 81, or 82 The model compares the auxiliary characteristics of the pattern with the phase parameters, such as the final film friction, thinness, and complex electrical parameters, such as thin-plate electrical crosstalk noise, voltage drop, and dielectric constant of the drive current loss; The scope of patents included in May includes: multiple sets of patterns attached to the pattern are generated in a process to configure the party described in item 79, 80, 81, or 82; and the scope of patents generated by the strategy include A strategy invites the scope of the patent to include the production of the auxiliary model of the multi-pattern design &amp; Wafer stage &amp; / # of the integrated wafer and electrical test or simulated wafer stage 〃 Item 79, 80, 81 or 82 1057-5667-PF(Nl).ptd 第279頁 200405184 六、申請專利範圍 數,產生複數 虛設填入之配 12 7.如申 虛設填入規則 12 8.如申 虛設填入規則 1 2 9 ·如申 虛設填入規則 1 3 0 ·如申 法,其中亦包 提供複數 利用該等 子佈局檔案。 1 3 1 ·如申 虛設填入規則,並用於一體製造製程中 置。 、 請專利範圍第126項所述之方法,其中該等 包括虛設填入之尺寸。 請專利範圍第126項所述之方法,其中該等 包括虛設填入之配置。 請專利範圍第126項所述之方法,其中該等 包括虛設填入分級單元之形成與管该。 請專利範圍第79、80、81或82項所述之万 括: 虛設填入功能以產生該虛設填入策略, 功能自動地調整一半導體裝置之複數GDS :以及 電 方 睛專利範圍第7 9、8 0、81或8 2項所述之 法,其中亦包括: 伺服器上接收自一客戶端傳至之/半導體/ 案; • 以 器產生該佈局播案之複數虛設填入調榮 在一網路 置之一佈局檔 在該伺服 及 法 將該已調 1 3 2 ·如申 其中亦包 在該伺服 整虛設填入之佈局檔案傳回該客戶端。 請專利範圍第79、80、81或82項所述之方 括: 器上k供一服務,使一使用者可與在該拥月 虛设填入應用進行互動式之設定 器上執行之1057-5667-PF (Nl) .ptd Page 279 200405184 VI. The number of patent applications applied, generating a plurality of dummy filling assignments 12 7. Filling in the rules for filling out the rules 12 8. Filling in the rules for filling out the rules 1 2 9 · If the application is false, fill in the rule 130. If the application is legal, it also includes the use of multiple sub layout files. 1 3 1 · Fill in the rules as they are applied and use them in the integrated manufacturing process. Please refer to the method described in item 126 of the patent scope, where these include the dimensions of the dummy filling. Please refer to the method described in item 126 of the patent scope, where these include the configuration of dummy filling. Please refer to the method described in the scope of patent No. 126, which includes the formation and management of dummy filling in the classification unit. Please include all the items described in the scope of the patent 79, 80, 81 or 82: the dummy fill function to generate the dummy fill strategy, the function automatically adjusts the complex GDS of a semiconductor device: , 80, 81, or 82, which also includes: Received from a client / server / semiconductor / case on the server; • Generate a plurality of dummy for the layout broadcast case and fill in the adjustment in A network layout file is transferred to the server and the adjusted 1 2 2 · If applied, it also includes the layout file filled in the server settings and returns it to the client. Please refer to the items described in item 79, 80, 81, or 82 of the patent scope: On the device, k provides a service, so that a user can interact with the setting device that is filled in by the virtual filling application. 第280頁 200405184Page 280 200405184 六、申請專利範圍 (configure):以及 該使用者可利用該虛設填入應用產生虚設填入資訊。 13 3.如申請專利範圍第132項所述之方法,其中該祠 服器包括一網路伺服器。 13 4·如申請專利範圍第132項所述之方法,其中該使 用者位於一遙控該網路伺服器之位置。 135.如申請專利範圍第79、80、81或82項所述之方 法,其中亦包括: 一使用者可於一網路上確認對應一半導體設計與一裝 造製程之虛設填入資訊。 1 3 6·如申請專利範圍第1 35項所述之方法,其中該被 確認之虚設填入資訊至少包括一虛設填入圖案、一虚設填 入策略、或一虛設填入表現其中之一。 13 7·如申請專利範圍第135項所述之方法,其中被確 認之虛設填入資訊係對應該半導體設計之一單獨内連線 層。 13 8.如申請專利範圍第135項所述之方法,其中被確 認之虛設填入資訊係對應該半導體設計之複數單獨内連線6. Patent application scope (configure): And the user can use the dummy filling application to generate dummy filling information. 13 3. The method of claim 132, wherein the server includes a network server. 13 4. The method according to item 132 of the scope of patent application, wherein the user is located at a position remotely controlling the network server. 135. The method described in item 79, 80, 81, or 82 of the scope of patent application, which also includes: A user can confirm on a network the dummy filling information corresponding to a semiconductor design and a manufacturing process. 1 36. The method as described in item 1 35 of the scope of patent application, wherein the confirmed dummy filling information includes at least a dummy filling pattern, a dummy filling strategy, or a dummy filling performance. One. 13 7. The method as described in item 135 of the scope of patent application, wherein the confirmed dummy filling information is a separate interconnect layer corresponding to one of the semiconductor designs. 13 8. The method according to item 135 of the scope of patent application, wherein the confirmed dummy filling information is a plurality of separate internal interconnections corresponding to the semiconductor design 層。 1 3 9.如申請專利範圍第1 3 5項所述之方法,其中亦包 括比對複數虛設填入標的之尺寸,並為該半導體設計之單 一或複數内連線層產生該等標的之一虛設填入圖案。 1 4 0.如申請專利範圍第1 3 5項所述之方法,其中該虛 設填入資訊包括複數虛設填入規則。Floor. 1 3 9. The method as described in item No. 135 of the scope of patent application, which also includes comparing the dimensions of a plurality of dummy filling in the target, and generating one of the targets for a single or multiple interconnecting layer of the semiconductor design. Dummy fill pattern. 1 40. The method as described in item 135 of the scope of patent application, wherein the dummy filling information includes a plurality of dummy filling rules. 1057-5667-PF(Nl).ptd 第281頁 200405184 六、申請專利範圍 141·如 案包括複數 142.如 設填入圖案 變異。 14 3·如 設填入圖案 參數之變異 144.如 電性參數至 訊、壓降、 其中之一。 申w專利棘圍第1 4 0項戶斥、+、 、所迷之方法,其中該圖 乳化或金屬虛設填入樑的 m利範圍第140項所述之方法,其中該虛 亥1 2 3 4標的係配置以最小化全晶片薄膜厚度之 申請專利範圍第140項所述之方法,其中該 之該等標的係配置以最小化全晶片於複數電^生 Ο ^請專利範圍第143項所述之方法,其中該等 少包括薄膜電阻值、電阻值、電容值、串擾雜 驅動電流損耗、介電常數、以及有效介電常數 145·如申請專利範圍第13〇項所述之方法,其中該gds 播案係被為整以改進該半導體裝置之平坦性與電性效能。 1 4 6·如申請專利範圍第79、80、81、82或145項所述 之方法’其中該製程包括溝槽製程流程。1057-5667-PF (Nl) .ptd Page 281 200405184 VI. Scope of patent application 141. If the application includes a plural number 142. If there is a variation in the filling pattern. 14 3. If the variation of the filled-in pattern parameters is set 144. Such as the electrical parameter to the signal, the voltage drop, or one of them. The method described in the application of Patent No. 140, Housou, +,, and Miscellaneous, wherein the drawing is emulsified or the metal is falsely filled into the beam of the beam. The target system is configured to minimize the thickness of the full-chip thin film. The method described in item 140 of the patent application range, wherein the target systems are configured to minimize the full-chip thickness in the complex electronics. The method described above, wherein these include a thin film resistance value, a resistance value, a capacitance value, a crosstalk driving current loss, a dielectric constant, and an effective dielectric constant. 145. The method described in item 130 of the scope of patent application, wherein The gds broadcast is rectified to improve the flatness and electrical performance of the semiconductor device. 1 4 6 · The method according to item 79, 80, 81, 82, or 145 of the scope of patent application ', wherein the process includes a trench process. 1 4 9 ·如申請專利範圍第7 9、8 〇、8 1或8 2項所述之1 4 9 · As described in item 7, 9, 80, 81 or 82 第282頁 1 4 7·如申請專利範圍第79、80、81或82項所述之方 法,其中亦包括: 2 一使用者可使用一網路上含複數網路服務之一網路應 3 用’確認對應—半導體設計與一製造製程之虛設填入資 訊0 4 、1 4 8 ·如申請專利範圍第1 4 7項所述之方法,其中該 路為一内部網路、一外部網路、或一網際網路。 方 200405184 六、申請專利範圍 # λ π λα 法,其中該虛設填入配置策略包栝剎用複數虚設填入私的 改善低介電電常數之介電結構之結構積體性(s trUC1:11 re integrity)。 1 5 0 ·如申請專利範圍第7 9、8 〇、8 1或8 2項所述之方 法,其中該虚設填入配置策略包栝利用複數虚=填入標的 維持或改善具低介電電常數之介電結構之介電常數。 1 5 1 ·如申請專利範圍第1 5 0項所述之方法’其中在一 溝槽製程流程之所有步驟中維持該有效介電常數。 1 5 2 ·如申請專利範圍7 9、8 0、8 1或8 2項所述之方法, 其中該虚設填入配置策略包括於一溝槽製程流程中使用複 數虛設填入標的以促成複數低介電係數介電材料之積體 性。 、Page 282 1 4 7 · The method described in item 79, 80, 81, or 82 of the scope of patent application, which also includes: 2 A user can use one of the network applications with multiple network services on the network 3 applications 'Confirm correspondence—Semiconductor design and a dummy input information of a manufacturing process 0 4, 1 4 8 · The method described in item No. 147 of the scope of patent application, wherein the path is an internal network, an external network, Or an internet. Fang 200405184 VI. Application Patent Scope # λ π λα method, in which the dummy filling configuration strategy package includes multiple dummy filling in private to improve the structural integrity of the dielectric structure with low dielectric constant (s trUC1: 11 re integrity). 1 5 0 · The method as described in item 7, 9, 80, 81, or 82 in the scope of patent application, wherein the dummy filling configuration strategy includes using a plurality of dummy = filling in the target to maintain or improve the low dielectric constant. Constant dielectric constant of the dielectric structure. 1 5 1 The method as described in item 150 of the scope of patent application, wherein the effective dielectric constant is maintained in all steps of a trench process flow. 1 5 2 · The method described in claim 7, 7, 80, 81 or 82, wherein the dummy filling configuration strategy includes using a plurality of dummy filling targets in a trench manufacturing process to facilitate plural numbers Integration of low-k dielectric materials. , 及 153.如申請專利範圍第79、80、81或82項所 其中亦包括: 万 維持一半導體虛設填入資訊之資料庫(Ubrar 連結該資料庫並用以產生複數虛設填入配置規格;以 改變虛設填入資訊以更新該資料庫。 154·如申請專利範圍第79、80、81或82項所 法,其中亦包括: 万 依據至少下列之一儲存校準資訊:複數製程工且 數配方、以及複數流程;以及 /、、複And 153. If the scope of patent application No. 79, 80, 81, or 82 also includes: a database to maintain a semiconductor dummy fill-in information (Ubrar links to the database and is used to generate a plurality of dummy fill-in configuration specifications; to change Fill in the information to update the database by dummy. 154. According to the 79th, 80th, 81st, or 82nd patent law of the scope of patent application, which also includes: The calibration information is stored according to at least one of the following: multiple process engineering and counting formulas, Plural processes; and / ,, plural 更新該校準資訊以反應該等製程工具 該等流程之變化。 該等配方、或Update the calibration information to reflect changes in the process tools and processes. Those formulas, or 1057-5667-PF(Nl).ptd 第283頁 200405184 六、申請專利範圍 15 5·如申請專利範圍第丨54項所述之方法,其中亦包 括利用該校準資訊產生一虛設填入策略。 1 5 6.如申請專利範圍第丨54項所述之方法,其中亦包 括根據欲得之複數虛設填入特性之校準資料庫中選擇出複 數製程工具、複數配方、以及複數流程。 15 7·如申請專利範圍第79、80、81或82項所述之方 法,其中亦包括: ,用者可透過一使用者介面裝置之一使用者介面, 利用單擊(sinSle ci丨以)操作取得一半導體設計所需 之一虛設填入策略。 1 5 8 · —種方法,包括下列步驟: • ;該製私中產生虛设填入配置之一 略,以及 卢屬模型與該電性影響分析以評估置入該 虚a又填入之預期結果; 該模型與該電性旦彡鄉八&amp; &amp; ^ Α 入配置之該策略中。…析之利用係包含於產生虛設填 159. 一種方法,包括下列步驟: 根據含一或複數+_ &gt; @^ 與-圖案附屬模型,二程流程t電性影響分析 略;以及於该製紅中產生虛設填入配置之一策 性影響分析以評估置入該1057-5667-PF (Nl) .ptd Page 283 200405184 VI. Scope of Patent Application 15 5. The method described in the scope of Patent Application No. 丨 54, which also includes using the calibration information to generate a dummy filling strategy. 1 5 6. The method as described in item 54 of the scope of patent application, which also includes selecting a plurality of process tools, a plurality of recipes, and a plurality of processes from a calibration database of the plurality of dummy filling characteristics. 15 7 · The method described in the scope of application for patent 79, 80, 81 or 82, which also includes: The user can use one of the user interface of a user interface device, click (sinSle ci 丨) Operation obtains a dummy fill strategy required for a semiconductor design. 1 5 8 · A method, which includes the following steps: • A strategy of generating a dummy filling configuration in the system, and a model of the genus and the electrical impact analysis to evaluate the expectations of filling in the dummy a As a result, the model and the electrical properties are incorporated into the strategy configured. … The use of analysis is included in the generation of dummy fills. 159. A method includes the following steps: According to the model with one or more + _ &gt; @ ^ and-patterns, the electrical impact analysis of the second pass is omitted; and One of the strategic impact analysis of the dummy fill configuration in the red to evaluate the placement of the 利用該圖案附屬模型與該電 虛設填入之預期結果;Expected results of using the pattern attached model and the dummy filling; 第284頁 200405184 六、申請專利範圍 該所產生之策略所適用之製造製程包含一氧化化學機 械研磨製程以外之製造製程。 1 6 0 · —種方法,包枯: 根據含一或複數步騍之製造製程流程之一圖案附屬模 型,於該製程中產生虛設填入配置之一策略;以及 利用該圖案附屬模蜇以評估置入該虛設填入之預期結 果; 該所產生之策略所適用之製造製程包含一或多個製造 階段。Page 284 200405184 VI. Scope of patent application The manufacturing process to which the generated strategy applies includes manufacturing processes other than the chemical mechanical polishing process. 1 6 0 · A method to cover: According to a pattern auxiliary model of a manufacturing process flow including one or more steps, a strategy of generating a dummy filling configuration in the process is generated; and using the pattern auxiliary pattern to evaluate Insert the expected result of the dummy entry; the manufacturing process to which the generated strategy applies includes one or more manufacturing stages. 161· —種方法,包括: 根據含一或複數步驟之製造製程流程之一圖案附屬模 型,於該製程中產生虛設填入配置之一策略;以及 利用該圖案附屬模型以評估置入該虛設填入之預期結 果; 該所產生之策略所適用之製造製程包含一研磨或平坦 化製程,其中可移除一種以上的材料。 1 6 2.如申請專利範圍第1 5 8、1 5 9、1 6 0或1 6 1項所述之 方法,其中還包括:161 · A method comprising: generating a strategy of a dummy filling configuration in the process according to a pattern auxiliary model of a manufacturing process flow including one or more steps; and using the pattern auxiliary model to evaluate the placement of the dummy filling Expected results of the input; The manufacturing process to which the generated strategy applies includes a grinding or planarization process in which more than one material can be removed. 1 6 2. The method described in the scope of patent application No. 158, 159, 160 or 161, further including: 操作一伺服器,為一半導體設計提供產生虛設填入之 功能;以及 (client ) 其中該伺 一使用者可經由一網路瀏覽器於一客戶端 開發該虛設填入配置之策略。 16 3·如申請專利範圍第162項#、,、 只所述之方法, 服器位於該使用者附近。Operate a server to provide a dummy fill function for a semiconductor design; and (client) where the user can develop a strategy for the dummy fill configuration on a client via a web browser. 16 3. According to the method described in # 162, # 162 of the patent application scope, the server is located near the user. l〇57-5667-PF(Nl).ptd 第285胃 200405184〇57-5667-PF (Nl) .ptd 285 stomach 200405184 六、申請專利範圍 1 6 4 ·如申請專利範圍第1 6 3項所述之方法,其中 用者可遠端控制該伺服器。 斤 中為使 1 6 5 ·如申請專利範圍第1 58、丨5 9、1 6 0或1 6 1項所述之. 方法,其中還包括: 分析已應用該虛設填入策略之一設計; 根據該分析調整該設計; 重複該分析與該調整步驟;以及 確認根據該已調整設計所製造之一積體電路符合複數 預設之物理與電性參數。 16 6.如申請專利範圍第16〇項所述之方法,其中該兩 ^ 階段包括兩組或更多組製程。 16 7·如申請專利範圍第16〇項所述之方法,其中該兩 階段包括在單一製程中兩組或更多組步驟。 1 6 8 ·如申請專利範圍第丨6 〇項所述之方法,其中該兩 階段包括沈積與化學氣相沈積。 1 6 9 ·如申請專利範圍第丨6 〇項所述之方法,其中該策 略之產生包括產生複數組虛設填入之規則。 1 7 0 ·如申請專利範圍第1 5 8、1 5 9、1 6 0或1 6 1項所述之 方法,其中還包括: 定義用以虛設填入之一組複數分級單元配置 (hierarchical cel 1 Placements );以及 利用該等分級單元配置加入虚設填入以減少一電子 局檔案之大小。 1 7 1 ·如申請專利範圍第1 5 8、1 5 9、1 6 0或1 6 1項所述6. Scope of patent application 164 • The method described in item 163 of the scope of patent application, in which the user can remotely control the server. In order to make 165, as described in the scope of application for patent No. 1 58, 丨 59, 160 or 161. The method further includes: analyzing a design that has been applied to the dummy filling strategy; Adjust the design according to the analysis; repeat the analysis and the adjustment steps; and confirm that one integrated circuit manufactured according to the adjusted design meets a plurality of preset physical and electrical parameters. 16 6. The method according to item 160 of the scope of patent application, wherein the two stages include two or more sets of processes. 16 7. The method as described in claim 16 of the patent application scope, wherein the two stages include two or more sets of steps in a single process. 168 · The method as described in the patent application No. 丨 60, wherein the two stages include deposition and chemical vapor deposition. 1 6 9 · The method as described in item No. 丨 60 of the scope of patent application, wherein the generation of the strategy includes the rule of generating a dummy array of complex arrays. 1 7 0 · The method described in the scope of application for patents No. 158, 159, 160, or 161, further including: defining a group of plural hierarchical unit configurations for dummy filling (hierarchical cel 1 Placements); and use these graded unit configurations to add dummy fills to reduce the size of an electronic bureau file. 1 7 1 · As described in the scope of patent application No. 1 5 8, 1 5 9, 1 6 0 or 16 1 1057-5667-PF(Nl).ptd 第286頁 2004051841057-5667-PF (Nl) .ptd p.286 200405184 六、申請專利範圍 方法,其中一使用者係經由一網路瀏覽器與一網路伺 執行該虛設填入產生作章。 1 7 2.如申請專利範圍第丨7 J項所述之方法,其中該 服器位於該使用者附近。 Λ 17 3·如申請專利範圍第ηΐ項所述之方法,其中誃 用者可遠端控制該伺服器。 17 4·如申請專利範圍第158、159、16〇或161項所述 方法,其中該製程包括一電化學機械沈積製程。 175·如申請專利範圍第158、159、16〇或161項所 方法,其中該配置虛設填入之策略包括決定虛設填入 寸與配置。 、尺 17 6.如申請專利範圍第158、159、16〇或161項所 方法其中該製造製程包括形成一具低介電值之介電間 (interlayer ) 〇 噌 ’其中該製 具低介電值 177.如申請專利範圍第16〇項所述之方法 造製程包括化學氣相沈積或旋塗(spin_Qn) 之介電材質。 、159、160或161項所述之 生包括將一半導體設計分6. Patent application method, in which a user executes the dummy filling to generate a chapter through a web browser and a web server. 1 7 2. The method according to item 7J of the scope of patent application, wherein the server is located near the user. Λ 17 3. The method described in item ηΐ of the scope of patent application, wherein the user can remotely control the server. 17 4. The method of claim 158, 159, 160 or 161, wherein the process includes an electrochemical mechanical deposition process. 175. The method of applying for patent No. 158, 159, 160, or 161, wherein the strategy of configuring the dummy filling includes determining the dummy filling position and configuration. Rule 17 6. The method according to item 158, 159, 16 or 161 of the scope of the patent application, wherein the manufacturing process includes forming a dielectric layer with a low dielectric value, and wherein the device has a low dielectric. Value 177. The method described in item 160 of the scope of patent application includes a dielectric material of chemical vapor deposition or spin coating (spin_Qn). The term 159, 160, or 161 includes dividing a semiconductor design 1 7 8 ·如申請專利範圍第1 5 8 方法,其中該虛設填入策略之產 割成複數格(g r i d s )。 17=如申請專利範圍第178項所述之方法,其中該 設填入滚略之產生包括擷取一半導體虛 區域圖案之密度。 18 0·如申請專利範圍第178項所述之方法 其中該虛1 7 8 · If the method of patent application scope No. 158, the output of the dummy filling strategy is cut into a plurality of cells (g r i d s). 17 = The method according to item 178 of the scope of patent application, wherein the generation of the fill-in scrolling includes the density of capturing a semiconductor virtual region pattern. 18 0 · The method described in the scope of patent application No. 178, wherein the virtual 1057-5667-PF(Nl).ptd 第287頁 2004051841057-5667-PF (Nl) .ptd p. 287 200405184 設填入策略之 區域線寬。 1 8 1 ·如申 設填入策略之 區域線間距。 1 8 2 ·如申 設填入策略之 1 8 3 ·如申 括利用複數模 計算出薄膜厚 184·如申 括計算薄膜厚 產生包括擷取一半導體設計之每一該等格中 請專利範圍第178項所述之方法,其中該虛 產生包括擷取一半導體設計之每一該等格中 晴專利範圍第1 78項所述之方法,其中該虛 產生包括計算每一格之一有效圖案密度。 請專利範圍第178項所述之方法,其中亦包 型對應產生虛設填入策略之一半導體設計, 度之非平坦度(n〇n〜Unif〇rmity)。 請專利範圍第183項所述之方法,其中亦包 度之變異。 185. 如申請專利範圍第178項所述之方法,其中亦包 括取得每一該等格中所有物件之座標。 186. 如申請專利範圍第185項所述之方法,其中亦包 括對應每一該等物件產生至少一組線寬、線間距、長度 以及接合區塊(bounding Box)。 187. 如申請專利範圍第178項所述之方法,其中該虛 設填入策略包括於每一該等格之複數空區(empty areas )加入虛設填入。 188·如申請專利範圍第185項所述之方法,其中該虛 設填入包括於複數物件中之複數溝槽(s 1 〇 t s )。 18 9·如申請專利範圍第187項所述之方法,其中包括 在加入虛設填入後重新計算一區域密度。Set the area line width of the fill strategy. 1 8 1 · If you set the line spacing of the filling strategy. 1 8 2 · If applying the filling strategy 1 8 3 · If claiming to calculate the film thickness using a complex modulus 184 · If claiming to calculate the film thickness to generate a patent including the extraction of a semiconductor design in each of the cases The method according to item 178, wherein the dummy generation includes extracting each of the cells in a semiconductor design. The method described in item No. 178 of the patent scope, wherein the dummy generation includes calculating a valid pattern for each cell. density. Please refer to the method described in the scope of patent No. 178, wherein the package also corresponds to a non-flatness (nON ~ Unifomrmity) of a semiconductor design that generates a dummy filling strategy. Please refer to the method described in item 183 of the patent scope, which also includes variation in degree. 185. The method described in item 178 of the scope of the patent application, which also includes obtaining the coordinates of all objects in each of the cells. 186. The method described in item 185 of the scope of patent application, which also includes generating at least one set of line width, line spacing, length, and bounding box for each of these objects. 187. The method as described in item 178 of the scope of patent application, wherein the dummy filling strategy includes adding dummy filling to a plurality of empty areas in each of the grids. 188. The method according to item 185 of the scope of patent application, wherein the dummy is filled in a plurality of grooves (s 1 0 t s) included in a plurality of objects. 18 9. The method according to item 187 of the scope of patent application, which includes recalculating a region density after adding a dummy fill. 1057-5667-PF(Nl).ptd 第288頁 200405184 六、申請專利範圍 1 9 0 ·如申請專利範圍第1 8 7項所述之方法,其中亦包 括在加入虛設填入後重新計算每一該等格中一有效圖案密 度0 1 9 1 ·如申請專利範圍第丨5 8、丨5 9、1 6 〇或丨6 1項所述之 方法’其中該虛設填入策略係根據下述規則中至少一類電 性參數變異公差(t〇lerance):電容值與電阻值、薄膜 電阻值、輪出延遲、偏態(skew )、壓降、驅動電流損 耗7丨電吊數、或串擾雜訊(crosstalk noise)。1057-5667-PF (Nl) .ptd Page 288 200405184 VI. Application for Patent Scope 1 9 0 · The method described in Item 187 of the Patent Application Scope, which also includes recalculating each An effective pattern density in the grid is 0 1 9 1 · As described in the scope of patent application No. 丨 5 8, 丨 5 9,16 〇 or 丨 1 1 'where the dummy filling strategy is based on the following rules Tolerance of at least one type of electrical parameters: capacitance value and resistance value, film resistance value, wheel-out delay, skew, voltage drop, driving current loss 7 丨 electric hanging number, or crosstalk noise (Crosstalk noise). 19 2·如申請專利範圍第19〇項所述之方法,其中係根 據一研磨製程平坦化長度計算出該有效圖案密度。 19 3·/如申請專利範圍第19〇項所述之方法,其中係根 據擴圓开&gt;/加權自(ellipticaHy weighted window)或其 他渡波裔計算出該有效圖案密度。 ^ 如申請專利範圍第1 68項所述之方法,其中根據 電子設計方針之複數虛設填入規則隨技術或複數設計參數 而動態地產生。 ^ 19 5二如申請專利範圍第192項所述之方法,其中一有 效圖案岔度係動態地隨一製程平坦化長度而改變。19 2. The method according to item 19 of the scope of patent application, wherein the effective pattern density is calculated based on a planarization length of a grinding process. 19 3 // The method as described in item 19 of the scope of the patent application, wherein the effective pattern density is calculated according to an expansion circle &gt; / ellipticaHy weighted window or other wave pattern. ^ The method as described in item No. 168 of the scope of patent application, wherein the plural dummy filling rules according to the electronic design policy are dynamically generated with technical or plural design parameters. ^ 19 52. The method described in item 192 of the scope of patent application, wherein an effective pattern bifurcation dynamically changes with the flattening length of a process. 、1 9 6 ·如申請專利範圍第丨5 8、丨5 9、1 6 〇或丨6 1項所述之 方法,其中該製造製程包括微影製程。 、1 9 7 ·如申請專利範圍第1 5 8、1 5 9、1 6 0或1 6 1項所述之 方法,其中該製造製程包括電化學式沈積。 1 9 8 ·如申請專利範圍第1 5 8、1 5 9、1 6 0或1 6 1項所述之 方法,其中該製造製程包括銅化學機械式研磨。, 1 9 6 · The method as described in the scope of application for patents No. 5-8, 5-9, 1660 or 61, wherein the manufacturing process includes a lithography process. 1 197. The method as described in the scope of the patent application No. 158, 159, 160 or 161, wherein the manufacturing process includes electrochemical deposition. 198 · The method as described in the scope of patent application No. 158, 159, 160 or 161, wherein the manufacturing process includes copper chemical mechanical grinding. 1057-5667-PF(Nl).ptd 第289頁 200405184 六、申請專利範圍 ' '^^ _____ 199·如申請專利範圍第158、159、160或161項所、十、 方法,其中亦包括自—半導體佈局中擷取出複數圖宰^之 屬。 M系附 2 0 0.如申請專利範園第199項所述之方法,其中誃 佈局附屬包括對應線間距、線寬、或線密度。 ^專 201·如申請專利範圍第158、159、16〇或161項 方法,其中亦包括: π 24之 利用已圖案化之測試晶圓或測試複數半導體裝置之 法,對應一預選工具或製程配方以校準一圖案附屬模 以及 、, 根據-半導體製程之一圖案附屬模型產生製程中配置讀’ 虛設填入之該策略。 20 2·如申請專利範圍第158、159、160或161項所述之 方法,其中亦包括: 利用一已校準之圖案附屬模型以比對圖案附屬特徵 (features )與複數晶圓階段參數,如最終薄膜厚度、薄 膜厚度變異、碟陷、侵敍,以及複數電性參數,如薄膜電 阻值、電阻值、電容值、串擾雜訊、壓降、驅動電流損 耗、介電常數、以及有效介電常數;以及 根據該圖案附屬模型產生製程中配置虛設填入之該策 略0 20 3·如申請專利範圍第158、159、160或161項所述之 方法,其中亦包括: 根據該圖案附屬模型產生製程中配置虛設填入之該策1057-5667-PF (Nl) .ptd Page 289 200405184 VI. Application scope of patents '' ^^ _____ 199 · If the scope of application for patent scope No. 158, 159, 160 or 161, ten, methods, including self- Extract the genus of the plural figure in the semiconductor layout. M is attached with the method according to item 199 of the patent application park, wherein the 附属 layout attachment includes corresponding line spacing, line width, or line density. ^ Special 201. If the method of applying for patent No. 158, 159, 160 or 161, also includes: π 24 method using patterned test wafers or testing multiple semiconductor devices, corresponding to a pre-selected tool or process recipe To calibrate a pattern auxiliary mold and, according to one of the semiconductor process, the pattern auxiliary pattern generation process reads the dummy fill in the strategy. 20 2 · The method as described in the scope of patent application No. 158, 159, 160 or 161, which also includes: using a calibrated pattern accessory model to compare pattern features with multiple wafer stage parameters, such as Final film thickness, film thickness variation, dishing, encroachment, and complex electrical parameters such as film resistance, resistance, capacitance, crosstalk noise, voltage drop, drive current loss, dielectric constant, and effective dielectric Constant; and the strategy of dummy filling in the production process according to the pattern auxiliary model generation 0 20 3. The method as described in item 158, 159, 160 or 161 of the patent application scope, which also includes: generating according to the pattern auxiliary model The strategy of configuring dummy filling in the manufacturing process 1057-5667-PF(Nl).ptd 第290頁 200405184 六、申請專利範圍 略;以及 利用一成本函數(cost function )評祛在晶圓階段 之製程與電性參數變異而施行虛設填入調整所造成之影 響。 204.如申請專利範圍第158、159、160或161項所述之 方法,其中亦包括: 根據結合多組圖案附屬模型產生於一製程中配置虚設 填入之一策略;以及 預測依該策略產生之該虛設填入對製程變異之影響。 20 5·如申請專利範圍第158、159、160或161項所述之· 方法,其中亦包括根據結合多組圖案附屬模型產生於一製 程中配置虛設填入之一策略,最佳化整體晶片之晶圓階段 與電性參數。 206·如申請專利範圍第158、159、160或161項所述之 方法,其中亦包括根據已預測或已模擬之晶圓階段與電性 參數’產生複數虛設填入規則,並用於一半導體製造製程 中虛設填入之配置。 20 7·如申請專利範圍第2 06項所述之方法,其中該等 虛設填入規則包括虛設填入之尺寸。 20 8·如申請專利範圍第206項所述之方法,其中該等 虛設填入規則包括虛設填入之配置。 2 0 9.如申請專利範圍第2 0 6項所述之方法,其中該等 虛設填入規則包括虛設填入分級單元之形成與管理。 2 1 0 ·如申請專利範圍第1 5 8、1 5 9、1 6 0或1 6 1項所述之1057-5667-PF (Nl) .ptd Page 290 200405184 VI. The scope of patent application is omitted; and a cost function is used to evaluate the variation of the process and electrical parameters at the wafer stage and perform a dummy filling in the adjustment The impact. 204. The method according to item 158, 159, 160, or 161 of the scope of patent application, further comprising: generating a strategy of configuring a dummy filling in a process according to a combination of a plurality of pattern auxiliary models; and predicting the The effect of this dummy filling generated by the strategy on process variation. 20 5. The method described in item 158, 159, 160, or 161 of the scope of patent application, which also includes a strategy of generating dummy filling in a process based on combining multiple sets of pattern auxiliary models to optimize the overall Wafer stage and electrical parameters of the wafer. 206. The method described in item 158, 159, 160, or 161 of the scope of patent application, which also includes generating multiple dummy filling rules based on predicted or simulated wafer stages and electrical parameters, and used in a semiconductor manufacturing Configurations filled in during the manufacturing process. 20 7. The method described in item 20 of the scope of patent application, wherein the dummy filling rules include the size of the dummy filling. 20 8. The method according to item 206 of the scope of patent application, wherein the dummy filling rules include the configuration of dummy filling. 209. The method as described in item 206 of the scope of patent application, wherein the dummy filling rules include the formation and management of dummy filling grade units. 2 1 0 · As described in the scope of patent application No. 1 5.8, 159, 1 6 0 or 16 1 1057-5667-PF(Nl).ptd 第291頁 2004051841057-5667-PF (Nl) .ptd p. 291 200405184 方法,其中亦包括: k供複數虛設填入功能 利用該等功能自動地調 子佈局檔案。 以產生該虛設填入策略;以 整一半導體裝置之複數讣3 ^ 21 1 ·如申請專利範圍第】5 8 方法,其中亦包括: 在一網路伺服器上接收自-置之一佈局檔案; 、159、160或161項戶斤述^ 客戶端傳至之一半導體 之 骏 在該伺服器產生該佈 及 局檔案之複數虛設填入調整 以 述之Method, which also includes: k for plural dummy fill functions Use these functions to automatically adjust the layout file. To generate the dummy filling strategy; to use the plural number of a whole semiconductor device 讣 3 ^ 21 1 · If the scope of the patent application] 5 8 method, which also includes: receiving a layout file from a network on a network server ; 159, 160, or 161 household descriptions ^ The client passed to a semiconductor semiconductor to generate a plurality of dummy files of the distribution and bureau files on the server. Fill in the adjustments to describe them. 將該已調整虛設填入之佈局檔案傳回該客戶端。 21 2·如申請專利範圍第158、159、160或161項所 方法,其中亦包括·· T 口口在=祠服器上提供—服務,使一使用者可與在該飼服 器上執行之一虛設填入應用進行互動式之設定 (conf igure );以及 該使用者可利用該虛設填入應用產生虛設填入資訊。 21 3·如申請專利範圍第158、159、160或161項所述之 方法,其中亦包括··The layout file filled with the adjusted dummy is returned to the client. 21 2 · If the method of the patent application scope No. 158, 159, 160 or 161, also includes ... T mouth to provide services on the = server, so that a user can execute One of the dummy filling applications is configured interactively (conf igure); and the user can use the dummy filling applications to generate dummy filling information. 21 3. The method as described in item 158, 159, 160 or 161 of the scope of patent application, which also includes ... 一使用者可於一網路上確認對應一半導體設計與一製 造製程之虛設填入資訊。 214·如申請專利範圍第213頊所述之方法,其中該被 確認之虛設填入資訊至少包括一處設填入圖案、一虛設填 入策略、或一虛設填入表現其中之〆。A user can confirm the dummy filling information corresponding to a semiconductor design and a manufacturing process on a network. 214. The method described in the scope of patent application No. 213), wherein the confirmed dummy filling information includes at least one dummy filling pattern, a dummy filling strategy, or a dummy filling performance. 1057-5667-PF(Nl).ptd1057-5667-PF (Nl) .ptd 第292頁 200405184 六、申請專利範圍 2 1 5 ·如申請專利範圍第2 1 3項所述之方法,其中被確 認之虛設填入資訊係對應該半導體設計之一單獨内連線 層。 2 1 6 .如申請專利範圍第2 1 3項所述之方法,其中被確 認之虛設填入資訊係對應該半導體設計之複數單獨内連線 層。 217·如申請專利範圍第213項所述之方法,其中亦包 括比對複數虛設填入標的之尺寸,並為該半導體設計之單 一或複數内連線層產生該等標的之一虛設填入圖案。 218·如申請專利範圍第214項所述之方法,其中該虛 · 設填入 &gt; 訊包括複數虛設填入規則。 2 1 9 ·如申請專利範圍第2 1 7項所述之方法,其中該圖 案包括複數氧化或金屬虛設填入標的。 22 0·如申請專利範圍第217項所述之方法,其中該虛 設填入圖案之該等標的係配置以最小化八曰/片薄膜厚度之 變異。 王曰曰 221·如申請專利範圍第217項所述之方法,其中該虛 設填入圖案之該等標的係配置以最小化全晶於複數電性 參數之變異。 aa 、 22 2·如申請專利範圍第221項所 電性參數至少包括薄膜電阻值、電阻方法,其中^ 訊、壓降、驅動電流損耗、介電常數、、、電容值、串#奴 其中之一。 、以及有效介電㊉數 2 2 3 ·如申請專利範圍第2 1 〇項Page 292 200405184 VI. Scope of Patent Application 2 1 5 · The method described in item 21 of the scope of patent application, in which the confirmed dummy information is a separate interconnect layer corresponding to one of the semiconductor designs. 2 1 6. The method as described in item 21 of the scope of patent application, wherein the confirmed dummy information is a plurality of separate interconnect layers corresponding to the semiconductor design. 217. The method as described in item 213 of the scope of patent application, which also includes comparing the dimensions of a plurality of dummy fill in targets and generating a dummy fill pattern of one of the targets for a single or multiple interconnect layer of the semiconductor design. . 218. The method according to item 214 of the scope of patent application, wherein the dummy entry &gt; message includes plural dummy entry rules. 2 1 9 · The method as described in item No. 21 of the scope of patent application, wherein the pattern includes multiple oxidation or metal dummy filling in the subject. 220. The method as described in item 217 of the scope of patent application, wherein the target systems of the dummy fill pattern are configured to minimize variation in thickness of the film. Wang Yueyue 221. The method as described in item 217 of the scope of patent application, wherein the target system configuration of the dummy filling pattern is to minimize the variation of the whole crystal in complex electrical parameters. aa, 22 2 · As described in the patent application No. 221, the electrical parameters include at least the thin film resistance value and resistance method, among which the voltage, voltage drop, driving current loss, dielectric constant, capacitance value, and string # slave among them One. And the effective dielectric constant 2 2 3 所逃之方法,其中該G D SEscaped method, wherein the G D S l〇57-5667-PF(Nl).ptd 200405184 六、申請專利範圍 檔案係被調整以改進該丰導I#裝班 ^ A &amp;二置之平坦性與電性效能。 224. 士 U利砣圍第223項 程包括溝槽製程流程。 乃决„ Ψ 22 5.如申請專利範圍第213項所述之方法,其中該網 路為-内部網路、一外部網路、或一網際網路。 226·如申明專利範圍第158、159、16〇或項所述之 方法,其中亦包括: ^在该伺服裔上提供一服務,使一使用者可與在該伺服〇57-5667-PF (Nl) .ptd 200405184 VI. Scope of patent application The file system was adjusted to improve the flatness and electrical performance of the A &amp; A. 224. Taxi U Lee Wai Wai's 223th process includes the trench manufacturing process.决 „22 5. The method according to item 213 of the scope of patent application, wherein the network is-an internal network, an external network, or an Internet. , 160 or the method described in the item, further including: ^ providing a service on the server, so that a user can communicate with the server 器上執行之一虛設填入應用進行互動式之設定 (configure);以及 該使用者可利用該虛設填入應用產生虛設填入資訊。 227·如申請專利範圍第158、159、160或161項所述之 方法,其中該虛設填入配置策略包括利用複數虛設填入私 的改善低介電電常數之介電結構之結構積體性 (structure integrity) 〇 228·如申請專利範圍第158、159、160或161項所述f -1:¾A dummy fill application running on the device is configured interactively (configure); and the user can use the dummy fill application to generate dummy fill information. 227. The method according to item 158, 159, 160, or 161 of the scope of patent application, wherein the dummy filling configuration strategy includes the use of a plurality of dummy fillings to improve the structural integrity of a low-dielectric constant dielectric structure ( structure integrity) 〇228 · As described in the scope of patent application No. 158, 159, 160 or 161 f -1: ¾ 方法,其中該虛設填入配置策略包括利用複數虛設填入 的維持或改善具低介電電常數之介電結構之介電常數。 22 9·如申請專利範圍第217項所述之方法,其中在〆 溝槽製程流程之所有步驟中維持該有效介電常數。 方 2 3 0 ·如申請專利範圍1 5 8、1 5 9、1 6 0或1 6 1項所述之冰 法,其中該虛設填入配置策略包括於一溝槽製程流私積 用複數虛設填入標的以促成複數低介電係數介電材科&amp; 體性。Method, wherein the dummy fill configuration strategy includes using a plurality of dummy fills to maintain or improve a dielectric constant of a dielectric structure having a low dielectric constant. 22 9. The method according to item 217 of the patent application scope, wherein the effective dielectric constant is maintained in all steps of the 〆 trench process flow. Party 2 3 0 · The ice method as described in the scope of application for patents 158, 159, 160, or 161, wherein the dummy filling configuration strategy includes a plurality of dummy dummy for private accumulation in a trench process flow Fill in the subject to promote the complex low-k dielectric material &amp; physical properties. 1057-5667-PF(Nl).ptd 第294頁 200405184 六、申請專利範g ' &quot; -- 方、&gt; 3^;如申請專利範圍第158、159、160或161項所述之 方法’其中亦包括: 二半導體虛設填入資訊之資料庫(Hbrary); 、、&quot;该資料庫並用以產生複數虛設填入配置規格;以 改變虛設填入資訊以更新該資料庫。 方半3^如申請專利範圍第158、159、160或161項所述之 數方據至沙下列之一儲存校準資訊··複數製程工具、複 數配方、以及複數流程;以及 是 ^Ϊ新該校準資訊以反應該等製程工具、該等配方、或 該寺k程之變化。 M3.如申請專利範圍第229項所述之方法,其中亦包 括利用該校準資訊產生一虛設填入策略。 23 4·如申請專利範圍第229項所述之方法,其中亦包 ^ ^ ^欲侍之複數虛設填入特性之校準資料庫中選擇出複 数衣程工具、複數配方、以及複數流程。 23 5.如申請專利範圍第158、159、16〇或ΐ6ΐ項 方法,其中亦包括: ^ 一,用者可透過一使用者介面裝置之一使用者介面, 1 :早擊(Single CliCk )操作取得一半導體設計所需 之一虛設填入策略。 Τ Μ而 方法23 6其利範圍第158、159、160或161項所述之1057-5667-PF (Nl) .ptd Page 294 200405184 VI. Application for patents g '&quot;-Fang, &gt; 3 ^; The method described in the scope of the patent application 158, 159, 160 or 161' It also includes: a database of semiconductor dummy filling information (Hbrary) ;, &quot; The database is also used to generate a plurality of dummy filling configuration specifications; to change the dummy filling information to update the database. Fangban 3 ^ Store the calibration information according to the data described in item 158, 159, 160 or 161 of the scope of the patent application to one of the following: complex process tools, complex recipes, and complex processes; and The calibration information reflects changes in the process tools, the recipes, or the temple process. M3. The method described in item 229 of the scope of patent application, which also includes generating a dummy filling strategy using the calibration information. 23 4. The method as described in item 229 of the scope of patent application, which also includes ^ ^ ^ a plurality of dummy filling process, a calibration database of characteristics to be selected, a plurality of clothes process tools, a plurality of formulas, and a plurality of processes. 23 5. If the method of applying for patent No. 158, 159, 160, or ΐ6ΐ, also includes: ^ one, the user can use a user interface of a user interface device, 1: Early CliCk operation One of the dummy fill strategies required to obtain a semiconductor design. T M and method 23 6 benefits as described in item 158, 159, 160 or 161 200405184 六、申請專利範圍 一使用者可於該網路上利用複數網路服務取得一半導 體設計所需之一虛設填入策略;以及 該所產生之策略所適用之製造製程包含一或多個製造 階段。 2 3 7. —種方法,包括下列步驟: 在電化學機械沈積或化學氣相沈積低介電常數之介電 層間層時,或塗佈低介電常數之介電層間時採用圖案附屬 模型與預測。 2 3 8. —種方法,包括下列步驟: 根據一化學機械研磨製程之電性影響分析與一圖案附 屬模型,於一半導體製造製程中產生虛設填入配置之一策 略;以及 利用該圖案附屬模型與該電性影響分析以評估置入該 虛設填入之預期結果; 該模型與該電性影響分析之利用係包含於產生虛設填 入配置之該策略中。 2 3 9. —種方法,包括下列步驟: 根據一化學機械研磨製程之電性影響分析與一圖案附 屬模型,於一半導體製造製程中產生虛設填入配置之一策 略;以及 利用該圖案附屬模型與該電性影響分析以評估置入該 虛設填入之預期結果; 該所產生之策略所適用之製造製程包含一氧化化學機 械研磨製程以外之製造製程。200405184 6. Scope of Patent Application A user can use a plurality of network services on the network to obtain a dummy filling strategy required for a semiconductor design; and the manufacturing process to which the generated strategy is applicable includes one or more manufacturing stages . 2 3 7. A method including the following steps: When using electrochemical mechanical deposition or chemical vapor deposition of a low dielectric constant dielectric interlayer, or applying a low dielectric constant dielectric interlayer, a pattern attachment model and prediction. 2 3 8. A method including the following steps: a strategy for generating a dummy fill configuration in a semiconductor manufacturing process based on an electrical impact analysis of a chemical mechanical polishing process and a pattern attachment model; and using the pattern attachment model And the electrical impact analysis to evaluate the expected results of placing the dummy fill; the use of the model and the electrical impact analysis is included in the strategy for generating the dummy fill configuration. 2 3 9. A method including the following steps: a strategy for generating a dummy fill configuration in a semiconductor manufacturing process based on an electrical impact analysis of a chemical mechanical polishing process and a pattern attachment model; and using the pattern attachment model And the electrical impact analysis to evaluate the expected results of placing the dummy fill; the manufacturing process to which the generated strategy applies includes manufacturing processes other than the CMP process. 1057-5667-PF(Nl).ptd 第296頁 200405184 六、申請專利範圍 一 1 1 2 4 0 · —種方法,包括下列步驟: 根據一化學機械研磨製程之一圖 模塑,於一半 導體製造製程中產生虛設填入配置之二策略;以及 利用該圖案附屬模型以評估置入該虛設填入之預期結 該所產生之策略所適用之製造製或多個製造 階段。 241·如申請專利範圍第24〇項所述之方法,其中每一 階段包括一製程配方。 24 2·如申請專利範圍第24〇項所述之方法,其中每一 階段包括一製程型式。 24 3·如申請專利範圍第24〇項所述之方法,其中每一 階段包括一製程流程。 2 4 4. —種方法,包括下列步驟: 根據一化學機械研磨製程之一圖案附屬模蜇,於一半 導體製造製程中產生虛設填入配置之一策略;以及 利用該圖案附屬模型以評估置入該虛設填入之預期結 果;1057-5667-PF (Nl) .ptd Page 296 200405184 VI. Scope of Patent Application 1 1 2 4 0 · A method including the following steps: Molding according to a diagram of a chemical mechanical polishing process and manufacturing it in a semiconductor The second strategy of generating a dummy filling configuration in the manufacturing process; and using the pattern auxiliary model to evaluate the manufacturing system or multiple manufacturing stages to which the expected filling of the dummy filling is applied to the generated strategy. 241. The method as described in claim 24 in the scope of patent application, wherein each stage includes a process recipe. 24 2. The method according to item 24 of the scope of patent application, wherein each stage includes a process pattern. 24 3. The method according to item 24 of the scope of patent application, wherein each stage includes a process flow. 2 4 4. A method including the following steps: a strategy for generating a dummy fill configuration in a semiconductor manufacturing process according to a pattern attachment mold of a chemical mechanical polishing process; and using the pattern attachment model to evaluate placement The expected result of the false entry; 該所產生之策略所適用之製造製程包含一研磨或平坦 化製程,其中可移除一種以上的材料。 24 5.如申請專利範圍第244項所述之方法,其中亦包 括複數電性分析。 2 4 6 · —種方法,包括下列步驟: 操作一伺服器以提供做為一半導體設計之複數虛設填The manufacturing process to which the resulting strategy applies includes a grinding or planarization process in which more than one material can be removed. 24 5. The method described in item 244 of the scope of patent application, which also includes multiple electrical analysis. 2 4 6 · A method including the following steps: Operating a server to provide multiple dummy fills as a semiconductor design l〇57-5667-PF(Nl).ptdl〇57-5667-PF (Nl) .ptd 第297頁 200405184 六、申請專利範圍 入產生功能; 一使用者 該等虛設填入 2 4 7 ·如申 服器位於該使 2 4 8.如申 用者可遠端控 24 9.如申 虛設填入配置 學機械沈積、 積、以及化學 2 5 0 ·如申 虛設填入配置 251.如申 虛設填入配置 2 5 2 · —種 利用一圖 以及 可於一客戶端經由操作一網路瀏覽器以使 第2 4 6項所述之方法,其中該伺 第2 4 6項所述之方法,其中該使 〇 第2 4 6項所述之方法,其中該等 於至少下述製程其中之一:電化 積、塗佈製程、微影、電化學沈 第246項所述之方法,其中該等 於淺溝槽隔離。 第246項所述之方法,其中該等 於氧化介電層。 下列步驟: 分析一積體電路設計; 填入策略; 入策略之該設計; 根據該分析調整該策略; 重複該分析並調整複數步驟;以及 % # 確認根據該已調整設計所製造之一積體電路付口 預 用 配置功能 請專利範圍 用者附近。 請專利範圍 制該伺服器 請專利範圍 功能係運用 化學氣相沈 機械研磨。 請專利範圍 功能係運用 請專利範圍 功能係運用 方法,包括 案附屬模型 對該設計應用一虛設 分析已應用該虛設填 設之物理與電性參數。 &amp; &lt; Φ該兩 25 3.如申請專利範圍第240項所述之方法’長τPage 297 200405184 VI. Patent application scope generation function; a user fills in the dummy 2 4 7 · If the application server is located in the messenger 2 4 8. If the applicant can remotely control 24 9. If the application is virtual Fill in the configuration of mechanical deposition, deposition, and chemistry 2 50 · If you apply to fill in the configuration 251. If you want to fill in the configuration 2 5 2 ·-a kind of use of a map and can be operated on a client through a web browser Using the method described in item 246, wherein the method described in item 226, wherein the method described in item 226, which is equal to at least one of the following processes : The method described in item 246 of electrochemical deposition, coating process, lithography, and electrochemical deposition, wherein this is equal to shallow trench isolation. The method according to item 246, wherein the oxidizing dielectric layer. The following steps: Analyze an integrated circuit design; fill in the strategy; enter the design of the strategy; adjust the strategy based on the analysis; repeat the analysis and adjust the plural steps; and% # confirm an integrated circuit manufactured based on the adjusted design The circuit sub-port pre-use configuration function should be near the user of the patent scope. The scope of patent is to make the server. The scope of patent is to use chemical vapor deposition and mechanical polishing. Please apply the scope of the patent. Use the scope of the patent. Use the method, including the model attached to the case. Apply a dummy to the design. Analyze the physical and electrical parameters of the dummy fill. &amp; &lt; ΦThe two 25 3. The method as described in the 240th scope of the patent application 'long τ 1057-5667-PF(Nl).ptd 第298頁 2004051841057-5667-PF (Nl) .ptd Page 298 200405184 階段包括兩組或更多組製程。 其中該雨 2 5 4·如申請專利範圍第24〇項所述之方法’ /、 階段包括在單一製程中兩組或更多組少雜。 其中該雨 2 5 5.如申請專利範圍第24〇項所述之方法,、 階段包括在單一製程型式中兩組或更多組夕驟。其中該雨 25 6·如申請專利範圍第24〇項所述之方法’&quot; 階段包括在一製程流程中兩組或更多組少驊。其中該雨 25 7·如申請專利範圍第240項所述之方法’ /、 階段包括沈積與化學氣象沈積。 立中該策f 25 8·如申請專利範圍第24〇項所述之方法’ /、 略之產生包括產生複數組虛設填入之規則。 2 5 9. —種方法,包括下列步驟: 定義用以虛設填入之一組複數分級單元配置 (hierarchical cell Placements );以及 利用該荨分級卓元配置加入虛設填入以減少 電 局檔案之大小。 ° ' 260·如申請專利範圍第238、239、240或244項所述之 方法,其中一使用者係經由一網路瀏覽器與一網路伺服器 執行該虛設填入產生作業。 261·如申請專利範圍第260項所述之方法,其中該伺 服器位於該使用者附近。 2 6 2 ·如申請專利範圍第2 6 0項所述之方法,其中該使 用者可遠端控制該伺服器。 26 3·如申請專利範圍第260項所述之方法,其中該虛A phase consists of two or more sets of processes. Wherein the rain 2 54. The method as described in item 24 of the scope of application for patents // The stage includes two or more groups in a single process with less complexity. The rain 2 5 5. The method described in item 24 of the scope of patent application, the stage includes two or more sets of steps in a single process type. Among them, the rain 25 6. The method according to item 24 of the scope of application for patent &apos; phase includes two or more groups in a process flow. The rain 25 7 · The method as described in item 240 of the scope of the applied patent '/' stage includes deposition and chemical meteorological deposition. Li Zhongzhong's policy f 25 8 · The method described in item 24 of the scope of application for patent application '/, the generation of the strategy includes the rule of generating a complex array of dummy entries. 2 5 9. A method, including the following steps: defining a group of plural hierarchical cell placements for dummy filling; and using the dummy hierarchical configuration to add dummy filling to reduce the size of the electrical office file . ° '260. The method according to item 238, 239, 240, or 244 of the scope of patent application, wherein a user performs the dummy filling generation operation through a web browser and a web server. 261. The method according to item 260 of the scope of patent application, wherein the server is located near the user. 2 6 2 · The method as described in item 260 of the scope of patent application, wherein the user can remotely control the server. 26 3. The method according to item 260 of the scope of patent application, wherein the virtual 1057-5667-PF(Nl).ptd 第299頁 200405184 六、申請專利範圍 没填入的產生係為一伺服器上所提供之網路服務。 2 6 4·如申請專利範圍第238、23 9、240或244項所述之 方法’其中該製程包括一墊化學機械沈積製程。 26 5.如申請專利範圍第238、23 9、240或244項所述之 方法’其中該配置虛設填入之策略包括決定虛設填入之尺 寸與配置。 266·如申睛專利範圍第238、239、240或244項所述之 方法,其中該製造製程包括形成一具低介電值之介電間層 (interlayer ) ° 2 6 7·如申請專利範圍第24〇項所述之方法,其中該製 f 造製程包括化學氣相沈積或旋塗(spin —〇Γ1)具低介電值 之介電材質。 26 8·如申請專利範圍第238、23 9、240或244項所述之 方法’其中該虛設填入策略之產生包括將一半導體設計分 割成複數格(g r i d s )。 269·如申請專利範圍第238、239、240或244項所述之 方法’其中該虛設填入策略之產生包括擷取一半導體設計 之每一該等格中區域圖案之密度。1057-5667-PF (Nl) .ptd Page 299 200405184 VI. Patent Application Scope The fields not filled in are the network services provided on a server. 2 6 4. The method described in the scope of application for a patent No. 238, 23 9, 240 or 244 ', wherein the process includes a pad chemical mechanical deposition process. 26 5. The method according to item 238, 23, 9, 240 or 244 of the scope of the patent application, wherein the strategy of configuring the dummy filling includes determining the size and configuration of the dummy filling. 266 · The method as described in the scope of patent application No. 238, 239, 240 or 244, wherein the manufacturing process includes forming a dielectric interlayer with a low dielectric value ° 2 6 7 · If the scope of patent application The method according to item 24, wherein the manufacturing process includes a chemical vapor deposition or spin coating (spin — 0Γ1) dielectric material having a low dielectric value. 26 8. The method according to item 238, 23 9, 240, or 244 of the scope of patent application, wherein the generation of the dummy filling strategy includes dividing a semiconductor design into a plurality of cells (g r d s). 269. The method as described in claim 238, 239, 240, or 244, wherein the generation of the dummy filling strategy includes retrieving the density of the area pattern in each grid of a semiconductor design. 2 7 0·如申請專利範圍第238、23 9、240或244項所述之 方法’其中該虛設填入策略之產生包括擷取一半導體設計 之每一該等格中區域線寬。 271·如申請專利範圍第238、239、240或244項所述之 方法’其中該虛設填入策略之產生包括擷取一半導體設計 之每一該等格中區域線間距。2 7 0. The method as described in the scope of patent application No. 238, 23 9, 240, or 244, wherein the generation of the dummy filling strategy includes extracting a line width of a region in each grid of a semiconductor design. 271. The method according to item 238, 239, 240, or 244 of the scope of the patent application, wherein the generation of the dummy filling strategy includes extracting an area line spacing in each grid of a semiconductor design. 1057-5667-PF(Nl).ptd 第300頁 ι〇^ ι〇^ 六、申請專利範圍 2 7 2.如中 設填入朿略之 27 3.如申 括利用複數模 計算出薄膜厚 2 7 4 ·如申 括計算薄膜厚 27 5·如申 括取得每一該 27 6.如申 括對應每一該 以及接合區塊 27 7·如申 設填入策略包 )加入虛設填 278·如申 設填入包括於 27 9·如申 在加入虛設填 28 0·如申 括在加入虛設 度。 281.如申 方法,其中該 請專利範圍 產生包括計 請專利範圍 型對應產生 度之非平坦 請專利範圍 度之變異。 請專利範圍 等格中所有 請專利範圍 等物件產生 (bounding 晴專利範圍 括於每一該 入0 睛專利範圍 複數物件中 請專利範圍 入後重新計 請專利範圍 填入後重新 請專利範圍 虛設填入策 第2 71項辦 咏卜貝所述之方法,其中該虛 格之一有效圖案密度。 第2 7 2項戶斤、+、 角所述之方法,其中亦包 f設填入策略之一半導體設計’ 度(n〇n~Uniformit )。 第 273 項~、+、&gt; 、所逑之方法,其中亦包 弟 2 6 8 項 %、+、 貝所述之方法,其中亦包 物件之座標。 第2 7 5項所述之方法,其中亦包 至少一組線寬、線間距、長度、 Box ) 〇 f 276項所述之方法,其中該虛 專格之複數空區(empty areas 第277項所述之方法,其中該虛 ,複數溝槽(slots )。 第278項所述之方法,其中包栝 算一區域密度。 第2 7 9項所述之方法,其中亦包 計算每一該等格中一有效圖案密 第238、23 9、240或244項所述之 略係根據下述規則中至少/類電1057-5667-PF (Nl) .ptd Page 300 ι〇 ^ ι〇 ^ VI. Patent application scope 2 7 2. If the setting is filled in, the 27 will be omitted. 3. If applying, calculate the film thickness by using the complex modulus. 2 7 4 · If claiming, calculate the thickness of the film 27 5 · If claiming, obtain each of the 27 27. If claiming, corresponding to each of these and joining the block 27 7 · If claiming, fill in the strategy package) Add the dummy filling 278 · Such as Filling in the application is included in 27 9 · Rushen is joining the dummy filling 28 0 · If the claim is being joined in the dummy degree. 281. The method as claimed, in which the patented scope produces non-flat patented scopes including the corresponding degree of patented scope. Please create all the items in the patent range, etc. (bounding sunny patent range is included in each of the multiple objects in the patent range, please recalculate after the patent range is entered, please fill in the patent range, and then re-invent the patent range.) In the method described in item 2 71, the method described in Yongbu Bei, where one of the virtual grids has an effective pattern density. In the method described in item 2, 72, 斤, +, and angle, it also includes the method of filling in the strategy. A semiconductor design degree (n〇n ~ Uniformit). Item 273 ~, +, &gt;, the method described, which also includes the method described in 268%, +, and the method, which also includes objects The method described in item 275, which also includes at least one set of line width, line spacing, length, Box) The method described in item 276, wherein the virtual area has a plurality of empty areas (empty areas The method according to item 277, wherein the imaginary and complex slots are described. The method according to item 278, wherein a region density is calculated. The method according to item 279, which also includes calculating each A valid pattern in a grid 3 Slightly referred to in item 9, 240 or 244 200405184 六、申請專利範圍 性參數變異公差(tolerance ):電容值與電阻值、薄膜 電阻值、輸出延遲、偏態(skew )、壓降、驅動電流損 耗、介電常數、或串擾雜訊(cr〇Sstalkrl〇ise)。 28 2·如申請專利範圍第280項所述之方法,其中係根 據一研磨製程平坦化長度計算出該有效圖案密度。 28 3·如申請專利範圍第280項所述之方法,其中係根 據橢圓形加權窗(elliptically weighted window)或其 他濾波器計算出該有效圖案密度。 28 4·如申請專利範圍第238、239、24〇或244項所述之 方法’其中根據複數物理參數決定一薄膜厚度之非平坦 度0 285·如申請專利範圍第238、239、240或244項所述之 方法’其中該等物理參數包括密度、線寬、亦/或線間 距。 28 6·如申請專利範圍第238、23 9、240或244項所述之 方法’其中亦包括利用該虛設填入策略降低於化學機械研 磨前或後之電鍍銅沈積變異。 28 7·如申請專利範圍第238、23 9、240或244項所述之 方法’其中係自動地完成該虛設填入策略。200405184 VI. Patent application scope Parameter variation tolerance (tolerance): capacitance value and resistance value, film resistance value, output delay, skew, voltage drop, drive current loss, dielectric constant, or crosstalk noise (cr 〇Sstalkrl〇ise). 28 2. The method according to item 280 of the scope of patent application, wherein the effective pattern density is calculated based on a flattening length of a grinding process. 28 3. The method according to item 280 of the scope of patent application, wherein the effective pattern density is calculated based on an elliptically weighted window or other filter. 28 4. The method as described in the scope of patent application No. 238, 239, 24 or 244 'wherein the non-flatness of a film thickness is determined according to a plurality of physical parameters 0 285 The method of item 'wherein the physical parameters include density, line width, and / or line spacing. 28 6. The method described in item 238, 23, 9, 240 or 244 of the scope of patent application, which also includes using the dummy filling strategy to reduce the variation of electroplated copper deposition before or after CMP. 28 7. The method according to the scope of application for patents No. 238, 23 9, 240 or 244, wherein the dummy filling strategy is automatically completed. 28 8·如申請專利範圍第258項所述之方法,其中根據 電子設計方針之複數虛設填入規則隨技術或複數設計參 而動態地產生。 28 9·如申請專利範圍第258項所述之方法,其中一有 效圖案密度係動態地隨一製程平坦化長度而改變。28 8. The method according to item 258 of the scope of patent application, wherein the plural dummy filling rules according to the electronic design policy are dynamically generated with technical or plural design parameters. 28 9. The method according to item 258 of the scope of patent application, wherein an effective pattern density dynamically changes with the flattening length of a process. 1057-5667-PF(Nl).ptd 第302頁 2004051841057-5667-PF (Nl) .ptd p. 302 200405184 290·如申請專利範圍第238、239、24〇或244項所述 方法’其中該製造製程包括微影製程。 291·如申請專利範圍第238、23 9、240或244項所述之 方法’其中該製造製程包括電化學式沈積。 292·如申請專利範圍第238、239、240或244項所述之 方法’其中該製造製程包括銅化學機械式研磨。 29 3·如申請專利範圍第238、23 9、240或244項所述之 方法’其中該製造製程包括電化學機械沈積。 29 4·如申請專利範圍第238、23 9、240或244項所述之 方法’其中該製造製程包括低介電常數之介電材質。 295·如申請專利範圍第238、239、240或244項所述之 方法,其中該製造製程包括低介電常數之介電材質製程。 296·如申請專利範圍第238、239、240或244項所述之 方法’其中亦包括自一半導體佈局中擷取出複數圖案附 屬。 2 9 7 ·如申請專利範圍第2 9 6項所述之方法,其中該等 佈局附屬包括對應線間距、線寬、或線密度。 2 9 8 · —種方法,包括下列步驟: 利用已圖案化之測試晶圓或測試複數半導體裝置之方 法’對應一預選工具或製程配方以校準一圖案附屬模型; 以及 根據一半導體製程之一圖案附屬模型產生製程中配置 虛設填入之該策略。 2 9 9 · —種方法,包括下列步驟:290. The method as described in claim 238, 239, 240, or 244, wherein the manufacturing process includes a lithography process. 291. The method as described in claim 238, 23, 9, 240, or 244, wherein the manufacturing process includes electrochemical deposition. 292. The method as described in claim 238, 239, 240 or 244, wherein the manufacturing process includes copper chemical mechanical grinding. 29 3. The method as described in claim 238, 23, 9, 240 or 244, wherein the manufacturing process includes electrochemical mechanical deposition. 29 4. The method according to item 238, 23, 9, 240, or 244 of the patent application scope, wherein the manufacturing process includes a dielectric material with a low dielectric constant. 295. The method of claim 238, 239, 240, or 244, wherein the manufacturing process includes a dielectric material process with a low dielectric constant. 296. The method described in the scope of patent application No. 238, 239, 240 or 244, which also includes extracting a plurality of pattern attachments from a semiconductor layout. 297 · The method as described in item 296 of the patent application scope, wherein the layout attachments include corresponding line spacing, line width, or line density. 2 9 8 · A method comprising the following steps: a method of using a patterned test wafer or a plurality of semiconductor devices to test a pre-selected tool or process recipe to calibrate a pattern accessory model; and a pattern according to a semiconductor process The strategy is configured by filling in dummy models in the auxiliary model generation process. 2 9 9-A method including the following steps: 1057-5667-PF(Nl).ptd 第303頁 200405184 六、申請專利範圍 利用下 型:電化學 影、淺溝槽 介電層、以 根據該 略 30 0.- 利用一 (features 膜厚度變異 阻值、電阻 耗、介電常 根據該 略0 301.- 根據該 略;以及 利用一 之製程與電 響。 述至少一製程產 沈積、電化學機 絕緣化學機械研 及旋塗低介電常 圖案附屬模型產 種方法,包括下 已校準之圖案附 )與複數晶圓階 、碟陷、侵餘, 值、電容值、串 數、以及有效介 圖案附屬模型產 種方法,包括下 圖案附屬模型產 成本函數(cost 十生參數變異而施 =複數整體晶片圖案附屬模 t沈積、鋼化學機械研磨、微 叙丄化學氣相沈積低介電常數 數介電層;以及 生製程中配窨# Μ α 罝虛设填入之該策 列步驟: 型以比對圖案附屬特徵 又多數,如最終薄膜厚度、薄 以及複數電性炎 ,^ I沒參數,如薄膜電 擾雜訊、Μ降、驅動電流損電常數,以及 生製程中配置虛設填入之該策 列步驟: 生製程+配置虛設填入之該策 function )評估在晶圓階段 行虛設填入調整所造成之影 3 〇 2 · —種方法,包括下列步驟: 根據結合多組圖案附屬模型產生於一製程中配置虛設 填入之一策略;以及 預測依該策略產生之該虛設填入對製程變異之影響。1057-5667-PF (Nl) .ptd Page 303 200405184 VI. The scope of patent application uses the following types: electrochemical shadow, shallow trench dielectric layer, according to this slightly 30 0.- using a (features film thickness variation resistance Values, electrical resistance, and dielectric constants are generally based on this strategy. 301.- Based on this strategy; and the use of a process and electrical sound. The at least one process produces deposition, electrochemical machine insulation, chemical mechanical research, and spin-coated low dielectric constant patterns. Additional model production methods, including the calibration pattern attached below) and multiple wafer levels, dishing, backlash, value, capacitance value, number of strings, and effective medium pattern auxiliary model production methods, including the following pattern auxiliary model production The cost function (cost) is based on the variation of the ten-parameter parameters = the deposition of a plurality of overall wafer patterns, the deposition of steel mechanical mechanical polishing, the micro-chemical chemical vapor deposition of a low dielectric constant number of dielectric layers; and the production process # Μ α罝 Fill in the steps of this strategy: Compare the pattern with many additional features, such as the final film thickness, thinness, and multiple electrical inflammations, ^ I no parameters, such as film noise, M drop, drive power Loss of electricity constants, and the steps of the strategy to configure the dummy filling in the production process: Function of the strategy + the configuration of the dummy filling in the strategy function) To evaluate the effect of the dummy filling adjustment during the wafer stage 3 〇 2 The method includes the following steps: generating a strategy of configuring dummy filling in a process according to a combination of a plurality of pattern auxiliary models; and predicting the effect of the dummy filling generated by the strategy on process variation. 200405184 六、申請專利範圍 3 〇 3 · —種方法,包括下列步驟:根據姅合多組圖案附 屬模型產生於一製程中配置虛設填入之—,最佳化整 體晶片之晶圓階段與電性參數。 3 0 4. —種方法,包括下列步驟:根據已預測或已模擬 之晶圓階段與電性參數,產生複數虛設填入規則,旅用於 一半導體製造製程中虛設填入之配置。、、 30 5·如申請專利範圍第3 04項所述之其中該等 虛設填入規則包括虛設填入之尺寸。 〆 30 6·如申請專利範圍第304項所述之 其中該等 虛設填入規則包括虛設填入之配置。 杰 ’ 3〇7·如申請專利範圍第3〇4項所述之 其中該等 虛設填入規則包括虛設填入分級單元之形成與管理。 3 〇 8 · —種方法,包括下列步驟: ^供複數虛設填入功㉟以產生該纟設填入策略;以及 子佈局檔案。 乃蹩+導體裝置之複針 30 9. 一種方法,包括下列步驟· 第305頁200405184 VI. Scope of patent application 3 〇3 · A method, including the following steps: According to the combination of multiple sets of patterns attached model is generated in a process to configure dummy filling-to optimize the wafer stage and power of the overall wafer Sexual parameters. 3 0 4. A method including the following steps: generating a plurality of dummy filling rules based on predicted or simulated wafer stages and electrical parameters, and applying them to a dummy filling configuration in a semiconductor manufacturing process. , 30 5. As mentioned in Item 30 of the scope of patent application, where these dummy filling rules include the size of dummy filling. 〆 30 6 · As described in item 304 of the scope of patent application, where the dummy filling rules include the configuration of dummy filling. Jie '307. As described in item 304 of the scope of patent application, where these dummy filling rules include the formation and management of dummy filling grading units. 3 0 8-A method including the following steps: ^ for a plurality of dummy filling functions to generate the setting filling strategy; and a sub-layout file. Multiple Needles for Naaman + Conductor Device 30 9. A method including the following steps · page 305 在二網路伺服器上接收自— #導體裝 置之一佈局擋案; 广鲕得玉 在δ亥伺服器產生該佈局檔奢 t λ ,等;以 及 ^匈榣案之複數虚設填入凋工, 將該已調整虛設填入之佈 31〇·如申請專利範圍第3〇9° ^案傳回該客戶端 服益與該客戶端係利用網際網路相n之方法’其中該伺 麵 1057-5667-PF(Nl).ptd 六、申請專利範圍 3 1 1 ·如中 服器與該客戶 3 1 2 ·如巾 服器與該客戶 3 1 3 ·如中 服器與該客戶 network, VPN 3 1 4.如申 服器與該客戶 安全通訊協定 3 1 5 ·如申 服器與該客戶 layer, SSL ) 31 6.如中 服器與該客戶 network, VPN 31 7 · —種 在該伺服 器上執行之一 (configure 〕 該使用者 3 1 8.如申 用者係位於相 319 種 請專利範圍 端係利用外 請專利範圍 端係利用内 请專利範圍 端係利用虛 )相連接。 請專利範圍 端係利用安 〇 請專利範圍 端係利用安 安全通訊協 請專利範圍 端係利用虛 )安全通訊 方法,包括 器上提供一 虛設填入應 1 ;以及 可利用該虛 請專利範圍 對該伺服器之一遠端位置 方法,包括下列步驟: 第3 0 9項所述之方法 部網路網路相連接。 第3 0 9項所述之方法 部網路相連接。 第3 0 9項所述之方法 擬私人網路(virtual private 第3 0 9項所述之方法,其中該伺 全防護(secure shel 1,SSH ) 第3 0 9項所述之方法,其中該伺 全封包層(secure socket 第3 0 9項所述之方法,其中該伺 擬私人網路(virtual private 協定。 下列步驟: 服務,使一使用者可與在該伺服 用進行互動式之設定 設填入應用產生虛設填入資訊。 第3 1 7項所述之方法,其中該使 其中該伺 其中該伺 其中該伺Received from one of the ## conductor device layout files on the two network servers; Guangyao Deyu generated the layout file t λ on the δH server, etc .; Work, the adjusted dummy-filled cloth 31. If the scope of the patent application is 309 °, the client service is returned to the client and the client uses the Internet method. 'Where the server 1057-5667-PF (Nl) .ptd 6. Scope of patent application 3 1 1 · If the server and the client 3 1 2 · If the towel server and the client 3 1 3 · If the server and the client network, VPN 3 1 4. If the server and the client secure communication protocol 3 1 5 · If the server and the client layer, SSL) 31 6. If the server and the client network, VPN 31 7 One of the server's implementation (configure) the user 3 1 8. If the applicant is located in phase 319, please apply for the patent scope, use the patent scope, use the patent scope, and use the virtual connection. The patent scope is based on security. The patent scope is based on security communications. The patent scope is based on virtual security communications methods, including providing a dummy fill-in response on the device. A remote location method of the server includes the following steps: The method described in item 309 is connected to the network. The method described in item 309 is connected to the network. The method described in item 309 is a virtual private network (the method described in item 309, wherein the secure shel 1, SSH) is the method described in item 309, wherein the The full packet layer (secure socket method described in item 309), in which the server proposes a virtual private protocol (virtual private protocol). The following steps: service, so that a user can interact with the server to configure settings The filling application generates a dummy filling information. The method described in item 3 1 7, wherein 1057-5667-PF(Nl).ptd 第306頁 200405184 六、申請專利範圍 半導體設計與一製 一使用者可於一網路上確認斜鹿 造製程之虚設填入資訊。 〜 其中該被 ‘一虛設填 3 2 0 ·如申請專利範圍第3 1 9項所述 、 確認之虛設填入資訊至少包括一虛設填方法 入策略、或一虛設填入表現其中之一、圖案 3 2 1 ·如申請專利範圍第3 1 9項所什4 ^ ^ 認之虛設填入資訊係對應該半導體方法’,、被確 ^胚°又叶之一單獨内連線 層。 322.:申:專利範圍第319項所述之方法,其中被確 認之虛設填入身訊係對應該半導體設計之複數單獨内連線 層0 32 3·如申請專利範圍第319項所述之方法,其中亦包 括比對複數虛没填入標的之尺寸,並為該半導體設計之單 一或複數内連線層產生該等標的之一虛設填入圖案。 3 2 4 ·如申請專利範圍第3 1 9項所述之方法,其中該虛 设填入^说包括複數虛設填入規則。 3 2 5 ·如申睛專利範圍第3 1 9項所述之方法,其中該圖 案包括複數氧化或金屬虛設填入標的。 32 6·如申請專利範圍第319項所述之方法,其中該虛 設填入圖案之該等標的係配置以最小化全晶片薄膜厚度之 變異。 32 7·如申請專利範圍第319項所述之方法,其中該虛 設填入圖案之該等標的係配置以最小化全晶片於複數電性 參數之變異。1057-5667-PF (Nl) .ptd Page 306 200405184 6. Scope of Patent Application Semiconductor Design and One System A user can confirm the dummy input information of the oblique manufacturing process on a network. ~ Among which is a dummy filling 3 2 0 · As described in item 3 119 of the scope of patent application, the confirmed dummy filling information includes at least a dummy filling method entry strategy, or a dummy filling performance one or a pattern 3 2 1 · As described in item 3 119 of the scope of the patent application, it is assumed that the dummy filling information corresponds to the semiconductor method, and it is confirmed that the embryo is a separate interconnect layer. 322 .: Application: The method described in item 319 of the patent scope, in which the confirmed dummy is filled in the body information corresponding to the plurality of separate interconnect layers of the semiconductor design. 0 32 3 · As described in item 319 of the scope of patent application The method also includes comparing the dimensions of a plurality of dummy underfill targets and generating a dummy fill pattern of one of the targets for a single or complex interconnect layer of the semiconductor design. 3 2 4 · The method as described in item 319 of the scope of patent application, wherein the dummy filling includes a plurality of dummy filling rules. 3 2 5 · The method as described in item 3 119 of Shenyan's patent scope, wherein the pattern includes multiple oxidation or metal filling in the subject. 32 6. The method as described in item 319 of the scope of patent application, wherein the target configuration of the dummy fill pattern is configured to minimize variations in the thickness of the full wafer film. 32 7. The method as described in item 319 of the scope of patent application, wherein the target system configuration of the dummy fill pattern is to minimize the variation of the whole chip in complex electrical parameters. 1057-5667-PF(Nl).ptd 第307頁 200405184 六、申請專利範圍 32 8.如申請專利範圍第327項所述之方法,其中該等 電性參數至少包括薄膜電阻值、電阻值、電容值、串擾雜 訊、壓降、驅動電流損耗、介電常數、以及有效介電常數 其中之一。 32 9·如申請專利範圍第308項所述之方法,其中該GDS 槽案係被調整以改進該半導體裝置之平坦性與電性效能。 3 3 0 ·如申請專利範圍第3 2 7項所述之方法,其中該製 程包括溝槽製程流程。 331·如中請專利範圍第238、239、240或244項所述之 方法’其中該虛設填入配置策略包括利用複數虛設填入標j 的改善低介電電常數之介電結構之結構積體性 (structure integrity)。 、332·如申請專利範圍第238、23 9、240或244項所述之 方法,其中該虛設填入配置策略包括利用複數虛設填入標 的維持或改善具低介電電常數之介電結構之介電常數。 33 3.如申請專利範圍第332項所述之方法,其中在一 溝槽製程流程之所有步驟中維持該有效介電常數。 334·如申請專利範圍23 8、2 39或240項所述之方法, 其中該虛設填入配置策略包括於一溝槽製程流程中使用複 數虛設填入標的以促成複數低介電係數介電材料之積體 335. —種媒體,可負載 者可搜尋並取得包含在複數 入標的之複數尺寸資訊。 汛,並設定一裝置使一使用 導體裝置設計中複數虛設填1057-5667-PF (Nl) .ptd Page 307 200405184 6. Application for Patent Scope 32 8. The method described in Item 327 of the Patent Application Scope, where the electrical parameters include at least the film resistance value, resistance value, and capacitance Value, crosstalk noise, voltage drop, drive current loss, dielectric constant, and effective dielectric constant. 32 9. The method according to item 308 of the scope of patent application, wherein the GDS slot is adjusted to improve the flatness and electrical performance of the semiconductor device. 3 3 0 · The method as described in item 3 27 of the patent application scope, wherein the process includes a trench process. 331. The method described in the Chinese Patent Application No. 238, 239, 240, or 244, wherein the dummy filling configuration strategy includes the use of a plurality of dummy filling structure j to improve the structure of the dielectric structure of low dielectric constant to improve the dielectric structure Structural integrity. 332 · The method as described in the scope of application for patents No. 238, 23, 9, 240 or 244, wherein the dummy filling configuration strategy includes using a plurality of dummy filling targets to maintain or improve the dielectric structure of the dielectric structure with a low dielectric constant. Electrical constant. 33 3. The method according to item 332 of the scope of patent application, wherein the effective dielectric constant is maintained in all steps of a trench process flow. 334. The method as described in claim 23, 2, 39, or 240, wherein the dummy filling configuration strategy includes using a plurality of dummy filling targets in a trench manufacturing process to facilitate a plurality of low-k dielectric materials Product 335. —A kind of media, the loader can search and obtain the multiple size information included in the multiple target. Flood, and set up a device to make use of 200405184 六、申請專利範圍 3 3 6. —種媒體,可負載資訊,並設定〆裝置使^ 者可搜尋並取得包含在複數虛設填入標的或圖案之複育 料庫資訊。 3 3 7 · —種媒體,可負載資訊,並設定一裝置使、f水 者可搜尋並取得包含在複數虛設填入規則之複數資料庫貝 訊〇 〇 3 3 8 · —種方法,包括下列步驟:200405184 VI. Scope of Patent Application 3 3 6. —A kind of media, which can load information, and set up the device so that the user can search and obtain the information of the rehabilitation database that contains the dummy or filled in the target or pattern. 3 3 7 · — a kind of media, which can load information, and set up a device so that water users can search and obtain the plural database contained in the plural dummy entry rules. Beacon 0003 3 8 — a method, including the following step: 維持一半導體虛設填入資訊之資料庫(library &gt; 連結該資料庫並用以產生複數虛設填入配置規格 及 &quot;、 改變虛設填入資訊以更新該資料庫。 3 3 9 · —種方法,包括下列步驟: 、 依據至少下列之一儲存校準資訊:複數製程工具、複 數配方、以及複數流程;以及 更新該校準資訊以反應該等製裎工直、該等配方、或 該等流程之變化。Maintain a database of semiconductor dummy fill information (library &gt; link the database and use it to generate multiple dummy fill configuration specifications and &quot;, change dummy fill information to update the database. 3 3 9 ·-One method, It includes the following steps: 1. Store calibration information according to at least one of the following: multiple process tools, multiple recipes, and multiple processes; and update the calibration information to reflect changes in the manufacturing process, the recipes, or the processes. 34〇·如申請專利範圍第339項所述之方法,其中亦包 括利用該校準資訊產生一虛設填入策略。 341·如申請專利範圍第339項所述之方法,其中亦包 括根據欲得之複數虛設填入特性之校準資料庫中選擇出複 數製程工具、複數配方、以及複數流程。 342· —種方法,包括下列步驟: 使用者可透過一使用者介面裝置之一使用者介面命 利用一單擊(s i ng 1 e c 1 i ck )操作取得〆半導體設计所而34. The method described in item 339 of the scope of patent application, which also includes generating a dummy filling strategy using the calibration information. 341. The method as described in item 339 of the scope of patent application, which also includes selecting a plurality of process tools, a plurality of formulas, and a plurality of processes from a calibration database of a plurality of dummy filling characteristics. 342 · A method, including the following steps: The user can obtain a semiconductor design institute through a one-click (s i ng 1 e c 1 i ck) operation through a user interface command of a user interface device. 第309頁 200405184 六、申請專利範圍 之一虛設填入策略。 343·如申請專利範圍第238、2 3 9、240或244項所述之 方’夫〃中5亥製程包括溝槽製程(damascene process) 344·如中請專利範圍第238、239、240或244項所述之 方法,其中亦包括: 使用者可於該網路上利用複數網路服務取得一半導 體設計所需之一虛設填入策略。 3 4 5 ·—種方法,包括下列步驟: 積體電路之複數元件尺寸之變異,根據 製 變 ^於二=體電路中所產生拓撲圖案(t〇p〇graphica^ 一, 叹计以製造該積體電路,其中該等元件尺寸之變異 係導因於該等拓撲圖案變異。 件尺寸之 346如申請專利範圍第345項所述之方法,盆該 拓撲圖案:該製程包括電鍍銅沈積或或化學機械研磨。 •如申請專利範圍第345項所述之方法,豆中續製 Ϊ = : = 刻製&quot;製程與該拓撲圖案變異多相 &amp; I以產生複數元件尺寸之變異。 歹丨制申請專利範圍第347項所述之方法,&quot;該一 刻製私包括一電漿蝕刻製程。 ,、 349· 一種方法,包括下列步驟: 利用拓撲圖案式變化之一圖案附屬模型,積艨 電路之複數元件尺寸變異或複數電性特穑.係 依據產生拓撲式變異之一 f程之一嗖舛二“亥積體電: 心表枉之°又汁而被製造;以及 改,史該等預設之元件尺寸或電性特徵以計。Page 309 200405184 VI. One of the scope of patent application is a dummy filling strategy. 343 · As described in the scope of application for patents No. 238, 2 3 9, 240 or 244, the process of “Fuzhongzhong Haihai process including the trench process (damascene process) 344 The method described in item 244, which also includes: A user can use a plurality of network services on the network to obtain a dummy filling strategy required for a semiconductor design. 3 4 5 · A method, including the following steps: The variation of the dimensions of the complex elements of the integrated circuit, according to the change in the topological pattern generated in the two-body circuit (t〇p〇graphica ^ I, sigh meter to make Integrated circuit, in which the variation in the size of these components is due to the variation in the topological pattern. The 346 of the part size is the method described in item 345 of the scope of patent application, basing the topological pattern: the process includes electroplated copper deposition or or Chemical-mechanical grinding. • As described in item 345 of the scope of the patent application, the beans are continuously produced. The method described in item 347 of the patent application scope of the invention, "The one-time manufacturing process includes a plasma etching process." A method includes the following steps: A pattern auxiliary model is used to change the topology pattern, and the circuit is accumulated. Variations in the size of multiple components or electrical characteristics are based on one of the two processes that produce topological variation: “Heiji electric: the surface of the heart” is produced; and Such history of the preset size or electrical characteristics of elements in weight. 1057-5667-PF(Nl).ptd 第310頁 200405184 六、申請專利範圍 3 5 0 ·—種方 利用拓撲圖 電路之複數元件 依據包含微影或 改變該等預 該等特徵包 3 5 1 ·如申請 程包括電漿蝕刻 或溝槽深度。 3 5 2.如中請 特徵包括複數元 3 5 3 ·如申請 特徵包括複數I 3 5 4 ·如申請 程包括電鑛鋼沈 3 5 5 ·如申言青 程包括化學機械 3 5 6.如申言青 特徵包括元件寬 3 5 7 ·如申請 特徵係相關於戶斤 3 5 8.如中言青 特徵係相關於戶斤 3 5 9 ·如ψ請 法,包 案式變 尺寸變 I虫刻之 設之元 括複數 專利範 ,且該 專利範 件尺寸 專利範 性特徵 專利範 積。 專利範 研磨。 專利範 度。 專利範 有積體 專利範 有積體 專利範 括下列步驟: 化之一圖案附屬模型,預測〜 異或複數電性特徵,該積體電路 一製程之一設計而被製造; 件尺寸或電性特徵以符 元件尺寸或複數電性特徵立°中該製 圍第3 5 0項所述之方法’其介斤、 等特徵包括側壁角度' # 圍第3 5 0項所述之方法 積體 路係 及 合該設計; ,其中該等 。 ,其中該等 圍第3 5 0項所述之方法’ /、 。 ,其中該製 圍第3 5 0項所述之方法’ /、 ,其中該製 圍第3 5 0項所述之方法’ / ,其中該專 圍第3 5 0項所述之方法 ’ ,其中該等 圍第350項所述之方/ 電路。 、,其中該等 圍第3 5 0項所述之方/ ,其中改變 電路之内。 圍第3 5 0項所述之 方法1057-5667-PF (Nl) .ptd Page 310 200405184 VI. Patent Application Range 3 5 0 · —Parties use topological diagram circuits based on the inclusion of lithography or change these pre-feature packages 3 5 1 · If the application process includes plasma etching or trench depth. 3 5 2. If the feature is required, please include the plural number 3 5 3 • If the application feature includes the plural I 3 5 4 • If the application process includes the electric and mining steel Shen 3 5 5 Including component width 3 5 7 · If the application feature is related to the household catties 3 5 8. If the Chinese language youth feature is related to the household catties 3 5 9 A plurality of patents are included in the yuan, and the size of the patents and the patents are the features of the patents. Patent Fan Grinding. Patent scope. Patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patent for patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patented patent, patented patented patented patented patent. The characteristics are in accordance with the dimensions of the element or the plurality of electrical characteristics. The method described in item 35 of this system 'its media, and other characteristics include the angle of the side wall' # the method described in item 3 50 And the design;, of which. , Of which the method described in item 350 above is used. , Where the method described in item 350 is described in the method, /, wherein the method described in item 350 is described in the method, /, wherein the method described in item 350 is described in, The party / circuitry described in item 350. , Of which the parties described in Item 350 /, which change within the circuit. Method described in item 3 50 l〇57-5667-PF(Nl).ptd 第311頁 200405184 六、申請專利範圍 該等預測特徵包括改變複數元件寬度。 ^ * 36 0·如申請專利範圍第35〇項所述之方法,其中改變 該等預測特徵亦包括改變該拓撲式變異。 36 1·如申請專利範圍第35〇項所述之方法,其中改變 孩等預測特徵包括改變因尺寸寬度變化而改變複數物理與 電性參數。 36 2. 據自一網 預測或變化 如申請專利範圍第3 50項所述之方法,其中係根 一請求之反應(reSp〇nse)完成該 地接 36 3. 一網路服 364. 拓撲圖案 數元件尺 異之製程 3 6 5. 製程特徵 36 6. 製程配方 36 7. 製程配方 36 8· 製程配方 36 9. 如申請專利範圍 務提供該預測或 如申請專利範圍 式變化之一圖案 寸變異或特徵。 如申請專 包括複數 如申請專 包括一工 如申請專 包括複數 如申請專 包括複數 如申請專 複數電 利範圍 製程配 利範圍 具之互 利範圍 電源設 利範圍 #刻時 利範圍 第3 5 0項所述之方法,其中係由 該變化。 ”第350項所述之方法 附屬模型,預钏灶/ 用 性特徵步驟係包括舻|电路之複 L栝根據至少兩互〇57-5667-PF (Nl) .ptd Page 311 200405184 VI. Scope of Patent Application These predictive features include changing the width of plural components. ^ * 36 0. The method as described in item 35 of the scope of patent application, wherein changing the predicted characteristics also includes changing the topological variation. 36 1. The method according to item 35 of the scope of patent application, wherein changing the predictive characteristics of the child includes changing complex physical and electrical parameters due to changes in size and width. 36 2. According to the prediction or change from a network as described in the scope of patent application No. 3 50 method, which is based on a response (reSpOnse) to complete the ground connection 36 3. A network server 364. Topological pattern The number of different parts of the process 3 6 5. Process characteristics 36 6. Process formula 36 7. Process formula 36 8 · Process formula 36 9. If the scope of the patent application is provided, the forecast or the pattern of the patent scope will be changed. Or characteristics. If the application includes the plural, if the application includes the job, if the application includes the plural, if the application includes the plural, if the application includes the plural, if the application includes the plural, if the application includes the plurality, if the application includes the plural, if the application includes the plural, the power distribution range, the mutual benefit range, and the power supply profit range # 刻 时 利 范围 item 3 50 The method described is based on this change. The method described in item 350. The attached model, pre-cooking / useful characteristic steps include 舻 | complex of the circuit L 栝 according to at least two interactions 第364項所述之方 方。 第365項所述之方法 異的工具設定。/ 第3 6 5項所述之方法 定。 第365項所述之方法 間。 〆 第365項所述之方法 法Item 364. Method described in item 365. Different tool settings. / Method described in item 3 6 5. Method described in item 365.方法 Method described in item 365 其中該等 其中該等 其中該等 其中該等 其中該等Which among which among which among which among which among which 1057-5667-PF(Nl).ptd 第312頁 200405184 六、申請專利範圍 製程配方包括 37 0·如申 製程配方包括 ,3 7 1 ·如申 製程配方包括 37 2·如申 製程特徵包括 37 3·如申 工具係由兩互 37 4·如申 製程特徵包括 37 5·如申 消耗品包括複 3 7 6 ·如申 括根據該等預 377.如申 徵化步驟係作 複數研磨時 請專利範圍 複數沈積時 請專利範圍 複數壓力。 請專利範圍 複數工具。 請專利範圍 異之供應者 請專利範圍 複數消耗品 請專利範圍 數光阻或複 請專利範圍 測於該等製 請專利範固 為一網路中之一服務 間。 第365項所述之方法 間。 第365項所述之方法 第3 6 4項所述之方法 第3 7 2項所述之方法 (vendor )所提供c 第3 6 4項所述之方法 〇 第3 7 4項所述之方法 數光罩型式。 第3 6 4項所述之方法 程特徵中選擇。 第3 4 5項所述之方法 其中該等 其中該等 其中該等 其中該等 其中該等 其中該等 其中亦包 其中該特 3 7 8 ·如申請專利範圍 網路、一外 數使用者請 請專利範圍 步驟相結合 方法,包括 案附屬模型 路包括一内部 徵化係依據複 3 7 9.如申 括與該特徵化 38 0. —種 利用一圖 第377項所述之方法 部網路、或一網際網路,且該特 求而提供。 第3 4 5項所述之方法,其中亦包 之一電子設計自動化(EDA )。 下列步驟· 預測一積體電路之複數元件尺寸 其中該網1057-5667-PF (Nl) .ptd Page 312 200405184 VI. Patent application process recipe includes 37 0 · If application process recipe includes, 3 7 1 · If application process recipe includes 37 2 · If application process features include 37 3 · Russian tools are composed of two 37 4 · Russian process features include 37 5 · Russian consumables include multiple 3 7 6 · If the claim is based on these pre-377. If the application step is for multiple grinding, please patent When the range is deposited, please apply the range pressure. Please patent range plural tools. Request patent scope Different suppliers Please patent scope Multiple consumables Please patent scope Digital photoresistor or patent scope Measured in these systems Please patent scope is a service room in a network. Method described in item 365. The method described in item 365 The method described in item 3 6.4 Provided by the method described in item 3 7.2 c The method described in item 364 0 The method described in item 374 Several photomask types. Choose from the method features described in item 3 6.4. The method described in item 3 4 5 of which among them among others of which among others among which also includes among others of this special The method of combining patent scope steps, including the case attached model road includes an internal levy based on the complex 3 7 9. As stated in the claim and the characterization 38 0.-using a method network described in item 377 of a figure, Or an Internet, and it is provided on request. The method described in item 3 45, which also includes an electronic design automation (EDA). The following steps · Prediction of the complex component size of an integrated circuit 1057-5667-PF(Nl).ptd 第313頁 200405184 六、申請專利範圍 變異’該積體電路係依據包含分授(i mpar t )該積體電路 之拓撲變化之一生產製程之一製程之一設計而被製造。 3 8 1 ·如申請專利範圍第3 8 0項所述之方法,其中該製 程包括電鍍銅沈積(ECD )。 3 8 2 ·如申請專利範圍第3 8 0項所述之方法,其中該製 程包括化學機械研磨(CMP)。 3 8 3 ·如申請專利範圍第3 8 0項所述之方法,其中元件 尺寸中該等模型預測變異係導因於該製程步驟與一微影或 姓刻製程間之互動(interaction)。1057-5667-PF (Nl) .ptd Page 313 200405184 VI. Variation of patent application scope 'The integrated circuit is based on one of the manufacturing processes including the topological change of the integrated circuit (i mpar t) Made by design. 3 8 1 · The method as described in item 38 of the scope of patent application, wherein the process includes electroplated copper deposition (ECD). 3 8 2 · The method as described in claim 380, wherein the process includes chemical mechanical polishing (CMP). 3 8 3 · The method described in item 380 of the scope of patent application, wherein the model prediction variations in component dimensions are due to the interaction between the process step and a lithography or surname engraving process. 3 8 4.如申請專利範圍第38〇項所述之方法,其中該特 徵化步驟係作為一網路中之一服務。 3 8 5.如申請專利範圍第3 8 4項所述之方法,其中該網 路包括一内部網路、一外部網路、或一網際網路,且該特 徵化係依據複數使用者請求而提供。 38 6·如申請專利範圍第38〇項所述之方法,其中亦包 括與該特徵化步驟相結合之一電子設計自動化(EDA )。 3 8 7 · —種方法,包括下列步驟:3 8 4. The method according to item 38 of the scope of patent application, wherein the characterizing step serves as one of a network. 385. The method as described in item 384 of the scope of patent application, wherein the network includes an internal network, an external network, or an Internet, and the characterization is based on a plurality of user requests. provide. 38 6. The method described in item 38 of the scope of patent application, which also includes an electronic design automation (EDA) in combination with the characterization step. 3 8 7 · A method that includes the following steps: 利用一圖案附屬模型預測一層級之_積體電路之複數 元件尺寸特徵,該積體電路係依據一設計而被製造;以及 確認該等預測之元件尺寸特徵符合該設計之需求。 3 8 8 ·如申請專利範圍第3 8 7項所述之方法,其中亦包 括在預測该荨元件尺寸特徵後加入一電路元件於該設計 中,以及,在加入該元件於該設計之後,確認該等預設元 件尺寸特徵符合該等設計規格。A pattern accessory model is used to predict the one-level integrated circuit size feature of the integrated circuit, which is manufactured according to a design; and confirm that the predicted component size characteristics meet the requirements of the design. 3 8 8 · The method described in item 38 of the scope of patent application, which also includes adding a circuit element to the design after predicting the size characteristics of the net element, and confirming after adding the element to the design The predetermined component size characteristics meet the design specifications. 1057-5667-PF(Nl).ptd 第314頁 200405184 六、申請專利範圍 1 3 8 9 ·如申請專利範圍第3 8 7項所述之方法,其中該等 特徵包括元件宽度。 3 9 0 ·如申請專利範圍第3 8 7項所述之方法’其中係由 一網路服務提供該預測與該確認。 3 9 1 ·如申請專利範圍第3 9 0項所述之方法’其中該網 路包括一内部網路、一外部網路、或〆網際網路,且該預 測與確認係依據複數使用者請求而提供。 3 9 2 ·如申請專利範圍第μ 7項所述之方法,其中亦包 括與該預測與確認步驟相結合之一電子設計自動化(Eda )° 3 9 3 · —種方法,包括下列步驟: 利用一圖案附屬模型預測一積體電路之複數特徵,該 積體電路係依據包含(a )分授(imPart )該積體電路之 拓撲變化之一生產製程之一製程,以及(b ) 一微影或蝕 刻製程之一設計而被製造;以及 確認該等預測之特徵符合該設計之複數規格。 3 9 4 · —種方法,包括下列步驟: 利用一圖案附屬模型預測一積體電路之複數特徵,該 積體電路係依據包含(a )分授該積體電路之拓撲變化之 一生產製程之一製程,以及(b )〆速串之锨影或蝕刻製 程之一設計而被製造;以及 確認導因於該微影或蝕刻製程之該製程之該等預測之 特徵符合該設計之複數規格。 3 9 5 ·—種方法,包括下列步驟:1057-5667-PF (Nl) .ptd Page 314 200405184 VI. Scope of Patent Application 1 3 8 9 • The method described in item 387 of the patent application scope, wherein these features include component width. 3 9 0 · The method described in the scope of patent application No. 387, wherein the prediction and the confirmation are provided by an Internet service. 3 9 1 · The method as described in item 390 of the scope of patent application 'where the network includes an internal network, an external network, or the Internet, and the prediction and confirmation are based on multiple user requests While offering. 3 9 2 · The method described in μ 7 of the scope of patent application, which also includes an electronic design automation (Eda) ° 3 9 3 ·-a method, including the following steps: A pattern attachment model predicts the complex characteristics of an integrated circuit, which is based on a process that includes (a) subimposing (imPart) a topological change of the integrated circuit, a manufacturing process, and (b) a lithography Or a design that is one of the etching processes; and confirming that the predicted features meet the plural specifications of the design. 3 9 4 · A method including the following steps: Predicting the complex characteristics of an integrated circuit using a pattern attachment model, the integrated circuit is based on a production process that includes (a) the topological change of the integrated circuit A process, and (b) a design of one of the shadow or etching processes of the high-speed string; and confirm that the predicted characteristics of the process resulting from the lithography or etching process meet the plural specifications of the design. 3 9 5 · A method, including the following steps: 1057-5667-PF(Nl).ptd 第315頁 200405184 六、申請專利範圍 於一測試 自該已製 生之複數元件 於該微影 資訊。 3 9 6 · —種 利用一圖 之複數相對變 或餘刻工具或 選取該等 體電路。 3 9 7.如中 一網路服務提 3 9 8.如申 路包括一内部 徵化係依據複 3 9 9.如中 括與該特徵化 4 0 0 ·如申 所述之方法, ultra-violet 投射微影製程 晶圓上施行一微影或蝕刻製程; 私之測试晶圓上取得因該微影或蝕刻製程產 尺寸變異之特徵資訊;以及 或蝕刻製程之一圖案附屬模型中使用該特徵 方法,包括下列步驟: 案附屬模型預測一積體電路之複數元件尺寸 異’該積體電路係分別依據包含互異之微影 1耗品之複數製程之一設計而被製造;以及 製程之一並根據該等相對預測變異製造該積 請專利範圍第3 9 6項所述之方法,其中係由 供該預測。 請專利範圍第3 9 7項所述之方法,其中該網 網路、一外部網路、或一網際網路,且該特 數使用者請求而提供。 請專利範圍第3 9 6項所述之方法,其中亦包 步驟相結合之一電子設計自動化(EDA )。 請專利範圍第3 50、39 3、394、39 5或396項 其中該微影製程包括DUV (deep )、EUV (extremely short UV)、或離子 (IPL)。 401·如申請專利範圍第35〇、393、394、395或396項 所述之方法’其中該等元件尺寸之量測係採用掃瞄式電子1057-5667-PF (Nl) .ptd Page 315 200405184 6. Scope of patent application In a test, a plurality of components produced from the lithography information. 3 9 6 · — Use the relative change of a figure or the time-cut tool or select these body circuits. 3 9 7. As mentioned in S.1 Internet service 3 9 8. If Shenlu includes an internal levy, it is based on the complex 3 9 9. As described in Zhongbao and the characterization 4 0 · As described in the method, ultra- perform a lithography or etching process on a violet projection lithography process wafer; obtain characteristic information of dimensional variations due to the lithography or etching process on a private test wafer; or use the pattern in an attached model of the pattern in one of the etching processes The characteristic method includes the following steps: a subsidiary model predicts that the dimensions of a plurality of integrated circuits of an integrated circuit are different, and the integrated circuit is manufactured according to one of a plurality of manufacturing processes including different lithography 1 consumables; and the manufacturing process The method described in item 396 of the patent claim is manufactured based on the relative predicted variation together, and it is provided for the prediction. Please refer to the method described in item 397 of the patent scope, wherein the network, an external network, or the Internet is provided by the special user upon request. Please refer to the method described in item 396 of the patent scope, which also includes a combination of electronic design automation (EDA). Please claim No. 3 50, 39 3, 394, 39 5 or 396. The lithography process includes DUV (deep), EUV (extremely short UV), or ion (IPL). 401 · The method described in the scope of application for patent No. 35, 393, 394, 395 or 396 ’, wherein the measurement of the size of these components is by scanning electronics 1057-5667-PF(Nl).ptd 第316頁 200405184 六、申請專利範圍 顯微鏡SEM ( SCa ηη Ί· 1 測與掃目苗式探針顯ectr〇n microscopy )、散射量 彳衣針顯微鏡、草擬線邊工呈丨FP r〗· , roughness )、或二 ^LER (line edge 乂一度二間置測技術。 40 2.—種方法,包括下列步驟: 利用—圖案附屬模型確認一積 #斗&gt;赵 造。 了集中於—微影工具之複數限制而加以製 -網= = = ;圍第402項所述之方法’其中係* ^ ^ ^ ^ ^ 外4網路、或一網際網路,且該特 被化係依據複數使用者請求而提供。 括盥申請專利範圍第4〇2項所述之方法,其中亦包 、二^ r步驟相結合之一電子設計自動化(εμ )。 〇6· 一種方法,包括下列步驟·· 利用一圖案附屬模型預測一積體電路之一層級之一設 計疋=可根據該設計微影式地成像; 右否,凋整該設計或複數製程參數以達成成像。 4 0 7 ·如申請專利範圍第4 〇 6項所述之方法,其中該調 正γ驟包括選取一微影工具之複數最佳工具設定。 4 0 8.如申凊專利範圍第4 〇 6項所述之方法,其中該調 整步驟包括選取複數最佳光阻材質。 4 0 9 ·如申睛專利範圍第4 〇 6項所述之方法,其中該調 整步驟包括選取複數最佳光阻沈積配方。 1057-5667-PF(Nl).ptd 第317頁1057-5667-PF (Nl) .ptd Page 316 200405184 VI. Patent application scope Microscope SEM (SCa ηη Ί · 1 Measuring and scanning seedling type probe display ectrón microscopy), scattering volume needle microscope, drafting The line edge is shown in FP r, roughness, or ^ LER (line edge 乂 one degree and two places). 40. A method, including the following steps: Use a pattern attached model to confirm a product # 斗 & gt Zhao Zao. Focused on the complex limitation of the lithography tool-net = = =; the method described in item 402 'where is * ^ ^ ^ ^ ^ outside 4 networks, or an Internet And this specialization is provided according to the request of multiple users. It includes the method described in item 402 of the patent application scope, which also includes an electronic design automation (εμ) that combines two steps. 6. A method including the following steps: Predicting a design of a level of an integrated circuit using a pattern attachment model 疋 = can be imaged lithographically based on the design; right no, withering the design or complex process parameters to Achieve imaging. 4 0 7 · If the scope of patent application is the fourth The method according to item 6, wherein the step of correcting γ includes selecting a plurality of optimal tool settings for a lithography tool. 4 0 8. The method according to item 4 of the patent scope of claim 4, wherein the adjusting step includes Select a plurality of the best photoresist materials. 4 0 9 · The method as described in Shen Jing Patent Scope No. 4 06, wherein the adjusting step includes selecting a plurality of optimal photoresist deposition formulas. 1057-5667-PF (Nl). ptd Page 317 200405184 六、申請專利範圍 4 1 0 .如中 整步驟包括調 4 1 1.如中 整步驟包括調 4 1 2.如申 整步驟包括調 4 1 3 ·如中 整步驟包括調 41 4 ·如申 整步驟包括調 4 1 5 ·如申 整步驟包括調 δ月專利範圍 整一工具之 請專利範圍 整複數電源 請專利範圍 整複數蝕刻 請專利範圍 整複數研磨 請專利範圍 整複數沈積 請專利範圍 整複數壓力 方法,包括 案附屬模型 據包含(a ) 一生產製程之一製程,以 設計而被製造; 利用由該 该微影或 預測特徵與該 對應該模 第4 0 6項所述 複數設定之方法,其中該調 第權項所述之方法,其中 設定。 第4 0 6項所述之方法,其中 時間 416 種 利用一圖 積體電路係依 微影或#刻製 417. 一 種 當一微影 該調 該調 第406項所述之方法,1中 時間。 第406項所述 時間。《之方法,其中該調 第4 0 6項所述 下列步 該調 之方法,其中該調 預:ir積體電路之複數特徵,該 刀扠該積體電路之拓撲變化之 及(b )—微影或蝕刻製程之一 2計產生之一光罩進行該微影或餘刻製程; ^ ^ 表‘間相互作用,使該等 設計互異;以及 型所預測之複數特料^ μ 奇破凋整該光罩,以降低該 程與該生產製程間相 J w互作用之效應。 方法,包括下列步驟. 工具於生產期間未衲敕—n 处少 J不凋整該工具之一等級200405184 VI. Application scope of patent 4 1 0. If the middle step includes the adjustment 4 1 1. If the middle step includes the adjustment 4 1 2. If the middle step includes the adjustment 4 1 3 · If the middle step includes the adjustment 41 4 · eg The application process includes adjusting 4 1 5 · If the application process includes adjusting the δ month, the patent scope, the entire tool, the patent scope, the power source, the patent scope, the patent scope, the etching, the patent scope, the patent scope, the patent scope, and the patent scope, An integral and plural pressure method, including a case-attached model according to (a) a production process and one process, manufactured by design; using the plural setting described in item 406 of the lithography or prediction feature and the corresponding module The method, wherein the method described in the tune right item is set. The method described in item 406, in which time 416 types are carved by lithography or # 417 using a picture integrated circuit system. A method described in item 406 when tuned in a tone, 1 time . Item 406. Time. The method of the method, in which the fourth step of the tuning is described in the following step of the tuning, wherein the tuning is: the complex characteristics of the ir integrated circuit, the sum of the topological changes of the cutlery and the integrated circuit (b) — One of the two processes of photolithography or etching process is to generate a photomask to perform the photolithography or post-etching process; ^ ^ Table 'interaction makes these designs different from each other; The photomask is trimmed to reduce the effect of the phase J w interaction between the process and the production process. The method includes the following steps. The tool has not been smashed during production—n places are less than one grade of the tool 1057-5667-PF(Nl).ptd 第318頁 2004051841057-5667-PF (Nl) .ptd p. 318 200405184 利用一圖案附屬 )以符合該工具 (degree)而無法產生一合適元件尺寸, 模型預測一積體電路之一位置(location 之一對焦限制;以及 =該設計或自㈣計取得之至少—光罩,使該微影 工具可於該等位置產生一合適的元件尺寸。 41 8 ·如申請專利範圍第41 7項所述之方法,其中該對 焦限制包括對焦深度。 41 9 ·如申請專利範圍第41 7項所述之方法,其中該工 具包括一微影步進機。 42 0 ·如申請專利範圍第4 1 7項所述之方法,其中亦包 括利用一電子設計自動化(EDA )工具結合至少該預測或 該決定其中之一。 4 2 1 ·如申請專利範圍第41 7項所述之方法,其中該圖 案附屬模型至少接收下列之一作為輸入:一積體電路之複 數佈局或設計規格、該微影工具之複數參數與複數設定、 以及利用該微影工具生產之複數測試晶圓之資料。 4 2 2 ·如申請專利範圍第41 7項所述之方法,其中利用 該圖案附屬模型之步驟包括決定是否可根據利用該微影工 具之没计製造一積體電路。 4 2 3 ·如申請專利範圍第4 1 7項所述之方法,其中改變 該没計或該光罩亦產生一合適電性。 4 2 4 ·如申請專利範圍第41 7項所述之方法,其中該位 置位於該設計之一區域中,且在生產期間未調整該微影工 具。Use a pattern attached) to conform to the tool (degree) without being able to generate a suitable component size, the model predicts a position (focus limit of a location of an integrated circuit); and = at least the mask or the design obtained So that the lithography tool can generate a suitable component size at these positions. 41 8 · The method as described in item 41 of the patent application scope, wherein the focus limit includes the depth of focus. 41 9 · as the patent application scope 41 The method according to item 7, wherein the tool includes a lithography stepper. 42 0 · The method according to item 41 of the scope of patent application, which also includes using an electronic design automation (EDA) tool combined with at least The prediction or one of the decisions. 4 2 1 · The method as described in item 41 of the patent application scope, wherein the pattern auxiliary model receives at least one of the following as input: a complex layout or design specification of an integrated circuit, The plural parameters and plural settings of the lithography tool, and the information of the plurality of test wafers produced by the lithography tool. 4 2 2 · As described in item 41 of the scope of patent application Method, wherein the step of using the pattern accessory model includes determining whether an integrated circuit can be manufactured based on the use of the lithography tool. 4 2 3 · The method as described in item 4 17 of the scope of patent application, wherein the Not counting or the photomask also generates a suitable electrical property. 4 2 4 · The method as described in item 41 of the patent application scope, wherein the position is in an area of the design and the lithography is not adjusted during production tool. 1057-5667-PF(Nl).ptd 第319頁 200405184 六、申請專利範圍 42 5 .如申請專利範圍第4 1 7項所述之方法,其中該設 計包含複數其他積體電路,且在生產期間於複數積體電路 間調整該微影工具以符合該對焦限制。 4 2 6.如申請專利範圍第417項所述之方法,其中改變 設計或光罩,使該微影工具可於該等位置產生一合適的元 件尺寸。 4 2 7.如申請專利範圍第417項所述之方法,其中該元 件尺寸包括線尺寸。 42 8.如申請專利範圍第417項所述之方法,其中該元 件尺寸包括線間距。 42 9 .如申請專利範圍第4 1 7項所述之方法,其中該元 件尺寸包括線密度。 43 0 .如申請專利範圍第4 1 7項所述之方法,其中改變 該設計或光罩包括改變該設計或光罩中複數元件之該等尺 寸。 43 1.如申請專利範圍第4 1 7項所述之方法,其中係由 一網路服務提供該預測或該變化其中之一。 43 2.如申請專利範圍第431項所述之方法,其中該網 路包括一内部網路、一外部網路、或一網際網路,且該預 測或改變係依據複數使用者請求而提供。 4 3 3. —種方法,包括下列步驟: 自一晶圓之一區域中一位置執行一微影工具之一距離 之一虛擬調整,根據一圖案附屬模型預測產生之具一光罩 佈局之一光罩執行該虛擬調整,該晶圓之該區域中該位置1057-5667-PF (Nl) .ptd Page 319 200405184 VI. Patent Application Range 42 5. The method described in Patent Application Range Item 4 17, where the design includes a plurality of other integrated circuits, and during production The lithography tool is adjusted between the complex product circuits to meet the focus limit. 4 2 6. The method according to item 417 of the scope of patent application, wherein the design or the mask is changed so that the lithographic tool can generate a suitable element size at those positions. 4 2 7. The method of claim 417, wherein the element size includes a line size. 42 8. The method as described in claim 417, wherein the element size includes line spacing. 42 9. The method as described in item 41 of the scope of patent application, wherein the element size includes linear density. 43 0. The method as described in item 41 of the scope of patent application, wherein changing the design or mask includes changing the dimensions of the plurality of elements in the design or mask. 43 1. The method according to item 41 of the scope of patent application, wherein one of the prediction or the change is provided by an Internet service. 43 2. The method according to item 431 of the scope of patent application, wherein the network includes an internal network, an external network, or an Internet, and the prediction or change is provided according to a plurality of user requests. 4 3 3. A method including the following steps: Performing a virtual adjustment of a lithography tool and a distance from a position in a region of a wafer, and predicting one of the mask layouts generated by a pattern attached model The mask performs the virtual adjustment, the position in the area of the wafer 1057-5667-PF(Nl).ptd 第320頁1057-5667-PF (Nl) .ptd Page 320 因該微影工具之—對焦限制 434如由上主击口週之兀件尺寸。 ·申明專利範圍第4 3 3項所述之方 盆由兮 區域深度(一二=二 括:435^中請專利範圍第433項所述之方&gt; 法^其中亦包 物理性地自一晶圓 _ 該彳t $工呈 &gt; 囫之一區域中該微影工具成像上調整 :Γίί—距離’以符合該對焦限制。 變一原妒光ίίί利範圍第433項所述之方法,其中係改 k原始先罩佈局之複彰:部分以產生該佈局。 一'™ 4^7接t *sf專利範圍第433項戶斤述之方法,其中包括 *單’積體電路。 43 8·如申請專利範圍第433項所述之方法,其中於該 晶圓之該區域中具有小於一預設尺寸之一尺寸。 43 9·如申請專利範圍第433項所述之方法,其中係根 據於該晶圓上之一記號物理性地調整自該區域之該微影工 具之該距離。 44 0·如申請專利範圍第433項所述之方法,其中亦包 括:Because of this lithography tool-focus limit 434, such as the size of the upper part of the main shot. · Declaration of the depth of the square basin described in item 4 of the patent scope No. 4 3 (1 == enclosed: 435 ^ The method described in item 433 of the patent scope &gt; method ^ which also includes physically Wafer _ t $ 工程 &gt; Adjust the imaging tool in one of the areas: Γίί—distance 'to comply with the focus limit. Change the method described in Item 433 of the original jealous range, Among them is the revision of the original original mask layout: part to generate the layout. A '™ 4 ^ 7 is connected to the method described in item 433 of the t * sf patent scope, which includes a * single integrated circuit. 43 8 The method according to item 433 of the patent application scope, wherein the area of the wafer has a size smaller than one of the preset dimensions. 43 9 The method according to item 433 of the patent application scope, wherein A mark on the wafer physically adjusts the distance of the lithography tool from the area. 44 0. The method described in item 433 of the patent application scope, which also includes: 在該晶圓之該區域中對其他複數位置執行虛擬調整。 441·如申請專利範圍第433項所述之方法,其中亦包 括: 在該晶圓之該區域外對其他複數位置執行虛擬調整。 4 4 2 ·如申請專利範圍第4 3 3項所述之方法,其中該元 件尺寸包括線尺寸。Virtual adjustments to other plural positions are performed in this area of the wafer. 441. The method described in item 433 of the scope of patent application, which also includes: performing virtual adjustments to other plural positions outside the area of the wafer. 4 4 2 · The method as described in item 4 33 of the patent application scope, wherein the element size includes a line size. 1057-5667-PF(Nl).ptd 第321頁 200405184 六、申請專利範圍 44 3.如 件尺寸包括 444.如 件尺寸包括 44 5.-利用一 一積體電路 調整使 符合該等拓 44 6 ·如 一網路服務 4 4 7 ·如 路包括一内 測或改變係 448.-利用一 試晶圓; 根據該 根據該 路因該微影 44 9.如 罩設計包括 4 5 0 ·如 罩設計包括 申請專 線間距 申請專 線密度 種方法 圖案附 中,並 用於該 撲式變 申請專 提供該 申請專 部網路 依據複 種方法 微影或 利範圍 〇 利範圍 〇 ’包括屬模型 可加逮 微影製 異。 利範園 預測或 利範圍、一外 數使用 ’包括 蝕刻製 圖案化晶圓特 特徵化、利用 或蝕刻製程產 申請專利範圍 各類線與間距 申請專利範圍 多重層級之多 第433項所述之方法,其中該元 第433項所述之方法,其中該元 下列步驟: 預測複數;M j h m 双拓撲式變異,其發生於 一預疋微影製程步驟;以及 程步驟中複數光罩之複數設計以 第4 3 3項所述之方法,其中係由 該變化其中之一。 第446項所述之方法,其中該網 部,路、或一網際網路,且該預 者請求而提供。 下列步驟: 程產生—光罩設計以圖案化一測 徵化該製程;以及 一圖案附屬模型預測複數積體電 生之複數特徵。 第448項所述之方法,其中該光 尺寸。 第448項所述之方法,其中該光 重光罩’每_該等光罩包括各類 Hi 1057-5667-PF(Nl).ptd 第322頁 2004051841057-5667-PF (Nl) .ptd Page 321 200405184 VI. Patent Application Range 44 3. If the size of the item includes 444. If the size of the item includes 44 5. Adjust the one-to-one integrated circuit to conform to the extension 44 6 • Such as a network service 4 4 7 • Rulu includes an internal test or change system 448.-Using a test wafer; according to the route due to the lithography 44 9. Such as the hood design includes 4 5 0 • Such as the hood design Including applying for special line spacing, applying for special line density methods, and attaching the pattern, and using it for the flapping change application to provide the application department network based on the multiple methods of lithography or profit margins. . Lee Fan Park forecast or profit range, a number of uses' including the characteristics of etching patterned wafers, the use or etching process production patent range of various types of lines and spacing patent range of multiple levels of the method described in item 433 , Wherein the method described in item 433 of this element, wherein the element has the following steps: predicting the complex number; M jhm bitopological mutation, which occurs in a pre-lithographic lithography process step; and the complex number of the complex mask in the process step is designed to The method according to item 4 3 3, wherein one of the changes is made. The method according to item 446, wherein the network, the road, or an Internet is provided by the request. The following steps: process generation—the mask design uses a pattern to measure the process; and a pattern attached model predicts the complex characteristics of the complex product. The method according to item 448, wherein the light size. The method according to item 448, wherein the light-weighted masks' include each type of Hi 1057-5667-PF (Nl) .ptd page 322 200405184 六、申請專利範圍 線與間距尺寸。 451·如申請專利範圍第448項所述 程包括一預設微影或蝕刻工具,或微影之^方法,其中該製 45 2·如申請專利範圍第448項所述&amp;或蝕刻配方。 製程包括複數預設電源設定。 之方法,其中該等 45 3·如申請專利範圍第448項所述 、 製程包括複數預設蝕刻時間。 之方法’其中該等 454·如申請專利範圍第448項所述 製程包括複數預設研磨時間。 ’ ’其中該等6. Scope of patent application Line and pitch size. 451. The process described in item 448 of the scope of patent application includes a preset lithography or etching tool, or the method of lithography, wherein the system 45 2. The &amp; or etching formula as described in field 448 of the scope of patent application. The process includes multiple preset power settings. 45. The method includes the preset etching time as described in item 448 of the patent application scope. Method 'wherein these 454. As described in item 448 of the scope of patent application, the process includes a plurality of preset grinding times. ’’ Which 45 5·如申請專利範圍第448項所 製程包括複數預設沈積時間。 ’ ’八中該等 其中該等 製二力範圍第448項所述之方法 457·如:請專利範圍第448項所述之方法,其中 括與該產生:驟、該特徵化步驟與該預測步驟至少其 一步驟相結a之一電子設計自動化(EDA )。 45 8.如申請專利範圍第448項所述之方法,其中如 請專利範圍第448項所述之方法,其中該產生步驟、該45 5. The process according to the scope of patent application No. 448 includes a plurality of preset deposition times. '' The methods described in Item 448 of the Eighth Middle School and the Second Force System 457 · Such as: The method described in Item 448 of the Patent Scope, which includes the generation: step, the characterization step, and the prediction At least one of the steps is a step of a Electronic Design Automation (EDA). 45 8. The method according to item 448 of the patent application, wherein the method according to item 448 of the patent application, wherein the generating step, the 徵化步驟與該預測步驟至少其中之—步驟係提供於一路 上作為一服務。 、 45 9.如申請專利範圍第449項所述之方法,其中該網 路包括一内部網路、一外部網路、或一網際網路,且該產 生步驟、該特徵化步驟與該預測步驟係依據複數使用 求两提供。At least one of the levitation step and the prediction step is provided as a service along the way. 45. The method according to item 449 of the scope of patent application, wherein the network includes an internal network, an external network, or an Internet, and the generation step, the characterization step, and the prediction step It is based on the use of plural numbers to provide two. 1057-5667-PF(Nl).ptd 第323頁 200405184 六、申請專利範圍 4 6 0 ·如 配方包括一 461.-利用一 申請專 預設光 種方法 圖案附 體電路 生產製 利範圍 阻。 ,包括 屬模型 係依據 程,以 變異,該積 體電路之一 製造;以及 決定該積體電路之該 影響。 4 6 2 . —種方法 利用一圖案附 異,該積體電路係 電路之一生產製程 造;以及 決定該 影響。 4 6 3 ·如申請專 括預測該積體電路 積體電路之複數元 464·如申請專 法,其中該等電性 整合、電源分佈、 (timing closure 4 6 5 ·如申請專 ,包括 屬模型 依據包 ’以及 積體電路之該 利範圍 之複數 件尺寸 利範圍 包括薄 以及考 )分析 利範圍 ------— 第4 4 8項所 4之方法,其中該等 下列步_ : 預測—穑_ + h 積體電路之複數元件尺寸 及(b) 一分授拓撲變化至該積 一微影或蝕刻製程而被 等70件尺寸變異於複數電性之— 下列步驟: 預測一積體電路之複數 含“)分授拓撲變化數至撲式變 (b )—與旦,上 匕至該積體 碱衫或蝕刻製程而被製 等元件尺寸變異於複數電性之一 第462項所述之方法,装 元件尺寸之複數變化,、亦包 之複數變化所造成之一二,定該 第461、46 2 或463 項所 膜電阻、電容、驅動電济方 慮多重内連線層級之拄^、信號 。 又時序封閉 第4 6 1、4 6 2或4 6 3項戶斤 β述之方1057-5667-PF (Nl) .ptd Page 323 200405184 VI. Patent Application Range 4 60 0 If the formula includes a 461.-Using an application-specific preset light method, pattern attachment circuit, production profit scope, resistance. , Including the genus model, is based on a process that is manufactured by mutation, one of the integrated circuits; and determines the effect of the integrated circuit. 4 6 2. — A method using a pattern difference, the integrated circuit is one of the circuit manufacturing processes; and determining the effect. 4 6 3 · If applying for the prediction of the complex element of the integrated circuit 464 · If applying for the special law, where the electrical integration, power distribution, (timing closure 4 6 5 · If applying for the special, including the model According to the package's and the integrated circuit's multiple range of the size range, the range of profit includes thinness and examination.) Analysis of the range of profit ---------- Item 4 4 8 of the method, where the following steps _: Forecast — 穑 _ + h Dimensions of complex elements of integrated circuits and (b) One minute topology change to the integrated lithography or etching process and waiting for 70 pieces of dimensions to mutate into complex electrical properties — The following steps: Predict an integrated The complex number of the circuit contains ") the number of topological changes to the flapping change (b)-and Dan, the dagger to the integrated alkali shirt or the etching process and other components are dimensional variations in one of the 462 The method described above, one or two caused by the multiple changes in the size of the component, including the multiple changes in the package, determine the film resistance, capacitance, and drive electronics of the item 461, 46 2 or 463, considering multiple levels of interconnects.拄 ^ 、 Signal. Also closed in time sequence 4 6 1, 4 6 2 or 4 6 3 items 1057-5667-PF(Nl).ptd 第324頁 200405184 六、申請專利範圍 法,其中亦包括改變該設計以符合預設之規格。 466·如申請專利範圍第461、462或463項所述之方 法’其中亦包括根據該決定之影響調整用於該微影或蝕刻 製程之複數光罩。 46 7·如申請專利範圍第461、46 2或463項所述之方 法’其中亦包括對應該決定之影響調整該設計以改進該 體電路之製程能力。 Λ、 46 8·如申請專利範圍第461、46 2或463項所述之方 法’其中亦包括對應該決定之影響調整該設計以改進該 體電路之電路效能。 ~ $1057-5667-PF (Nl) .ptd Page 324 200405184 VI. Patent Application Law, which also includes changing the design to meet preset specifications. 466. The method described in item 461, 462, or 463 of the scope of patent application ', which also includes adjusting a plurality of photomasks for the lithography or etching process according to the influence of the decision. 46 7. The method described in the scope of application for patents No. 461, 46 2 or 463, which also includes adjusting the design to improve the process capability of the bulk circuit according to the impact of the decision. Λ, 46 8 · The method described in item 461, 46 2 or 463 of the scope of patent application, which also includes adjusting the design to improve the circuit performance of the body circuit according to the influence of the decision. ~ $ 46 9·如申請專利範圍第461、46 2或463項所述之方 法,其中係根據該積體電路之一内連線層級執行該預测。 47 0·如申請專利範圍第461、46 2或463項所述之方、。 法’其中係根據該積體電路之多重層級執行該預測。 471·如申請專利範圍第461、46 2或463項所述之方 法’其中係根據該影響之該決定以決定虛設填入 槽結構之配置。 、4硬數溝 472·如申請專利範圍第461、46 2或463項所述 法’其中係根據該影響之該決定以決定該積體方 電子元件之配置。 私得中複數46 9. The method according to item 461, 46 2 or 463 of the scope of patent application, wherein the prediction is performed based on an interconnect level of one of the integrated circuits. 47 0 · The method described in the scope of application for patent No. 461, 46 2 or 463. The method 'performs the prediction based on the multiple levels of the integrated circuit. 471. The method described in item 461, 462, or 463 of the scope of patent application ', wherein the decision of the dummy filling groove structure is determined according to the influence of the decision. , 4 Hard number groove 472 · As described in the patent application scope No. 461, 46 2 or 463, wherein the decision is based on the influence to determine the configuration of the integrated electronic components. Plural 473·如申請專利範圍第461、46 2或463項所述 法,其中係根據該影響之該決定以決定該積體之·方 電子π件間複數内連線區域之該迴路(r〇utir^ )中複數 474·如申請專利範圍第461、46 2或463項所述。 处之方473. According to the method described in item 461, 46 2 or 463 of the scope of patent application, which is based on the decision of the influence to determine the loop (roututi) of the complex interconnected area between the pieces of the product and the square electrons ^) The plural number 474 is as described in the scope of patent application No. 461, 46 2 or 463. Office l〇57-5667-PF(Nl).ptd 第325頁 200405184 六、申請專利範圍 法,其中該積體電路包括一系統 SyStem-〇n-chip ) ’ 且該方法亦 數内連線之迴路。〇57-5667-PF (Nl) .ptd page 325 200405184 6. The patent application method, in which the integrated circuit includes a system SyStem-On-chip), and the method also includes a number of internally connected circuits. QC裝置中 晶片(S 〇 C, 包括決定該§ 複 、47 5.如申請專利範圍第461、46 2或463 ,所、、法,其中係根據該影響之該決定以決定該積體述之方 電子元件、複數内連線、或插塞(via)之幾路中複數 Α η η ; , ^ U、培構。b•如申飫專利範圍第461、46 2或463項所迷之法’其中亦包括與該預測與確認步驟相結合之—方 自動化(EDA )。 寬子設計 47 7·如中請專利範圍第46ι、46 2或463項所述之方 法’其中該決定步驟與該預測步驟至少其中之一步驟係 供於一網路上作為一服務。 、 47 8·如申請專利範圍第477項所述之方法,其中該網 路包括一内部網路、一外部網路、或一網際網路,且該預 測步驟或該決定步驟係依據複數使用者請求而提供。 4 7 9 · —種方法,包括下列步驟: 利用一圖案附屬模型預測一積體電路之複數抬撲式變 異,該積體電路係依據包含(a )分授拓撲變化至該積體 電路之一生產製程,以及(b ) —微影或蝕刻製程而被製 造;The chip in the QC device (SOC, including the determination of this §, 47. If the scope of the patent application is 461, 46 2 or 463, so, and law, which is based on the impact of the decision to determine the integration described Square electronic components, plural interconnects, or plugs (via), several complex numbers A η η;, ^ U, structure. B • As the method of the patent application scope 461, 46 2 or 463. 'It also includes the combination of the prediction and confirmation steps-Fang Automation (EDA). Kuanko Design 47 7 · The method described in the patent application No. 46ι, 46 2 or 463', where the decision step and the At least one of the prediction steps is provided on a network as a service. 47. The method according to item 477 of the patent application scope, wherein the network includes an internal network, an external network, or a The Internet, and the prediction step or the decision step is provided according to a plurality of user requests. 4 7 9 · A method including the following steps: using a pattern-affiliated model to predict a complex lifting variation of an integrated circuit, The integrated circuit is based on the topology including (a) Change to one of the integrated circuit production processes, and (b)-a lithography or etching process is made; 決定該積體電路之該等拓撲式變異於複數電性之一影 響;以及 利用一RC擷取(extract ion )工具結合該模型之使用 與該影響之決定。Determine the effect of the topological variation of the integrated circuit on one of the complex electrical properties; and use an RC extraction tool to combine the use of the model with the determination of the effect. 1057-5667-PF(Nl).ptd 第326頁 2004051841057-5667-PF (Nl) .ptd p.326 200405184 48〇· 一種方法,包括下列步驟: 、利,一圖案附屬模型預測一積體電路之複數拓撲式變 …以及複數元件尺寸之複數變異,該積體電路係依據包含 (乂分授拓撲變化至該積體電路之一生產製程,以據及7b )一微影或蝕刻製程而被製造; 決定該積體電路之該等拓撲式變異於複數電性之一 響;以及 〜 利用一RC擷取(extract ion )工具結合該模型之使用 與該影響之決定。 481·如申請專科範圍第479或48 0項所述之方法,其中破· 係於該電路之複數次級區域上執行該使用步驟。 * 48 2·如申請專利範圍第46ι或48〇項所述之方法,其中 該等元件尺寸係包含下列至少其中之一項:微影元件寬 度、蝕刻溝槽寬度、蝕刻溝槽深度、蝕刻側壁角度、碟 陷、或銅消耗總量。 ” 、48 3·如申請專利範圍第461、46 2、479或48 0項所述之 ,法,其中該等電性包括薄膜電阻、電容、驅動電流、信 號整合、電源分佈、以及時序封閉(timing cl〇sure )分 析。 上484·如申請專利範圍第479或48〇項所述之方法,其中 4决定步驟與該預測步驟至少其中之_步驟係提供於一網 路上作為一服務。 48 5.如申請專利範圍第484項所述之方法,其中該網 路包括一内部網路、一外部網路、或一網際網路,且該預48〇 · A method, including the following steps: a pattern attached model predicts a complex topological variation of an integrated circuit ... and a complex variation of a complex component size, the integrated circuit is based on the A manufacturing process of the integrated circuit is manufactured according to 7b) a lithography or etching process; determining the topological variation of the integrated circuit is a complex electrical one; and ~ using an RC capture (Extract ion) tool combines the use of the model with the determination of the impact. 481. The method according to item 479 or 480 of the scope of application, wherein the step of using the circuit is performed on a plurality of secondary areas of the circuit. * 48 2. The method as described in the 46th or 48th scope of the patent application, wherein the size of the elements includes at least one of the following: lithographic element width, etched trench width, etched trench depth, etched sidewall Total angle, dishing, or copper consumption. "48, as described in item 461, 46 2, 479, or 48 0 of the scope of patent application, where the electrical properties include thin film resistors, capacitors, drive currents, signal integration, power distribution, and timing closure ( timing cl〇sure) analysis. On 484. The method described in the scope of patent application No. 479 or 48, in which at least one of the 4 determination step and the prediction step is provided on a network as a service. 48 5 The method according to item 484 of the scope of patent application, wherein the network includes an internal network, an external network, or an Internet, and the pre- 1057-5667-PF(Nl).ptd 第327頁 200405184 六、申請專利範圍 測步驟或該決定步驟係依據複數使用者請求而提供。 48 6. —種方法,包括下列步驟: 產生一積體電路之一電子設計,該積體電路係依據該 設計而生產,並利用導入該積體電路之元件尺寸變異而進 行拓撲式分授之一製程; 其中,該產生步驟包括根據一圖案附屬模型之拓撲式 與拓撲式相關元件尺寸之複數變異之複數預測,調整該電 子設計。 4 8 7.如申請專利範圍第486項所述之方法,其中該產 生亦包括因複數光學干涉效應而利用光學近似校正 (optical proximity correct ion)以調整該設計。 4 8 8.如申請專利範圍第486項所述之方法,其中該電 子設計之該等電性包括薄膜電阻、電容、驅動電流、信號 整合、電源分佈、以及時序封閉(t i m i n g c 1 〇 s u r e )分 析。 4 8 9.如申請專利範圍第486項所述之方法,其中該等 元件尺寸之變異係包含下列至少其中之一項:微影元件寬 度、蝕刻溝槽寬度、蝕刻溝槽深度、蝕刻側壁角度、碟 陷、或銅消耗總量。 4 9 0 .如申請專利範圍第4 8 6項所述之方法,其中亦包 括利用一配置與迴路工具產生該電子設計。 491.如申請專利範圍第486項所述之方法,其中亦包 括利用連接一電阻與電容(RC )擷取工具以調整該電子設 計。1057-5667-PF (Nl) .ptd Page 327 200405184 VI. Scope of Patent Application The measurement step or the determination step is provided according to the request of multiple users. 48 6. A method, including the following steps: generating an electronic design of an integrated circuit, the integrated circuit is produced according to the design, and the topological distribution is performed by using the variation in the size of the components introduced into the integrated circuit A process; wherein the generating step includes adjusting the electronic design based on a complex prediction of a topological expression of a pattern subsidiary model and a complex number variation of the topologically-related component size. 4 8 7. The method according to item 486 of the patent application scope, wherein the generating also includes using optical proximity correction due to the complex optical interference effect to adjust the design. 4 8 8. The method according to item 486 of the scope of patent application, wherein the electrical properties of the electronic design include thin film resistors, capacitors, drive currents, signal integration, power distribution, and timing c 1 sure analysis . 4 8 9. The method according to item 486 of the scope of patent application, wherein the variation in the dimensions of the elements includes at least one of the following: lithographic element width, etched trench width, etched trench depth, and etched sidewall angle , Dish sinks, or total copper consumption. 490. The method as described in item 486 of the patent application scope, which also includes generating the electronic design using a configuration and loop tool. 491. The method described in claim 486 of the scope of patent application, which further includes adjusting the electronic design by connecting a resistor and capacitor (RC) capture tool. 1057-5667-PF(Nl).ptd 第328頁 2004051841057-5667-PF (Nl) .ptd p. 328 200405184 亦包 亦包 亦包 Ο 亦包 49 2.如中請專利範圍第486項所述之方法,其中 括利用一電子設計自動模擬工具以產生該電子設計 49 3·如申請專利範圍第486項所述之方法,其中 括利用物理性確認工具以確認該電子設計。 49 6.如申請專利範圍第486項所述之方法,其中亦包 括保證該電子設計之製造能力。 49 7.如申請專利範圍第486項所述之方法,其中亦包 括改進該積體電路之電性效能。 49 8·如申請專利範圍第486項所述之方法,其中亦包 494.如申請專利範圍第486項所述之方法,其中 括利用一光學近似校正(〇pc )工具調整該電子設計 49 5·如申請專利範圍第486項所述之方法,其中 括利用一信號整合工具以確認該電子設計。Yi Bao Yi Bao Yi also includes 49. 2. The method as described in the patent scope of item 486, which includes the use of an electronic design automatic simulation tool to generate the electronic design. 49 The method described above includes using a physical verification tool to verify the electronic design. 49 6. The method described in claim 486 of the scope of patent application, which also includes guaranteeing the manufacturing capability of the electronic design. 49 7. The method according to item 486 of the scope of patent application, which also includes improving the electrical performance of the integrated circuit. 49 8. The method described in the scope of patent application No. 486, which also includes 494. The method described in the scope of patent application No. 486, including using an optical approximation correction (0pc) tool to adjust the electronic design 49 5 The method as described in claim 486, which includes using a signal integration tool to confirm the electronic design. 括改進該積體電路之一電子設計佈局之該電性效能。 4 9 9.如申請專利範圍第4 8 6項所述之方法,其中亦包 括根據該電子設計之該調整以調整一已格式檔案 (formatted file),該檔案之格式符合一 EDA之檔案格 式。 /Including improving the electrical performance of an electronic design layout of the integrated circuit. 499. The method as described in item 486 of the scope of patent application, further comprising adjusting a formatted file according to the adjustment of the electronic design, the format of the file conforming to an EDA file format. / 50 0·如申請專利範圍第499項所述之方法,其中該檔 案格式包括一圖形資料串流(GDS,graphical data stream )格式。 50 1·如申請專利範圍第486項所述之方法,其中調整 該電子設計步驟包括改進該積體電路之製造能力。 50 2·如申請專利範圍第486項所述之方法,其中調整50 0. The method according to item 499 of the scope of patent application, wherein the file format includes a graphic data stream (GDS) format. 50 1. The method according to item 486 of the scope of patent application, wherein adjusting the electronic design step includes improving the manufacturing capability of the integrated circuit. 50 2 · The method according to item 486 of the scope of patent application, wherein adjustment 第329頁 200405184 六、申請專利範圍 該電子設計=驟包括調整該設計^進電路效能。 5 0 3. ^明專利範圍第4 8 6項 之方法,其 該電子設計步驟包括斜;S — &amp; $ 枯對應 内連線層級預測複數拓撲式變 異。 504·如申請專利範圍第486項所述之方法,其中產生 該電子設計步驟包括對應多重内連線層級預測複數 變異,以電子式特徵化或模擬多重内連線層級。 ' 50 5•如申請專利範圍第486項所述之方法,其中包括 根據该影響之該決定以決定虛設填入或複數溝槽結構之配 置。 5 0 6 ·如申睛專利範圍第4 8 6項所述之方法,其中包括 決定該積體電路中複數電子元件之配置。 50 7·如申請專利範圍第486項所述之方法,其中包括 決定該積體電路中複數電子元件間複數内連線區域之該迴 路(routing ) ° 50 8·如申請專利範圍第486項所述之方法,其中該積 體電路包括一系統晶片(SoC,system-on - chip),且該 方法亦包括決定該SoC裝置中複數内連線之迴路。 50 9·如申請專利範圍第486項所述之方法,其中包括 決定該積體電路中複數電子元件、複數内連線、或插塞 (v i a )之幾何結構。 510.如申請專利範圍第486項所述之方法,其中亦包 括與該預測與確認步驟相結合之一電子設計自動化(E D APage 329 200405184 VI. Scope of Patent Application The electronic design step includes adjusting the design and improving circuit performance. 5 0 3. ^ The method of item No. 486 of the patent scope, wherein the electronic design step includes slanting; S — &amp; $ corresponds to the complex topological expression of the interconnection level prediction. 504. The method according to item 486 of the scope of patent application, wherein generating the electronic design step includes predicting multiple variations corresponding to multiple interconnect levels, and electronically characterizing or simulating multiple interconnect levels. '50 5 • The method described in the scope of patent application No. 486, which includes determining the configuration of the dummy fill or plural trench structures based on the decision of the influence. 5 0 6 · The method as described in item No. 486 of Shen Jing's patent scope, which includes determining the configuration of the plurality of electronic components in the integrated circuit. 50 7 · The method described in the scope of application for the patent No. 486, which includes determining the routing of the plurality of interconnecting areas between the plurality of electronic components in the integrated circuit ° 50 8 · The method described above, wherein the integrated circuit includes a system-on-chip (SoC), and the method also includes determining a plurality of interconnected circuits in the SoC device. 50 9. The method according to item 486 of the scope of patent application, which includes determining the geometric structure of the plurality of electronic components, the plurality of interconnections, or the plugs (v i a) in the integrated circuit. 510. The method as described in claim 486, which also includes an electronic design automation (E D A 1057-5667-PF(Nl).ptd 第330頁 200405184 六、申請專利範圍 5U·如申請專利範圍第48β項 定步驟與該預測步驟至少其令之一 ,方法,其中該決 作為一服務。 v驟係提供於一網路上 512·如申請專利範圍第5n項 路包括一内部網路、一外部網路、 法,其尹該網 測步驟或兮、i —本跡总分秘、A 4 ~網際網路,且該預 決定步驟係依據複數使用者請求而提供。 .一種方法,包括下列步驟: 設計ΐί:積體電路t一電子設計,該積體電路係依據該 ;于拓撲1 並利用“該積體電路之元件尺寸變異而進 灯拓撲式分授之一製程; 斑&amp; iI,該產生步驟包括根據一圖案附屬模型之拓撲式 ^ μ 41相關元件尺寸之複數變異之複數預測,調整該電 于汉寸;以及 1 5使用一 RC擷取工具以產生並調整該電子設計。 4 · 一種方法,包括下列步驟·· μ 生—積體電路之一電子設計,該積體電路係依據該 &quot; 產’並利用導入該積體電路之元件尺寸變異而進 打拓撲式分授之一製程; 甘 中 &quot; ’該產生步驟包括根據一圖案附屬模型之拓撲式 俾 jcrr 4# -1? | …^ 、X相關元件尺寸之複數變異之複數預測,調整該電 子設計;以及 〃 、、口 口使用一 RC擷取工具以產生並調整該電子設計。 5^5·如申請專利範圍第51 3或514項所述之方法,其中 糸;“電路之複數次級區域上執行該使用步驟。1057-5667-PF (Nl) .ptd Page 330 200405184 VI. Patent Application Range 5U. If the patent application scope item 48β is at least one of the order and the prediction step, the method, in which the decision serves as a service. The v step is provided on a network 512. If item 5n of the patent application scope includes an internal network, an external network, and the method, the network test steps or steps, i — total score of the trace, A 4 ~ Internet, and this pre-determined step is provided based on multiple user requests. A method, including the following steps: design: an integrated circuit t-electronic design, the integrated circuit is based on the; in topology 1 and using "variation of the component size of the integrated circuit into one of the lamp topology distribution Manufacturing process; spot & iI, the generating step includes adjusting the electric power to the Han inch according to the complex number prediction of the topological formula of a pattern attached model ^ μ 41 related component size; and 15 using an RC capture tool to generate And adjust the electronic design. 4 · A method, which includes the following steps: μ-an electronic design of an integrated circuit, the integrated circuit is based on the &quot; product 'and uses the size variation of components introduced into the integrated circuit to One of the processes of topological distribution; Ganzhong &quot; 'The generation step includes the complex prediction and adjustment of the complex variation of the size of X-related components based on the topological formula of a pattern attached model 俾 jcrr 4 # -1? |… ^ The electronic design; and 〃, using an RC capture tool to generate and adjust the electronic design. 5 ^ 5 · The method described in the scope of application for the patent No. 51 3 or 514, In Ito; "performing the step of using a plurality of secondary circuits area. 1057-5667-PF(Nl).ptd 第331頁 200405184 /、申請專利範園 5 1 6 ·如申請專利範圍第5 1 4項所述之方、、表, 元件尺寸係包含下列至少其中之-項:微/人V該等 风衫7C株宫厣 、4 刻溝槽寬度、餘刻溝槽深度、餘刻側壁备危 又 消耗總量。 角度、碟陷、或鋼 5 1 7·如申請專利範圍第5 1 4項所述之方、 電性包括薄膜電阻、電容、驅動電流去,其中該等 佈、以及時序封閉(timing closur 整合、電源分 該決定步驟蛊二竓圍第513或5U項所述 路上作為-服ΐ測步驟至少其中之-步ϊϋ 519.如:J袁. 步驟係提供於一網 路包括一内部利靶固第5〗8項所述 測步驟或該决〜外部網路、&lt; H,其中該網 52 0.-種;;顿係依據複數使ί者3網路’且該預 產生去,包杠Τ 者明求而提供。 ^ &lt; 積體電跃括下列步驟·· 設計而生產 电路之7 边利用分許、e子設計,該積體電路係依據該 &amp;複數元件尺寸變異至該積體電路 之 製程 其中 利用 徵,Γ積體電路係☆屬模型預測-積體電路之複數特 電路之一生涂▲ 造。 產製程 521 據勹人 領肢电峪之複數孝 以a (&amp; )分授拓撲變化至該積體 (b ) —微影或蝕刻製程而被製 種方法 用一圖案附屬i括下列步驟: 積體電路係饮&amp; 7屬楔型褚、日,士 依據〜製輕預測一積體電路之複數特徵,該 -王之—設計而製造;以及1057-5667-PF (Nl) .ptd Page 331 200405184 / 、 Applicable Patent Fanyuan 5 1 6 · As mentioned in the scope of patent application No. 5 1 4, the table, the component size includes at least one of the following- Item: Micro / person V, such as windbreaker 7C Miyazaki, 4 groove width, groove depth in the remaining time, side wall in danger and the total consumption. Angle, dish, or steel 5 1 7 · As described in item 5 1 4 of the scope of patent application, electrical properties include thin film resistors, capacitors, and drive currents, among which the cloth, and timing closur integration, The power supply is divided into two steps: step 513 or 5U on the road as described in Section 513 or 5U. At least one of these steps is step 519. For example: J Yuan. Steps are provided in a network including an internal target. 5] The test steps described in item 8 or the external network, &lt; H, where the network 52 0.-kinds; the system is based on the plural number of the 3 network 'and the pre-production, including the T ^ &Lt; The integrated circuit includes the following steps: · Design and production of the 7-side design using the sub-elements, the integrated circuit is based on the &amp; complex component size variation to the integrated The manufacturing process of the circuit uses the sign. The Γ integrated circuit is a model prediction-one of the complex special circuits of the integrated circuit. ▲ Manufacturing process 521 According to the complex number of a person's collar, it is divided into a (&amp;) points. Topological change to the product (b)-lithography or etching process and seeding method A subsidiary pattern comprising the steps of i: an integrated circuit-based drink &amp; 7 genus wedge Chu, Japan, manufactured by Shi ~ light based prediction wherein a plurality of integrated circuits of the - King - Design and manufacture; and 1057-5667-PF(Nl).ptd 第332頁 200405184 六、申請專利範圍 根據該等預測特徵以決定該 -— 數配置屬性。 、電路之複數元件之 5 2 2.如申請專利範圍第52!項 ? 置屬性包括該設計在-配置與'之方法,其中 内連線插塞與複數導線之複數緩衝驟期間,決定複己 、52 3·如申請專利範圍第52ι項 —之複數屬性。 預測特徵包括寬度變異或拓撲式變里,之方法,其中該 括電子式主動元件之該等配置位置。、且該等配置屬性包 、52 4·如申請專利範圍第521項所 、 預測特彳政包括寬度變異或圖形式變異;,^法,其中該等 括跨越該積體電路之複數内連線元^=該等配置屬性包 52 5.如申請專利範圍第521項所述之-迴路。 預測特徵包括虛設填入或複數溝槽結構之配’其中該等 52 6·如申請專利範圍第521項所述之方。 預測特徵包括虑机搶λ斗、*批、妓L 〈万’套’其中該等 Πί 或複數溝槽結構之幾何結構。 5 2 7 · 一種方法,包括下列步驟: 幾何=一^附屬模型預測一積體電路之複數電子元件 構,该積體電路係依據一製程之一設計而製造,該 :=子兀件幾何結構之該預測係根據該製程產生之複數寬 度變異或複數拓撲式變異。 )52 8·如申請專利範圍第527項所述之方法,其中包括 調整該設計以改進該等電子元件之電路效能。 ^ 52 9·如申請專利範圍第527項所述之方法,其中包括 調整該設計以改進該等電子元件之複數結構性或可靠性特1057-5667-PF (Nl) .ptd Page 332 200405184 VI. Scope of Patent Application Based on these predictive features, determine the number-allocation attribute. 2. The number of complex components of the circuit 5 2 2. As in the scope of application for patent No. 52 !? The set attributes include the design-in-configuration and 'method, in which the internal buffer plug and the complex buffering step of the multiple wires determine the complex , 52 3. If the scope of the application for the 52nd item-the plural attributes. Predictive features include width variation or topological variation, among which methods include the configuration locations of electronic active components. And, such configuration attribute packages, 52, such as the scope of application for patent No. 521, the prediction of special policies include width variation or graphical variation; ^ method, where these include a plurality of interconnected lines across the integrated circuit Yuan ^ = such configuration attribute package 52 5. As described in item 521 of the scope of patent application-loop. Predictive features include the provision of dummy fills or multiple trench structures, of which 52 6 · as described in item 521 of the scope of patent application. Predictive features include the geometric structure of λ-fighting buckets, * batches, prostitutes L <10,000 ′ sets, of which Πί or plural groove structures. 5 2 7 · A method, including the following steps: Geometry = a ^ accessory model predicts the complex electronic component structure of an integrated circuit, the integrated circuit is manufactured according to a design of a process, the: = child element geometry The prediction is based on a complex width variation or a complex topological variation produced by the process. ) 52 8. The method as described in item 527 of the scope of patent application, which includes adjusting the design to improve the circuit performance of the electronic components. ^ 52 9 · The method described in the scope of patent application No. 527, including adjusting the design to improve the plural structural or reliability characteristics of these electronic components 第333頁 200405184 六、申請專利範圍 徵。 5 3 0 . —種方法,包括下列步驟: 根據一或多重步驟之一生產流程之一電性影響分析與 一圖案附屬模型,產生一策略用以在複數内連線中對複數 緩衝器或中繼器(repeater )進行判定尺寸與配置;以及 利用該電性影響分析與該圖案附屬模型評估配置該等 緩衝器或中繼器之該等預期結果; 其中,該模型與該電性影響分析之使用係整合成該緩 衝器或中繼器策略產生之一部份。 5 3 1. —種方法,包括下列步驟: 根據一或多重步驟之一生產流程之一電性影響分析與 一圖案附屬模型,決定複數内連線中對複數緩衝器或中繼 器之數目;以及 利用該電性影響分析與該圖案附屬模型評估配置該等 緩衝器或中繼器之該等預期結果; 其中,該模型與該電性影響分析之使用係整合成該緩 衝器或中繼器策略產生之一部份。 5 3 2. —種方法,包括下列步驟: 根據一或多重步驟之一生產流程之一電性影響分析與 一圖案附屬模型,預測或模擬單一或多重内連線層級之複 數傳遞延遲。 53 3.如申請專利範圍第532項所述之方法,其中該模 型與該電性影響分析之使用係整合成該緩衝器或中繼器策 略產生之一部份以降低複數傳遞延遲。Page 333 200405184 Six. Patent application scope. 5 3 0. A method including the following steps: Based on one of one or more steps of one of the production processes, an electrical impact analysis and a pattern attachment model, a strategy is generated for complex buffers or buffers A repeater to determine the size and configuration; and to use the electrical impact analysis and the pattern attached model to evaluate the expected results of configuring the buffers or repeaters; wherein the model and the electrical impact analysis Usage is integrated into the buffer or repeater strategy generation part. 5 3 1. A method including the following steps: determining the number of buffers or repeaters in the plurality of interconnects based on an electrical impact analysis of a production process in one or more steps and a pattern attachment model; And using the electrical impact analysis and the pattern accessory model to evaluate the expected results of configuring the buffers or repeaters; wherein the use of the model and the electrical impact analysis is integrated into the buffers or repeaters Part of strategy generation. 5 3 2. A method including the following steps: Predicting or simulating the complex transfer delay of a single or multiple interconnected levels based on an electrical impact analysis of a production process in one or more steps and a pattern attachment model. 53 3. The method according to item 532 of the patent application scope, wherein the use of the model and the electrical impact analysis is integrated into a part of the buffer or repeater strategy generation to reduce the complex transfer delay. 1057-5667-PF(Nl).ptd 第334頁 2004051841057-5667-PF (Nl) .ptd p. 334 200405184 53 4·如申請專利範圍第532項 型與該電性影響分析Α Λ 、 迩之方法,其中戎模 53 5. —種方法,又复I私之一部份。 但力次’包括下列步驟: 根據一或多重步驟 — &amp; —m ^ m Μ ^ 1 生產机程之一電性景彡響分析與 量消耗。 、j成杈擬早一或多重内連線層級之能 53 6·如申請專利範圍第535項所述之方法,其中亦包 ϊϊΐ=:性影響分析之使用係整合成該緩衝器或中 繼為朿略產生之一部份以降低能量消耗。53 4. If the scope of application for patent No. 532 and the method of the electrical impact analysis Α Λ, 迩 method, among them Rong Mo 53 5.-a method, but also a part of I private. But Liqi ’includes the following steps: According to one or more steps — &mp; — m ^ m Μ ^ 1 one of the production processes, the electrical environment impact analysis and volume consumption. The ability of j to be one or more levels of interconnections 53 6 · The method described in item 535 of the scope of the patent application, which also includes: The use of sexual impact analysis is integrated into the buffer or relay Generate a part for strategy to reduce energy consumption. 5 3 7 ·如申請專利範圍第5 3 5項所述之方法,其中亦包 括钂模型與該電性影響分析為該設計改變製程之一部份。 5 3 8 ·如申請專利範圍第5 3 5項所述之方法,其中亦包 括該模型與該電性影響分析為一能量與時序分析流程之一 部分。 53 9·如申請專利範圍第535項所述之方法,其中亦包 括該模型與該電性影響分析利用能量、信號整合、與時序 分析工具。。5 3 7 · The method described in item 535 of the scope of patent application, which also includes the 钂 model and the electrical impact analysis as part of the design change process. 5 3 8 · The method described in item 5 35 of the scope of patent application, which also includes the model and the electrical impact analysis as part of an energy and timing analysis process. 53 9. The method described in the scope of application for patent No. 535, which also includes the model and the electrical impact analysis using energy, signal integration, and timing analysis tools. . 5 4 0 ·如申請專利範圍第5 3 5項所述之方法,其中亦包 括該模型與該電性影響分析利用一設計規則檢查器(DRC, design rule checker)或佈局確認圖示工具(LVS, layout verification schematic) 〇 5 41.如申請專利範圍第535項所述之方法,其中亦包 括該模型與該電性影響分析利用電阻與電容擷取工具。 54 2·如申請專利範圍第535項所述之方法,其中該製5 4 0 · The method described in item 5 35 of the scope of patent application, which also includes the model and the electrical impact analysis using a design rule checker (DRC) or a layout verification tool (LVS) Layout verification schematic) 〇5 41. The method described in the scope of application for item 535, which also includes the model and the electrical impact analysis using resistance and capacitance acquisition tools. 54 2. The method according to item 535 of the scope of patent application, wherein the system 1057-5667-PF(Nl).ptd 第335頁 2004051841057-5667-PF (Nl) .ptd p.335 200405184 六、申請專利範圍 程流程包括CMP 54 3·如申請專利範圍第535項所述之方法,其中該製 程流程包括電漿蝕刻、微影、或沈積製程等步驟。/ 5 4 4 · —種方法,包括下列步驟: 根據一或多重步驟之一生產流程之一電性影響分析愈 一圖案附屬模型,預測複數内連線幾何結構之一單一層^ 之該元件寬度與厚度。 曰'' 5 4 5 ·如申請專利範圍第5 3 1項所述之方法,其中該等 元件尺寸係包含下列至少其中之一項:微影元件寬度、敍 刻溝槽寬度、餘刻溝槽深度、蝕刻側壁角度、碟陷二或銅 消耗總量。 &lt; ' ° 5 4 6 · 一種方法,包括下列步驟: 根據一或多重步驟之一生產流程之一電性影響分 一圖案附屬杈型,產生一策略於該製程中配置虛設入? 以及 ° 、’ 利用該電性影響分析與該圖案附屬模 之虛設填入在緩衝器尺寸大小與配置之該預=被配置 其中^模型與該電性影響分析之使用成 衝器策略產生尺寸與配置策略之一部份。 正《成該綾 547. 一ΐ:法’包括下列步驟: 利用一圖案附屬模刑猫、ai ^ 發生於一積體電路中之複數j因製以一預定内連線層級而 對内連線結構中ί;;;異;以及 以符合該等變異。 %衝器進彳丁尺寸調整與配置處理6. Scope of patent application Process flow includes CMP 54 3. The method described in item 535 of the scope of patent application, wherein the process flow includes steps such as plasma etching, lithography, or deposition process. / 5 4 4 · A method including the following steps: According to one of the one or more steps of one of the production processes, the electrical impact analysis of a pattern attached model predicts the width of the element in a single layer of the complex interconnected geometry ^ With thickness. "5 4 5" The method as described in Item 5 31 of the scope of patent application, wherein the size of the elements includes at least one of the following: lithographic element width, engraved groove width, remaining groove Depth, etched sidewall angle, dishing, or total copper consumption. &lt; '° 5 4 6 · A method including the following steps: According to one or more steps, one of the production processes electrically affects a pattern attached branch type, and generates a strategy to configure a dummy entry in the process? and °, '' Use the electrical impact analysis and the dummy of the pattern auxiliary mold to fill in the pre-size of the buffer size and configuration. ^ The model and the electrical impact analysis use the puncher strategy to generate the size and configuration strategy. a part. Zheng Cheng 547. One ΐ: Law 'includes the following steps: Use a pattern attached to the cat, ai ^ The plural j occurring in an integrated circuit is interconnected by a predetermined interconnect level The structure of ί ;; 异; and to comply with such variations. Dimension adjustment and configuration processing of% punch 苐336頁 200405184 六、申請專利範圍 5 4 8.如申 整步驟與該預 作為一服務。 54 9.如申 路包括一内部 測步驟或該決 5 5 0 . —種 根據一或 一圖案附屬模 55 1 · —種 根據一或 一圖案附屬模 55 2.如申 法,其中可迴 5 5 3 · —種 根據一或 一圖案附屬模 利用該電 果。 5 5 4.如申 結果係以複數 5 5 5 ·如申 結果係以複數 55 6.如申 請專利範圍第547項所述之 測步驟至少其中之一步驟福’其中該調 印提供於一網路上 請專利範圍第548項所述之方法,其中該網 網路、一外網路、&amp; 一網際網路,且該預 定步驟係依據複數使用者請求而提供。 方法,包括下列步驟: 多重步驟之一生產流程之一電性影響分析與 型,決定複數積體電路元件之配置。 方法,包括下列步驟: 多重步驟之一生產流程之一電性影響分析與 型’決定複數積體電路佈線之迴路。 請專利範圍第531、53 2或535項所述之方 授(feedback)至配置與迴路製耩少 方法,包括下列步驟: 八析與 多重步驟之一生產流程之一電性彩響分’ 型,決定: ^ ^ 性影響分析與該圖案附屬模型以此权 該等 該等 請專利範圍第553項所述之方法,其中 全部或部分晶片影像之型式顯系。 請專利範圍第5 53項所述之方法,其中 統計長條圖之型式顯示。 請專利範圍第554項所述之方法,其中 該等苐 Page 336 200405184 6. Scope of patent application 5 4 8. If the application procedure is the same as the service. 54 9. Rushen Road includes an internal test step or the decision 5 5 0. — A kind of auxiliary mold 55 according to a pattern or a pattern 55 — a kind of auxiliary mold 55 according to a pattern or a pattern 2. If applied, return 5 5 3 · — Use the fruit according to one or a pattern accessory mold. 5 5 4. The result of the claim is in the plural 5 5 5 · The result of the claim is in the plural 55 6. At least one of the steps described in step 547 of the scope of the patent application is fortuned, where the adjustment is provided on a network The method described in item 548 of the patent scope, wherein the network, an external network, &amp; an Internet, and the predetermined step is provided according to a plurality of user requests. The method includes the following steps: One of the multiple steps, one of the production processes, and one of the electrical impact analysis and modeling, determining the configuration of the complex integrated circuit components. The method includes the following steps: One of the multiple steps, one of the production processes, and an electrical impact analysis and type 'determine the circuit of the complex integrated circuit wiring. The method described in the patent scope No. 531, 53 2 or 535 is required to give feedback to the method of configuration and loop system reduction, including the following steps: Eight analysis and multiple steps One of the production process One of the electric ring sound type It is decided that: ^ ^ Sexual impact analysis and the attached model of the pattern take the right to the method described in item 553 of the patent scope, in which all or part of the image of the wafer is displayed. Please refer to the method described in Item No. 5 53 of the patent, in which the type of the statistical bar graph is displayed. Please refer to the method described in the scope of patent No. 554, wherein these 1057-5667-PF(Nl).ptd 第337頁 200405184 六、申請專利範圍 ff像包括厚度、銅消耗總量、薄膜雪阳 資訊。 、電阻、碟陷、以及侵蝕 55 7·如申請專利範圍第5 55項戶 長條圖包括厚冑、銅消耗總量1斤^之r方法,其中該等 I虫資訊。 寻膜電阻、碟陷、以及侵 5 5 8 ·如申請專利範圍第5 5 3項 兩或多級設計間進行比較。員所边之方法,其中係於 55 9·如申請專利範 3項 甘由技认 相同設計之&amp; +夕,制 只W迷之方法,其中係於 56 0 或多製程配方或工具設定間進行比較。 相同ft 專利範圍第553項所述之方法,其中係於 相「』心叶之兩製程步驟間進行比較。 餅·、如申請專利範圍第553、554、555、556、557或 D D u 項尸卜、上 勺一方法,其中該等結果係透過一網路瀏覽器或 ^ 5 6内部網路、一外部網路、與一網際網路而顯示。 2·如申請專利範圍第553、55 4、555、55 6、55 7或 5 5 8項所述之方法,其中利用該等結果選擇一設計以進行 製造。 5 6 3 ·—種方法,包括下列步驟: 於一裝置上選取複數位置予以量測,該裝置係利用至 少一生產製程而製造, 其中’根據該製程之一圖案附屬模型選取該等地點。 5 6 4 ·—種方法,包括下列步驟: 於一裝置上選取複數位置予以量測,該裝置係利用至 少一生產製程而製造,1057-5667-PF (Nl) .ptd Page 337 200405184 6. Scope of Patent Application ff image includes thickness, total copper consumption, and film information. , Resistance, dishing, and erosion 55 7 · If the scope of the patent application No. 5 55 households, the bar graph includes the method of thickness 胄, copper consumption total 1 kg ^, among which I insect information. Seek film resistance, dishing, and invasion 5 5 8 · If the scope of patent application is No. 553, compare two or more levels of design. The method by the staff, which is based on 55 9 · If the patent application for the 3 items of the technical design is the same as the &amp; + evening, the method of making only W fans, which is based on 56 0 or more process recipes or tool setting room Compare. The method described in item 553 of the same ft patent scope, in which the comparison is performed between the two process steps of the heart leaf. Cake, such as the patent application scope of 553, 554, 555, 556, 557 or DD u Bu, a method, where the results are displayed through a web browser or ^ 5 6 intranet, an external network, and an Internet. 2. If the scope of patent applications 553, 55 4 , 555, 55 6, 55 7 or 5 5 8 in which a result is used to select a design for manufacturing. 5 6 3 · A method including the following steps: Selecting a plurality of positions on a device for For measurement, the device is manufactured using at least one production process, where 'these locations are selected according to a pattern auxiliary model of the process. 5 6 4 · A method including the following steps: Selecting a plurality of positions on a device to measure It is estimated that the device is manufactured using at least one production process, 1057-5667-PF(Nl).ptd 第338頁 200405184 六、申請專利範圍 、 其中,根據該製程之一電性影響分析選取該等位置。 5 6 5如申請專利範圍第5 6 3項所述之方法,其中亦包括 根據該製程之一電性影響分析選取該等位置。 其中該製 其中根據 其中該等 其中該等 其中該製 其中該製 其中該製 其中該製 其中該等 其中該等 5 6 6 .如申請專利範圍第5 6 3項所述之方法 程包括化學機械研磨。 5 6 7.如申請專利範圍第5 6 3項所述之方法 一量測策略選取該等位置。 5 6 8.如申請專利範圍第5 6 3項所述之方法 被選取位置為一量測配方之一部份。 5 6 9 .如申請專利範圍第5 6 3項所述之方法 被選取位置係對應一量測策略。 5 7 0 .如申請專利範圍第5 6 3項所述之方法 程包括電化學沈積。 5 7 1.如申請專利範圍第5 6 3項所述之方法 程包括兩或多階段。 5 7 2.如申請專利範圍第5 7 1項所述之方法 程包括兩或多項製程。 5 7 3.如申請專利範圍第5 7 1項所述之方法 程包括一單一製程之兩或多步驟。 5 7 4.如申請專利範圍第5 71項所述之方法 兩階段包括沈積與化學機械研磨。 5 7 5.如申請專利範圍第5 71項所述之方法 被選取位置包括複數晶方内與複數晶圓内(晶方對晶方) 之位置。1057-5667-PF (Nl) .ptd Page 338 200405184 6. Scope of Patent Application Among them, these locations are selected based on the electrical impact analysis of one of the processes. 5 6 5 The method described in item 563 of the scope of patent application, which also includes selecting the locations according to an electrical impact analysis of one of the processes. Where the system is based on which of which the system of which the system of which system of which system of which system of which of which system of which is 5 6 6. The method described in the scope of the application for patents 5 63 includes chemical machinery Grinding. 5 6 7. The method described in item 5 63 of the scope of patent application. A measurement strategy selects these positions. 5 6 8. According to the method described in Item 5 63 of the scope of patent application, the selected position is part of a measurement formula. 5 6 9. According to the method described in Item 563 of the scope of patent application, the selected position corresponds to a measurement strategy. 5 70. The method according to item 563 of the scope of patent application includes electrochemical deposition. 5 7 1. The method described in item 56 of the scope of patent application includes two or more stages. 5 7 2. The method described in item 5 71 of the scope of patent application includes two or more processes. 5 7 3. The method described in item 57.1 of the scope of patent application includes two or more steps of a single process. 5 7 4. The method described in item 5 71 of the scope of patent application. The two stages include deposition and chemical mechanical polishing. 5 7 5. According to the method described in the scope of patent application No. 5 71, the positions selected include the positions in the plurality of crystal cubes and the plurality of wafers (crystal cubes to crystal cubes). 1057-5667-PF(Nl).ptd 第339頁 200405184 六、申請專利範圍 5 7 6 ·如中 兩階段之一包 5 7 7.如申 兩階段之一包 5 7 8 ·如中 括· 利用一圖 一預選工具或 5 7 9.如申 案附屬模型對 參數至少包括 異、碟陷、或 5 8 0 ·如申 案附屬模型對 請專利範圍第5 7 1項 括微影。 、所述之方法,其中該等 請專利範圍第5 71項所、+、^ 括電滎姓刻 所34之方法’其中該等 請專利範圍第563項所述之方法,其中亦包 3化測試晶圓或複數測試半導體裝置,根據 衣&amp;配方以產生該圖案附屬模型。 5月專利範圍第5 6 3項所述之方法,其中該圖 應複數圖案附屬特徵所產生之複數晶圓狀態 下列其中一 ··最終薄膜厚度、薄膜厚度變 侵I虫。 請專利範圍第5 6 3項所述之方法,其中該圖 應複數圖案附屬特徵所產生之複數電性參數 至少包括下列其中一 ··薄膜電阻值、電阻值、電容值、串 擾雜訊、壓降、驅動電流損耗、介電常數、以及有效介電 常數。 581·如申請專利範圍第563或564項所述之方法’其中 亦包括: 利用一成本函數決定量測之複數位f ° 、 58 2·如申請專利範圍第563或56 4項所述之方法’、 係根據一個以 $之選取。 上之圖案附屬模型進行位I ^ ^ ^ 5 8 3 ·如申 .. L方方法’其中亦包 請專利範圍第581項所述之π 括1057-5667-PF (Nl) .ptd Page 339 200405184 6. Scope of Patent Application 5 7 6 • One of the two phases of the package 5 7 7. One of the two phases of the package 5 7 8 One image, one pre-selection tool or 57. 9. If the attached model of the application has at least parameters such as difference, trap, or 5800. · If the attached model of the application, please include lithography in item 57.1 of the patent scope. The method described above, among which the method of patent scope No. 5 71, +, ^ including the method of the name of the electric carcass engraving 34, of which the method described in the scope of patent scope 563, which also includes 3 A test wafer or a plurality of test semiconductor devices are produced according to the clothing &amp; recipe to generate the pattern accessory model. The method described in the May patent scope item No. 563, wherein the figure should be the state of the plurality of wafers generated by the auxiliary features of the plurality of patterns. One of the following: · The final film thickness, film thickness becomes invaded. Please refer to the method described in Item 563 of the patent scope, wherein the figure shall include at least one of the following electrical parameters generated by the auxiliary features of the plural pattern: · film resistance value, resistance value, capacitance value, crosstalk noise, voltage Drop, drive current loss, dielectric constant, and effective dielectric constant. 581 · The method described in the scope of patent application No. 563 or 564 'which also includes: The use of a cost function to determine the measured complex digit f °, 58 2 · The method described in the scope of patent application No. 563 or 564 ', Based on one selected by $. On the attached model of the pattern, I ^ ^ ^ 5 8 3 · As applied .. L method ’which also includes the π described in the scope of patent 581 1057-5667-PF(Nl).ptd 第340頁 200405184 利用 響。 成本函數選取複數位置以量測虛設填入之影 亦包括: 在一網 置之一佈局 在該伺 將確認 申請專利範圍第563或56 4項所 述之方法,其中 端 58 5.如 亦包括: 位於一 使用者根據 之選取。 5 8 6 ·如 據該裝置之 5 8 7 ·如 據該裝置之 5 8 8 ·如 置包括至少 5 8 9 ·如 該位置之選 介電常數元 5 9 0 ·如 路伺服器上接收自一客戶端傳至之一半導體裝 擋案與複數設計規格; 服器選擇該等位置;以及 該等被選取之位置資訊自該伺服器傳回該客戶 申請專利範圍第5 6 3或5 6 4項所述之方法,其中 網路上之一使者可使用一服務,該服務可讓該 一半導體設計、一生產製程、與裝置進行位置 申請專利範圍 一單一内連線 利範圍 内連線 利範圍 為一半導體晶 利範圍 包括利 結構積 利範圍 申請專 一複數 申請專 申請專 取步驟 件之一 申請專 第5 6 3項所述之方法, 層級選取該等位置。 第5 6 3項所述之方法, 層級產生該量測計畫 第5 6 3項所述之方法, 圓或一晶圓上之一半導體晶片。 第563或564項所述之方法,其中 用複數虛設填入標的改進複數低 體性。 第563或564項所述之方法,其中 其中係根 其中係根 其中該裝1057-5667-PF (Nl) .ptd P.340 200405184 The cost function selects a plurality of positions to measure the dummy fill. The method also includes: Deploying on a network in one of the methods described in item 563 or 56 4 of the patent application scope, where end 58 5. As also includes : Located in a user's selection. 5 8 6 • According to the device 5 8 7 • According to the device 5 8 8 • If the device includes at least 5 8 9 • If the location selects the dielectric constant element 5 9 0 • As received from the server A client sends to a semiconductor package and multiple design specifications; the server selects these positions; and the selected position information is returned from the server to the client's patent application scope 5 6 3 or 5 6 4 The method described in item 1, in which a messenger on the network can use a service that allows the semiconductor design, a manufacturing process, and the location of a device to apply for a patent range of a single interconnected range of benefits. The scope of a semiconductor crystal profit includes the structure of the profit structure, the application of multiple applications, the application of one of the steps, and the method described in item 563, which selects these positions hierarchically. The method according to item 56 is to generate the measurement plan hierarchically. The method according to item 56 is to round or a semiconductor wafer on a wafer. The method according to item 563 or 564, wherein the subject improvement complex number is filled with a dummy number to fill in the object. The method according to item 563 or 564, wherein the root is the root 1057-5667-PF(Nl).ptd 第341頁 200405184 六、申請專利範圍 該位置之選取 常數元件之一 591.如申 溝槽製程流程 5 9 2. 申 溝槽製程流程 5 9 3 ·如申 該位置之選取 梯的改進低介電 步驟包括利用複數虛設填八 有效介電常數。 請專利範圍第589項所述么方常數。 之所有步驟中維持該有效介,其中在一 請專利範圍第5 90項所述厶方7 #齡、 一 之所有步驟中維持該有效介必 請專利範圍第563或56 4項戶斤述A於連’八 步驟包括利用複數虛設填八樺6’ 槽製程 數低介電常數材質結構 法,其中在一 流程中形成複 一 、 5 9 4.如申請專利範圍第5 6 3或5 6 4項所述之方去’其中 亦包括: 維持複數位置之一資料庫; 該資料庫可用與產生複數量測策略相連緒’以及 根據新式或改良之工具以更新該資料庫。 59 5.如申請專利範圍第563或564項所述之方法,其中 亦包括: 根據下列至少一項儲存量測資訊:複數製程工具、複 數配方、以及複數流程;以及 根據該等製程工具、配方、或流程之改變以更新該量 測資訊。 5 9 6如申請專利範圍第5 6 3或5 6 4項所述之方法,其中 亦包括: 一使用者可經由具一使用者介面之一使用者介面裝置 之一單擊(single click)為一裝置選取複數位置。1057-5667-PF (Nl) .ptd Page 341 200405184 VI. One of the constant elements selected at this position in the scope of patent application 591. Russ groove process 5 9 2. Russ process 5 9 3 Russ The improved low-dielectric step of selecting the ladder at this location includes filling in an effective dielectric constant of eight using a plurality of dummy values. Please refer to the square constant in the patent scope No. 589. To maintain the effective referral in all steps, one of which is described in item 5 90 of the patent application, and to maintain the effective referral in all steps of the patent scope, please refer to item 563 or 56 of the patent range. A Yulian 'eight steps include the use of multiple dummy filling of eight birch 6' slot manufacturing process with low dielectric constant material structure method, in which a complex one, 5 9 are formed in a process 4. As the scope of patent application No. 5 6 3 or 5 6 4 The method described in the item above also includes: maintaining a database of plural positions; the database can be connected with generating a complex measurement strategy; and updating the database according to new or improved tools. 59 5. The method according to item 563 or 564 of the scope of patent application, further comprising: storing measurement information according to at least one of the following: a plurality of process tools, a plurality of recipes, and a plurality of processes; and according to these process tools, recipes , Or process changes to update the measurement information. 5 9 6 The method according to item 5 6 3 or 5 64 of the scope of patent application, further comprising: a user can click through a single click of a user interface device with a user interface as A device selects plural positions. 1057-5667-PF(Nl).ptd 第342頁 200405184 申請專利範圍 59 7.如申請專利範圍第563或564項 亦包括: 万去,兵丁 裝置:經由―網際網路上之複數網路服務取得, 亦包5括98·.如中請專利範圍第563或56 4項所述之方法,其中 一使用者可經由一網路上之一服務,根據該〆 生產製程或流程確認複數位置。 Μ裝置,、 々59 9·如申請專利範圍第563或56 4項所述之方其中 該專位置係選擇以特徵化複數電性參數之變異。 電性專利範圍第599項所述之方法、,其中該等 ^ &quot;至乂匕括下列其中一:薄膜電阻值、電阻值、電 ::二串擾雜訊、壓降、驅動電流損⑯、介 有效介電常數。 双 亦勺2 1 ·如申5月專利範圍第Μ 3或Μ 4項所述之方法,其中 自該裝置之一佈局中擷取複數圖案附屬。 圖案屬ί I請專利範圍第601項所述之方法,其中該等 β /、、匕括對應線間距、線寬、或線密度。 6 〇 3 ·如申請專利範圍第5 6 3或5 6 4項所述之方法,1 ’、匕利用該等被選取之位置提供迴授至一 或一配方合成。 市彳系統 •如申明專利範圍第5 6 3或5 6 4項所述之方法,中 係為一半導體晶方選取該等位置。 八1057-5667-PF (Nl) .ptd Page 342 200405184 Application for Patent Scope 59 7. If the scope of patent application for 563 or 564 also includes: Wan Qu, soldier equipment: obtained through ―multiple Internet services on the Internet, It also includes 98. The method as described in item 563 or 564 of the patent scope, in which a user can confirm a plurality of positions according to the production process or process through a service on a network. M device, 々59 9. The method described in item 563 or 564 of the patent application scope, wherein the dedicated position is selected to characterize the variation of the plurality of electrical parameters. The method described in item 599 of the electrical patent scope, wherein these quotations include one of the following: film resistance value, resistance value, electricity :: two crosstalk noise, voltage drop, drive current loss, Dielectric effective dielectric constant. Shuang Yi spoon 2 1 · The method as described in the May patent scope No. M3 or M4, wherein a plurality of pattern attachments are extracted from one layout of the device. The pattern belongs to the method described in item 601 of the patent scope, wherein the β /,, and the corresponding line spacing, line width, or line density. 6 〇 3 · As described in the application of the scope of the patent 563 or 564 method, 1 ′, dagger using these selected positions to provide feedback to one or a formula synthesis. Market system • As the method described in claim 5 6.3 or 564, the system selects these positions for a semiconductor wafer. Eight 200405184 六、申請專利範圍 ^ 6 〇 5 ·如申請專利範圍第5 6 3或5 6 4項所述之方法,其中 係為一晶圓中一或多組半導體晶方選取該等位置。 /、6 〇 6 ·如申請專利範圍第5 6 3或5 6 4項所述之方法,其中 係為一批晶圓之一或多組晶圓選取該等位置。 6 〇 7.如申請專利範圍第5 6 3或5 6 4項所述之方法,其中 係為一產品生產之一或多批晶圓選取該等位置。 y 6 0 8.如申請專利範圍第5 6 3或5 6 4項所述之方法,其中 係利用一工具選取該等位置。 6 0 9 ·如申請專利範圍第5 6 3或5 6 4項所述之方法,其中 係利用一製程控制或進階製程控制系統選取該等位置。 6 1 0 ·如申請專利範圍第5 6 3或5 6 4項所述之方法,其中 該等被選取位置係經由一外部網路、内部網路、網際網 路、或一虛擬私人網路以電子式或光學式地連接至一製程 或工具。 6 1 1 ·如申請專利範圍第5 6 3或5 6 4項所述之方法,其中 該等被選取位置係根據下述規則中至少一類電性參數變異 公差(tolerance ):電容值與電阻值、薄膜電阻值、輸 出延遲、偏態(skew )、壓降、驅動電流損耗、介電常 數、或串擾雜訊(crosstalk noise)。 612·如申請專利範圍第563或56 4項所述之方法,其中 該等被選取位置係根據下述規則中至少一類晶圓參數變異 公差:薄膜厚度、碟陷、以及侵蝕。 613· —種方法,包括為一整體半導體晶片選取複數量 測位置,該等位置之選取係根據該晶片之一單一内連線層200405184 VI. Scope of patent application ^ 6 0 5 · The method as described in item 563 or 564 of the scope of patent application, wherein these positions are selected for one or more sets of semiconductor crystals in a wafer. /, 6 〇 6 · The method as described in item 563 or 564 of the scope of patent application, wherein these positions are selected for one or more groups of wafers. 6 〇 7. The method described in the scope of patent application No. 563 or 564, wherein these positions are selected for one or more batches of wafers produced by a product. y 6 0 8. The method according to item 5 6 3 or 5 64 of the scope of patent application, wherein a tool is used to select the positions. 6 0 9 · The method as described in Item 563 or 564 of the scope of patent application, wherein the positions are selected using a process control or advanced process control system. 6 1 0 · The method as described in the scope of patent application No. 563 or 564, wherein the selected locations are via an external network, an internal network, the Internet, or a virtual private network. Connected electronically or optically to a process or tool. 6 1 1 · The method according to item 5 6 3 or 5 64 of the scope of patent application, wherein the selected positions are based on at least one type of electrical parameter variation tolerance in the following rules: capacitance value and resistance value , Film resistance value, output delay, skew, voltage drop, drive current loss, dielectric constant, or crosstalk noise. 612. The method according to item 563 or 564 of the scope of patent application, wherein the selected positions are based on at least one type of wafer parameter variation tolerance in the following rules: film thickness, dishing, and erosion. 613 · A method including selecting a plurality of measurement positions for an entire semiconductor wafer, and the positions are selected according to a single interconnect layer of the wafer l〇57-5667-PF(Nl).ptd 第344頁 200405184 六、申請專利範圍 級之一圖案附屬模型。 14· 種方法,包括為一整體半導辨 測位置,1亥等位置之選取係根據該晶片:::選取複f量 之一圖案附屬模型。 θ之夕重内連線層級 6 1 5 · —種方法,包括下列步驟·· 在生產時,根據該哇$ 闰安^ 量測一“ f生產之一圖案附屬模型之 量測計 畫以量测一裝置;以及 在生產時’改變複數晶圓狀態參數中 異。 筑中複數已預測之變 6 1 6 ·如申請專利範圍第6丨5項所述 括在生產時改變複數電性參數中複數已方/,其中亦包 6&quot;. 一種方法,包括下列步驟:已預測之變異。 根據一化學機械研磨製程之一圖幸 計晝以量測一裝置;以卩 圓案附屬模型之一量測 辨識該裝置之複數區域於該化學機 完全移除物質。 予機械研磨製後仍具未 6 1 8 · ~種方法,包括下列步驟: 根據該生產之一圖案附屬模型之—旦 半導體裳置,肖以辨識在製程後於該心:晝以量測-特徵;以及 X裝置上殘餘銅之複數 利用該量測之複數結果作為迴授值 統。 汊得至一製程控制系 6 1 9 ·—種方法,包括下列步驟: 根據該生產之一圖案附屬模型之_曰、, 置测計畫以量測一〇57-5667-PF (Nl) .ptd Page 344 200405184 VI. One of the scope of the patent application. A pattern attached model. 14 · Methods, including identifying the position of a whole semiconductor, the selection of positions such as 1H is based on the wafer :: selecting a pattern auxiliary model of the complex f amount. θ eve heavy interconnecting level 6 1 5 ·-a method, including the following steps · · In production, according to the wow $ 闰 ^ Measure a "f production of a pattern attached model measurement plan to measure Testing a device; and 'changing the state of the multiple wafer parameters during production. The changes in the construction of the complex number have been predicted 6 1 6 · As described in item 6 丨 5 of the scope of patent application, it is included in the change of multiple electrical parameters during production Plural has been /, which also includes 6 &quot;. A method, including the following steps: the predicted variation. According to a chemical mechanical polishing process, a day is measured to measure a device; a quantity attached to the model Measure and identify the multiple areas of the device to completely remove the substance in the chemical machine. After mechanical grinding, there are still 6 1 8 · ~ methods, including the following steps: According to one of the patterns attached to the production-Dan Semiconductor Semiconductor Xiao Yi identified the heart after the manufacturing process: measurement-characteristics in the daytime; and the complex number of residual copper on the X device uses the measured complex number as the feedback value system. A process control system was obtained 6 1 9 · — A way, It includes the following steps: According to the design of one of the auxiliary models of the pattern, the measurement plan is set to measure one 200405184200405184 62 3· 備包括電 624. 備包括同 62 5. 括迴授製 62 6. 質與複數 性。 62 7· 位置係至 62 8· 程包括部 =申請專利範圍第62〇項所述之方法,其中該設 子探針設備。 =申請專利範圍第62〇項所述之方法,其中該設 (in situ)或線上(in-Hne)設備。 κ 甲請專利範圍第624項所述之方法,其中亦包 程之控制。 種方法,包括利用複數測試結構與複數參考材 圖案附屬模型以連結切割線量測與複數晶片特 f申請專利範圍第5 6 3項所述之方法,其中該等 v於線上、同室、或離線狀態其中之一被量測。 如申請專利範圍第563項所述之方法,其中該製 分溝槽製程流程。62 3. Equipment includes electricity 624. Equipment includes the same as 62 5. Including feedback system 62 6. Quality and plurality. 62 7 · Position system to 62 8 · Process including the department = the method described in the scope of patent application No. 62, wherein the device is a probe device. = The method described in item 62 of the scope of patent application, wherein the device is in situ or in-Hne. κA asks for the method described in item 624 of the patent scope, which also includes the control of Bao Cheng. A method including using a plurality of test structures and a plurality of reference material pattern auxiliary models to connect the cutting line measurement with the plurality of wafers, and the method described in item 5 of the patent application, wherein the v are online, in the same room, or offline One of the states is measured. The method as described in the scope of application for patent No. 563, wherein the component trench process flow. l〇57-5667-PF(Nl).ptd 第346頁 200405184 六、申請專利範圍 62 9·如中請專利範圍第563項 程包括導入複數低介電常數材質至〜迷之方法,其中 63 0·如申請專利範圍第563項所〜溝槽製程流程。〜製 程包括導入複數低介電常數層間介電^之方法,其中該 溝槽製程流程。 曰(1 LI))材質至^ 631·如申請專利範圍第563項所 程包括利用虛設填入以改進低介電常數之:法’其中該製 )之結構性質。 ㈢間介電層(I LD 63 2.如申請專利範圍第564項所述之 性影響分析包括評估有效介電常數。 法,其中該電 63 3.如申請專利範圍第563項所述之方 位置係被選取以特徵化一電漿蝕刻工 其中該等 屬。 &gt;、之複數圖案附 63 4·如申請專利範圍第563項所述之方去 位置係被選取以特徵化一微影或工具之複數^’其中該等 6 3 5 ·如申請專利範圍第5 6 3項所述之方法,圖复案附屬。 位置係被選取以特徵化一化學機械研磨製程 其中該等 1C圖案附屬。 工具之複數 63 6·如申請專利範圍第563項所述之方法,发 a 位置係被選取以特徵化形成複數内連線結構 /、該等 附屬。 设數1C圖案 6 3 7 · —種方法,包括下列步驟: 選取複數位置以量測已被生產之一半導體裝 量測該等位置; ’ 1057-5667-PF(Nl).ptd 第347頁 200405184 六、申請專利範圍 若該位置之該量測顯示該裝置不符合一要求,去除該 裝置; 選取其他複數位置以量測該半導體裝置; 量測該等其他位置;以及 若該等其他位置之該量測顯示該裝置不符合一要求, 去除該裝置。 6 3 8.如申請專利範圍第6 3 7項所述之方法,其中亦包 括重複該等選取、量測與去除步驟。 6 3 9 .如申請專利範圍第6 3 7項所述之方法,其中該量 測係對應一製程步驟於線上施行。 6 4 0.如申請專利範圍第637項所述之方法,其中該量 測係對應一製程步驟於同室施行。 6 41.如申請專利範圍第637項所述之方法,其中該量 測係對應一製程步驟於離線施行。 64 2.如申請專利範圍第637項所述之方法,其中該選 取係利用一工具之一軟體完成。 64 3.如申請專利範圍第637項所述之方法,其中該選 取係依據對應該裝置之該製程之一圖案附屬。 6 4 4.如申請專利範圍第643項所述之方法,其中該模 型係對應該製程之一特定工具予以量測。 6 4 5.如申請專利範圍第643項所述之方法,其中該模 型全程併入該製程之變異,且該選取係根據已進行量測時 之該模型。 6 4 6.如申請專利範圍第563項所述之方法,其中該等l〇57-5667-PF (Nl) .ptd Page 346 200405184 VI. Application for Patent Range 62 9 · If requested, the scope of patent No. 563 includes the method of importing multiple low dielectric constant materials to ~~, of which 63 0 · As in the patent application No. 563 ~ trench process flow. The process includes a method of introducing a plurality of low dielectric constant interlayer dielectrics, wherein the trench process flow. Said (1 LI)) material to ^ 631. The process of applying for patent No. 563 includes the use of dummy filling to improve the low-dielectric constant of the method: the method of the system) structural properties. Intermediate dielectric layer (I LD 63 2. Sexual impact analysis as described in the scope of patent application No. 564 includes evaluation of effective dielectric constant. Method, where the electricity 63 3. The method described in scope of patent application No. 563 The position is selected to characterize a plasma etcher among them. &Gt;, of the plural pattern attached 63 4. The position is selected to characterize a lithography or Plural number of tools ^ 'Among them 6 3 5 · As shown in the method described in the scope of patent application No. 563, the drawings are attached. The position is selected to characterize a chemical mechanical polishing process in which the 1C patterns are attached. Plurality of tools 63 6 · As described in the method of patent application No. 563, the position a is selected to characterize the formation of a plurality of interconnected structures /, etc. Set 1C pattern 6 3 7 ·-One method , Including the following steps: Select a plurality of positions to measure one of the semiconductor devices that have been produced; measure these positions; '1057-5667-PF (Nl) .ptd page 347 200405184 6. Apply for a patent if the amount of the position Tests show that the device does not meet If required, remove the device; select other plural locations to measure the semiconductor device; measure the other locations; and if the measurements at the other locations show that the device does not meet a requirement, remove the device. 6 3 8 The method as described in item 6 37 of the scope of patent application, which also includes repeating the selection, measurement and removal steps. 6 3 9. The method as described in item 6 37 of scope of patent application, wherein the amount The measurement system is executed online corresponding to a process step. 6 4 0. The method described in item 637 of the scope of patent application, wherein the measurement system is executed in the same room corresponding to a process step. 6 41. As the scope of patent application area 637 The method described above, wherein the measurement is performed offline corresponding to a process step. 64 2. The method according to item 637 of the scope of patent application, wherein the selection is performed using a software tool. 64 3. As a patent application The method described in item 637 of the scope, wherein the selection is attached according to a pattern corresponding to one of the processes of the device. 6 4 4. The method described in item 643 of the scope of patent application, wherein the model is corresponding to the method A specific tool is used for measurement. 6 4 5. The method according to item 643 of the scope of patent application, wherein the model incorporates the variation of the process throughout, and the selection is based on the model when the measurement has been performed. 6 4 6. The method as described in claim 563 of the patent application scope, wherein 1057-5667-PF(Nl).ptd 第348頁 200405184 六、申請專利範圍 位置係選取於一晶方層級。 64 7·如申請專利範圍第563項所述之方法,其中該等 位置係選取於一晶圓層級 648· —種方法,包括選取複數位置以於一裝置上量 測’该裝置係利用至少一生產製程與以生產,該製程包括 自該裝置之一表面清除材質, 其中,不論清除是否落於一可接受之公差内,將對根 據該製程之一圖案附屬模型所選取之該等位置進行測試。 64 9·如申請專利範圍第648之方法,其中該製程包括 研磨’且該可接受公差包括未過度研磨之清除處理 &gt; 65 0·如申請專利範圍第563項所述之方法,其中亦包 括控制一工具以對應該選取。 一、2丨.如申請專利範圍第650之方法,其中該工具包括 一光學反射裝置、CD、描繪輪廓工具、音域或渦流電流工 65 2·如申請專利範圍第563項所述之方法,其中亦包 括利用該等量測社主w μ 、特徵化整體曰日片或晶圓層級良率。 处:申請專利範圍第563項所述之方法,其中係根 可月b損σ為裝置之複數設計規袼之極大或極小特徵而選 4· 士申π專利範圍第648之方法,1中亦包括迴授 以調整一化學機、只Μ^ ^ τ 樽械研磨工具之複數設定或配方參數。 以靖敫三雷^晴專利範圍第648之方法,其中亦包括迴授 ^ … 化學機械沈積工具或包含一電子化學機械沈1057-5667-PF (Nl) .ptd Page 348 200405184 6. Scope of Patent Application The position is selected at a crystal level. 64 7 · The method as described in item 563 of the patent application scope, wherein the positions are selected at a wafer level 648 · —a method including selecting a plurality of positions for measurement on a device 'the device uses at least one Production process and production, the process includes removing material from a surface of the device, wherein the positions selected according to a pattern auxiliary model of the process will be tested regardless of whether the removal falls within an acceptable tolerance . 64 9 · The method according to the scope of patent application No. 648, wherein the process includes grinding 'and the acceptable tolerance includes the removal treatment without excessive grinding> 65 0 · The method according to the scope of patent application No. 563, which also includes Control a tool to correspond to the selection. I. 2 丨. The method according to the scope of patent application No. 650, wherein the tool includes an optical reflection device, a CD, a contouring tool, a sound field or an eddy current 65. The method according to the scope of patent application No. 563, wherein It also includes the use of these measurements of the owner w μ to characterize the overall Japanese wafer or wafer level yield. Where: The method described in the scope of application for patent No. 563, where the loss b is the maximum or minimum characteristic of the complex design specification of the device, and the method of the patent scope No. 648 of Shishen π is also selected. Including feedback to adjust the multiple settings or formula parameters of a chemical machine, only M ^ ^ τ bottle grinding tools. The method of Jingye Sanlei ^ Qing patent scope No. 648, which also includes the feedback ^ ... chemical mechanical deposition tool or contains an electro chemical mechanical sink 1057-5667-PF(Nl).ptd 第349頁 200405184 六、申請專利範圍 積工具之一流程之複數設定或配方參數。 6 5 6 ·如申請專利範圍第6 4 8之方法,其中亦包括迴授 以调整一化學機械研磨頭之複數差分壓力(differential pressure ) 〇 65 7·如申請專利範圍第648之方法,其中亦包括迴授 以调整一製程步驟之複數配方參數。 65 8·如申請專利範圍第648之方法,其中亦包括迴授 以調整一製程流程之複數合成配方參數。 6 5 9 ·如申請專利範圍第5 6 3之方法,其中亦包括自最 佳已知之複數製程方法與複數消耗品中進行比較與選取處# 理。 66 0.如申請專利範圍第5 63之方法,其中亦包括迴授 以調整一電漿蝕刻製程工具或包含一電漿蝕刻製程工具之 一流程之複數設定或配方參數。 6 6 1 · —種方法,包括根據一量測計晝量測一半導體裝 置’該量測計晝係根據一電漿蝕刻圖案附屬模型以確認複 數ic元件之複數臨界尺寸(CD)。1057-5667-PF (Nl) .ptd Page 349 200405184 VI. Scope of Patent Application Plural settings or recipe parameters of one of the tools of the product tool. 6 5 6 · If the method of applying for the scope of patent 6 4.8, which also includes feedback to adjust the complex differential pressure of a chemical mechanical polishing head 〇65 7 · If the method of applying for the scope of patent 648, which also Include feedback to adjust multiple recipe parameters for a process step. 65 8. If the method of applying for the scope of the patent No. 648, it also includes feedback to adjust the parameters of the complex synthesis formula of a manufacturing process. 6 5 9 · Method according to patent application No. 5 63, which also includes comparison and selection processing from the best known plural process method and plural consumables. 66 0. The method according to the scope of application for patent No. 5 63, which also includes feedback to adjust a plurality of settings or formulation parameters of a plasma etching process tool or a process including a plasma etching process tool. 6 6 1 · A method comprising measuring a semiconductor device according to a measurement day ', the measurement day is based on an attached model of a plasma etching pattern to confirm a complex critical dimension (CD) of a plurality of ic elements. 66 2·如申請專利範圍第5 63項所述之方法,其中該圖 案附屬模型對應複數圖案附屬特徵所產生之複數晶圓狀態 參數至少包括下列其中一:臨界尺寸(CD )、薄膜厚度、 高寬比、或溝槽寬度、或溝槽深度。 66 3·如申請專利範圍第563之方法,其中亦包括迴授 以調整一微影工具或包含一微影工具之一流程之複數設定 或配方參數。66 2. The method according to item 5 63 of the patent application scope, wherein the plurality of wafer state parameters generated by the pattern auxiliary model corresponding to the plurality of pattern auxiliary features include at least one of the following: critical dimension (CD), film thickness, height Aspect ratio, or trench width, or trench depth. 66 3. If the method of applying for patent scope No. 563, it also includes feedback to adjust a lithography tool or a plurality of settings or formula parameters of a lithography tool. 1057-5667-PF(Nl).ptd 第350頁 200405184 六、申請專利範圍 6 6 4 ·如申請專利範圍第5 6 3之方法,其中亦包括調整 複數設計規則、複數設計規格、或複數控制帶。 66 5·如申請專利範圍第563之方法,其中亦包括複數 測試結構或褒置之該設計。 6 6 6 ·如申請專利範圍第5 6 3之方法,其中亦包括結合 複數晶片參數與存在之複數測試結構與裝置。 667. —種裝置,包括一工具以量測一半導體裝置之一 參數,該量測工具包括一控制元件以選取複數位置,根據 對應該裝置之一製程之一圖案附屬模型進行量測。1057-5667-PF (Nl) .ptd Page 350 200405184 6. Application for Patent Scope 6 6 4 · The method of applying for Patent Scope 5 6 3, which also includes adjusting plural design rules, plural design specifications, or plural control bands . 66 5. If the method of applying for the scope of the patent No. 563, also includes a plurality of test structures or the design of the arrangement. 6 6 6 · The method according to the scope of patent application No. 563, which also includes a plurality of test structures and devices that combine a plurality of wafer parameters with the existence. 667. A device including a tool for measuring a parameter of a semiconductor device, the measuring tool including a control element for selecting a plurality of positions, and measuring according to a pattern auxiliary model corresponding to a process of the device. 6 6 8 · —種方法,包括利用一圖案附屬模型以決定製造 一積體電路(1C)之一製程之製造配方與複數設備設定, 該製造配方與該等設備設定降低複數晶圓狀態參數或電性 之製程導入變異。 66 9·如申請專利範圍第668項所述之方法,其中該等 晶圓狀態參數至少包括該整體生產丨c之一薄膜厚度。 67 0·如申請專利範圍第668項所述之方法,其中亦包 括利用複數測試晶圓,以特徵化該積體電路生產製程之一 部伤中複數圖案附屬,並於該模型中使用該等圖案附屬。 671·如申請專利範圍第67〇項所述之方法,其中該 產製程之部分包括電鍍製程。 67 2·如申請專利範圍第67〇項所述之方法,其中該 產製程之部分包括氧化沈積。 67 3.如申請專利範圍第67〇項所述之方法,其中該 產製程之部分包括化學機械式研磨。 生6 6 8 · A method including using a pattern auxiliary model to determine a manufacturing recipe and a plurality of device settings for a process of manufacturing an integrated circuit (1C), the manufacturing recipe and the device settings reducing a plurality of wafer state parameters or Electrical processes introduce variation. 66 9. The method according to item 668 of the scope of patent application, wherein the wafer state parameters include at least one film thickness of the overall production. 67 0. The method described in the scope of application for patent No. 668, which also includes using a plurality of test wafers to characterize one of the wound patterns attached to the integrated circuit production process, and use these in the model Graphic attached. 671. The method according to item 67 of the scope of patent application, wherein a part of the production process includes an electroplating process. 67 2. The method according to item 67 of the scope of patent application, wherein part of the production process includes oxidative deposition. 67 3. The method according to item 67 of the scope of patent application, wherein part of the production process includes chemical mechanical grinding. Raw 200405184 六、申請專利範圍 674·如申請專利範圍第670項所述之 其中該生 產製程之部分包括電漿蝕刻。 ’ 675.如申請專利範圍第670項所述 直 產製程之部分包括微影。 4之方法U 67 6.如中請專利範圍第668、669、67〇、67ι、672、 673、6 74或675項所述之方法’其中該模型複數圖案附屬 特徵係對應該製程導入之複數晶圓狀態參數之變異。 67 7.如申請專利範圍第676項所述之方法,直中該等 晶圓狀態參數包括至少下例一項:薄膜厚度、陣列高度、 階梯高度、薄膜電阻、電容、串音雜訊、以及耦合電容。 678. 如申請專利範圍第676項所述之方法,其中該等 圖案附屬特彳玫包括至少下列一項:線間距、線寬、以及有 效密度。 679. 如申請專利範圍第668項所述之方法,其中亦包 括利用該模型以產生晶圓狀態之複數晶預測與複 數電性參數。 680. 如申請專利範圍第668或6?9項所述之方法,其中 亦包括利用-成本函數以量測該等預測之複數結果。 681」如申請專利範圍第68〇項所述之方法,其中亦包 括利用該成本函數以比齡一細阶 ,Q〇 ^ ^ 竿乂組配方或複數設備設定0 682.如申請專利範圍第68〇項所述之方法,其中亦包 括利用複數最佳化方法選取配方與複數裝備設定,可最小 化複數晶圓狀態或電性參數之變異。 68 3·如申請專利範圍第682項所述之方法,其中該等200405184 VI. Scope of patent application 674 · As described in item 670 of the scope of patent application, where part of the production process includes plasma etching. 675. The part of the direct production process as described in item 670 of the scope of patent application includes lithography. 4. Method U 67 6. The method described in the patent scope No. 668, 669, 67, 67, 67, 672, 673, 6 74 or 675, wherein the model's plural pattern auxiliary features correspond to the plural numbers imported by the process. Variation of wafer state parameters. 67 7. According to the method described in the scope of patent application No. 676, the wafer state parameters of Zhizhong include at least one of the following examples: film thickness, array height, step height, film resistance, capacitance, crosstalk noise, and Coupling capacitor. 678. The method according to item 676 of the scope of application for a patent, wherein the pattern attached special features include at least one of the following: line spacing, line width, and effective density. 679. The method described in the scope of patent application No. 668, which also includes the use of the model to generate the complex crystal prediction and complex electrical parameters of the wafer state. 680. The method described in the scope of patent application No. 668 or 6-9, which also includes the use of a cost function to measure the plural results of these predictions. 681 "The method described in item 68 of the scope of patent application, which also includes the use of the cost function to set a finer order than the age, Q 0 ^ ^ formula or complex equipment to set 0 682. Such as the scope of patent application 68 The method described in item 〇 also includes the use of multiple optimization methods to select recipes and multiple equipment settings, which can minimize the variation of multiple wafer states or electrical parameters. 68 3. The method described in item 682 of the scope of patent application, wherein 200405184 六、申請專利範圍 最佳化方法包 回火(anneal 式、與近似線 68 4·如申 括利用成本函 化方法之收斂 6 8 5 . 申 一單一製程步 6 8 6 ·如申 大於一項製程 68 7.如申 括經由一圖形 備設定傳送至 6 8 8 ·如申 括直接地傳送 化系統。 6 8 9 .如申 括直接地傳送 定至一批特定 69 0·如申 括利用該圖案 數,以作為一 6 9 1 ·如申 括利用該圖案200405184 VI. Method for optimizing the scope of patent application including tempering (anneal formula and approximation line 68 4) Such as the convergence of using the cost function method 6 8 5. Applying a single process step 6 8 6 · If applying greater than one Item process 68 7. If the claim is transmitted to a 6 8 8 via a graphic backup setting • If the claim is directly transmitted to the system. 6 8 9. If the claim is directly transmitted to a specific batch of 69 0 • If the claim is used The number of the pattern as a 6 9 1 · Use the pattern as stated 1057-5667-PF(Nl).Ptd 第353頁 括下列至J/ 一項·單一、結合梯度、模擬之 1 ng )、、動悲程式、近似動態程式、線性程 性程式。 請專利範圍第683項所述之方法,其中亦包 數之二次方(qUaclratic)以促成該等最佳 (convergence ) 〇 請專利範圍第6 6 8項戶斥、+、&gt; 士#丄 貝所述之方法,其中包括 請專利範圍第668項辦、+、 _ x .^ ^ ^ ^ 唄所述之方法,其中包括 步驟或製程流程。 請專利範圍第668項辦、χ ,. 貝所述之方法,其中亦句 一使用者。 戎夕組製造配方與複數設 請專利範圍第668項所、+、丄^ 至少-製造配方至1程之且’其中亦包 1¾工具或一生產自動 請專利範圍第668項所、+、 _丄 至少-製造配方至依斤上之方曰法,其中亦包 晶圓之一記憶裝置。、一晶圓栽具或被指 請專利範圍第668項所 、、 附屬模型以預測或根^之方法,其中亦包 單一製程步,驟之1果1性或複數電性參 請專利範圍第668項所。 、 附屬模型以預測或根^之方法,其中亦包 、疑電性或複數電性參 2004051841057-5667-PF (Nl) .Ptd page 353 includes the following items to J / Single, single, combined gradient, 1 ng of simulation), dynamic program, approximate dynamic program, linear program. Please refer to the method described in item 683 of the patent, which also includes the number of quadratic (qUaclratic) to promote these convergences. The method described in the above method includes the method described in Patent Scope 668, +, _ x. ^ ^ ^ ^ ^ 呗, which includes steps or process flow. Please refer to the method described in the scope of patent No. 668, χ ,. Bei, which is also a user. Rong Xi Group's manufacturing formula and plural patents please apply for patent scope No. 668, +, 丄 ^ At least-manufacturing recipes to 1 pass and 'which also includes 1¾ tools or a production automatic patent scope No. 668, +, _丄 At least-manufacturing recipes to the method according to the pounds, which also includes one of the wafers memory device. 1. A wafer tool or the alleged patent scope No. 668. A method for predicting or rooting the attached model, which also includes a single process step. For the results of one or more electrical procedures, please refer to the patent scope. 668 offices. The auxiliary model uses the method of prediction or root ^, which also includes, suspected or complex electrical parameters 200405184 數二9作為多,製程步驟或一 Μ程流程之一結果。 拓利用請專利範圍第668項所述之方法,其中亦包 n擎1 Μ貫際產品晶圓以發展該模型,該模型將使複數 二數雷Ht灸徵對應至至少一製程所導致在複數狀態參數或 稷數電性參數之變異。 m如申請專利範圍第692項所述之方法,其中該等 =:屬特徵包括至少下列一項:線間距、線$、以及有 效岔度。 69 4·如申請專利範圍第692項所述之方法,豆中該等 參數包括至少下例一項:薄膜厚度、陣列高度、 69 5.如申請專利範圍第692項所述之方法,其中該等 晶圓狀態參數包括至少下例一項:薄膜電阻、電容、 雜訊、以及耦合電容。 69 6·如申請專利範圍第692項所述之方法,其中亦包 括在光罩下訂單(tape 〇ut)與製造前確保該1(^設計之製 造能力。 69 7·如申請專利範圍第6 68項所述之方法,其中亦包 括在光罩下訂單(tape out)與製造前模擬該1(^設計之製 造能力。 6 9 8 ·如申請專利範圍第6 6 8項所述之方法,其中亦包 括在一 1C設計之複數預選項目中決定一製程流程。 69 9·如申請專利範圍第6 68項所述之方法,其中亦包 括於一 IC製造製程中改變複數配方參數以特徵化複數圖案The number 9 is the result of multiple, process steps or one M process flow. To develop the model using the method described in item 668 of the patent scope, which also includes 1M wafers of inter-products to develop the model, which will make the plural Ht moxibustion signs correspond to at least one process. Variation of complex state parameters or electrical parameters. m The method according to item 692 of the scope of patent application, wherein these =: characteristics include at least one of the following: line spacing, line $, and effective bifurcation. 69 4. As the method described in the scope of patent application No. 692, these parameters in beans include at least one of the following examples: film thickness, array height, 69 5. The method described in scope of patent application No. 692, where The wafer status parameters include at least one of the following examples: thin film resistors, capacitors, noise, and coupling capacitors. 69 6. The method described in item 692 of the scope of patent application, which also includes ensuring the manufacturing capacity of the 1 (^ design) before placing an order (tape ut) on the photomask and manufacturing. 69 7 The method described in item 68, which also includes tape out and simulation of the manufacturing capability of the design before manufacturing. 6 9 8 · The method described in item 68 of the scope of patent application, It also includes determining a process flow in a plurality of pre-selected items of 1C design. 69 9 · The method described in item 6 of the scope of patent application 68, which also includes changing the parameters of a plurality of formulations in an IC manufacturing process to characterize the plurality pattern 1057-5667-PF(Nl).ptd 第354頁 200405184 六、申請專利範圍 附屬。 7 0 0•如申 括於該製程之 製程趨向(d r 701.如申 程趨向包括一 墊(pad )。 70 2.如申 一媒介上之軟 70 3.如申 括提供一圖案 70 4.如申 於製程合成, 7 0 5.如申 於製程最佳化 7 0 6 ·如申 一網路伺服器 7 0 7.如中 法,其中亦包 數客製化網路 7 〇 8 ·如申 括'流程之— 跨越一晶方之 請專利範圍 一生命週期 if t ) 〇 請專利範圍 電漿反應器 faults 睛專利範圍 體施行。 請專利範圍 化使用者介 請專利範圍 並於一網路 請專利範圍 ,並於一網 請專利範圍 上施行。 請專利範圍 括對應互異 服務。 請專利範圍 製程步驟或 複數後製造 第699項所述之方法,发中亦包 之複數點時施行該配方以特徵化 第700項所述之方法,其中該製 之該等壁上所配置或建立之⑽ 第668項所述之方法,其中係由 第668項所述之方法,其中亦包 面,讓一使用者可取得結果。 第668項所述之方法,其中係用 伺服器上施行。 第6 6 8項所述之方法 路伺服器上施行。 第6 6 8項所述之方法 其中係用 其中係於 第704、70 5或706項所述之方 使用者於該網路伺服器上產生複 第6 6 8項所述之方法,其中亦包 多重製程步驟中,空間式地確認 缺陷(pos t-manufactured1057-5667-PF (Nl) .ptd Page 354 200405184 6. Scope of Patent Application Attached. 7 0 0 • If the process trend is included in the process (dr 701. If the process trend includes a pad. 70 2. If the application is soft on the medium 70 3. If the request provides a pattern 70 4. If applied to process synthesis, 7 0 5. If applied to process optimization 7 0 6 · If applied to a web server 7 0 7. As in China and France, which also includes a customized network 7 〇 8 · as The process of claiming-the patent scope across a crystal party-a life cycle if t) 〇 patent scope plasma reactor faults patent scope implementation. Please patent the scope of the user interface Please patent the scope and apply the patent scope on a network, and implement the patent scope on a network. Please include the scope of patents corresponding to different services. Please manufacture the method described in item 699 after the patent process steps or plural, and execute the formula to characterize the method described in item 700 when the plural points are included in the distribution. Established ⑽ The method described in item 668, which is the method described in item 668, which is also covered so that a user can obtain the result. The method according to item 668, wherein the method is performed on a server. The method described in item 6 68 is implemented on the server. The method described in item 6 68 is a method in which the user described in item 704, 70 5 or 706 is used to generate the method described in item 6 68 on the web server, wherein In the multiple process steps, defects are identified spatially (pos t-manufactured 1057-5667-PF(Nl).ptd 第355頁 200405184 六、申請專利範圍 7 0 9 ·如 申請專 路伺服 力預測 申請專 路伺服 之流程 申請專 可從一 ,範圍第668項所述之方法,其中亦包 為接收採用一特定製程或工具之該1C之 0 =j範圍第668項所述之方法,其中亦包 :,自動地提供一製程工具或複數製程 已選取配方或複數設定。 利範圍第668項所述之方法,其中亦提 、”罔路伺服器對應一單擊而取得一最佳 利範圍第668項所述之方法,其 網路伺服器對應一單_ 、亦耠 平擎而取得一最佳 713.如申請專利範圍第668項所述之 ,過複數製程小時或複數已製程特’化、中亦包 切換(sh i f t )。 将徵化製程趨向 714·如申請專利範圍第668項所述之 排程複數製程工具之保養與維修工作。彳,,、中亦包 715·如申請專利範圍第668項所述之 選取複數消耗品組。 其中亦包 716·如申請專利範圍第668項所述之方 耗品組包括複數研磨墊、複數種研磨液、/ “中該等 。 殊軋體合成 括 複數製造能 經由一網 括 工 供 化 供 化 括 與 括 括 消 物 71 0 ·如 利用一網 具或步驟 71 1 ·如 一使用者 71 2 ·如申請專 使用者可從一 71 7. 一種方法,包括下列步驟: 根據一或多步驟之一製造製程流程之電性影響八 析與 200405184 六、申請專利範圍 --- 一圖案附屬模型,產生一策略以比較複數消耗品組或設 備;以及 Λ 利用電性影響分析與該圖案附屬模型評估使用一被選 取之該等消耗品組或設備之複數積體電路設計之該等預期 結果。 / 71 8 ·如申请專利範圍第71 7項所述之方法,其中該等 消耗品組包括複數研磨塾、複數種研磨液、或複數氣體。 719·如申請專利範圍第717項所述之方法,其中該設 備包括CMP、ECD、電漿蝕刻、微影、戒沈積設備。 7 2 0 · —種方法,包括下列步驟: &amp; 根據一或多步驟之一製造製程流释之電性影響分析與 一圖案附屬模型,產生一策略以分析戒診斷複數消耗品組 或設備;以及 利用電性影響分析與該圖案附屬模塑評估使用一被選 取之該等消耗品組或設備之複數積體電路設計之該等預期 結果。 721·如申請專利範圍第720項所述之方法,其中該等 消耗品組包括複數研磨塾、複數種研磨液、或複數氣體。 72 2·如申請專利範圍第72〇項所述之方法,其中該設 備包括CMP、ECD、電漿蝕刻、微影、或沈積設備。 f 7 2 3 · —種方法,包括下列步驟: 根據一或多步驟之一製造製程流程之電性影響分析與 一圖案附屬模型,產生複數隔離溝槽幾何結構之一預測。 72 4·如申請專利範圍第723項所述之方法,其中該製1057-5667-PF (Nl) .ptd Page 355 200405184 VI. Application for Patent Scope 7 0 9 · If you apply for dedicated servo force prediction, apply for dedicated servo process, you can apply for one, the method described in scope 668 , Which also includes receiving the method described in item 1 of the 0C = 0 range of the 1C using a specific process or tool, which also includes: automatically providing a process tool or plural processes with selected recipes or plural settings. The method described in item 668 of the profit range, which also mentions that the "罔 路 server corresponds to one click to obtain a method of item 668 in the best profit range, and the web server corresponds to a single order. Achieved a best 713. As described in item 668 of the scope of the patent application, the number of process hours or the number of processes has been specialized, and the process has been switched (sh ift.) The maintenance and repair work of the schedule and multiple process tools described in the scope of the patent No. 668. 彳 ,,, and also include 715 · Select the multiple consumables group described in the scope of the patent application No. 668. Which also includes 716 · such as The expendable consumable group described in the scope of application for patent No. 668 includes a plurality of polishing pads, a plurality of polishing liquids, and / or the like. Special rolling composite production can be supplied through a netting process, including supply and encapsulation. 71 0 · If using a net or step 71 1 · As a user 71 2 · If applying for a special user, you can start from a 71 7. A method including the following steps: Analysis of the electrical effects of the manufacturing process according to one or more steps and 200405184 6. Scope of patent application-a pattern attached model, generating a strategy to compare multiple consumable groups Or equipment; and Λ use the electrical impact analysis and the pattern accessory model to evaluate the expected results of the multiple integrated circuit design using a selected set of such consumables or equipment. / 71 8 · The method according to item 71 of the scope of patent application, wherein the consumable groups include a plurality of grinding mills, a plurality of grinding liquids, or a plurality of gases. 719. The method according to item 717 of the scope of patent application, wherein the equipment includes CMP, ECD, plasma etching, lithography, or deposition equipment. 7 2 0 · A method including the following steps: &amp; Electrical impact analysis of a manufacturing process release and a pattern attachment model according to one or more steps to generate a strategy to analyze or diagnose a plurality of consumable groups or equipment; And use the electrical impact analysis and the pattern attachment molding to evaluate the expected results of the multiple integrated circuit design using a selected set of such consumables or equipment. 721. The method according to item 720 of the scope of patent application, wherein the consumable groups include a plurality of abrasives, a plurality of abrasives, or a plurality of gases. 72 2. The method according to item 72 of the scope of patent application, wherein the equipment includes CMP, ECD, plasma etching, lithography, or deposition equipment. f 7 2 3 · A method including the following steps: Based on one or more steps of an electrical impact analysis of the manufacturing process and a pattern attachment model, a prediction of one of the plurality of isolated trench geometries is generated. 72 4. The method according to item 723 of the scope of patent application, wherein the system 1057-5667-PF(Nl).ptd 第357頁 〜--- 200405184 六、申請專利範圍 程流程包括CMP。 7 2 5 ·如申請專 程流程包括電漿蝕 7 2 6 ·如申請專 括特徵化關於複數 之複數特定高寬比 7 2 7 ·如申請專 括建立分析用之一 7 2 8 ·如申請專 括編譯關於工具或 7 2 9 ·如申請專 括建立工具或設計 7 3 0 ·如申請專 括經由一網路提供 7 3 1 ·如申請專 亦包括預測一主動 槽圓角(r〇unding 7 3 2 ·如申請專 括預測一主動裝置 電壓(thresho 1 d 7 3 3 ·如申請專 括預測一主動裝置 流。 7 3 4 ·如申請專 其中亦包 利範圍第723項所述之方法,其中該製 刻。 利範圍第676項所述之方法,其中亦包 診斷或控制之一工具之複數圖案附屬 利範圍第6 76項所述之方法 資料庫。 利範圍第676項所述之方法,其中亦包 消耗行為之聚集統計資料。 利範圍第6 76項所述之方法,其中亦包 之複數標準。 利範圍第6 7 6項所述之方法,其中亦包 資料。 利範圍第723或724項所述之方法,其中 裝置區與一隔離溝槽區間之一介面之溝 )狀況。 利範圍第7 2 5項所述之方法,其中亦包 ^與&quot;隔離溝槽區間之一介面之·閥值 voltage) ° 利乾圍第7 2 3項所述之方法,其中亦包 區與一隔離溝槽區間之一介面之一漏電 利範圍第723項所述之方法,其中亦包1057-5667-PF (Nl) .ptd Page 357 ~ --- 200405184 6. Scope of Patent Application The process includes CMP. 7 2 5 · If the application process includes plasma erosion 7 2 6 · If you apply for specialization to characterize the plural specific aspect ratio of the plural 7 2 7 · If you apply for the establishment of one for analysis 7 2 8 · If you apply for the application Including compiling about tools or 7 2 9 · If applying for creating tools or designing 7 3 0 · If applying for providing providing via a network 7 3 1 · If applying for including also predicting an active groove fillet (runding 7 3 2 · If applying for the prediction of an active device voltage (thresho 1 d 7 3 3 · If applying for the prediction of an active device flow exclusively. 7 3 4 · If the application also includes the method described in item 723, The method described in item 676 includes the method described in item 676, which also includes a diagnostic tool or a control tool. The method library described in item 6 and 76 is included in the method. The method described in item 676 is included in the method. , Which also includes the aggregate statistics of consumption behavior. The method described in item 6 76 of the scope of interest, which also includes a plurality of standards. The method described in item 6 7 6 of scope, which also includes the data. 723 area of interest Or the method described in item 724, wherein Device interface and an isolation trench interval interface interface). The method described in item 7 2 5 of the scope of interest, which also includes ^ and "Isolation trench interface interface threshold voltage" ° profit The method according to item 7 2 3 of Qianwei, wherein the method described in item 723, which is one of the leakage benefit ranges of an interface between an isolation zone and an isolation trench section, also includes 1057-5667-PF(Nl).ptd 第358頁 2004051841057-5667-PF (Nl) .ptd p.358 200405184 ==動丨裝置〗區與一隔離溝槽區間之-介面之複晶石夕 縱狀、、、τ3 構(polysilicon stringer ) 73 5.如申請專利範圍第723項所述之方法,直中亦包 括定義形成複數幻諸隔離結構之以製驟或複數流 程0 73 6.如申請專利範圍第735項所述之方法,其中亦包 括選取一特定淺溝槽隔離設計。 737.如申請專利範圍第723項所述之方法,其中亦包 括選取一設計或複數製程特徵以影響溝槽邊角(c〇nner) 之圓角狀況。 H 7 3 8. 一種方法,包括下列步驟: 根據一或多步驟之一溝槽隔離製造製程流程之電性影 響分析與一圖案附屬模型,產生一策略以於該製程中配置 複數虛设填入氧化區;以及 利用該電性影響分析與該圖案附屬模型評估使用該虛 設填入配置之該等預期結果,該電性影響分析與該圖案附 屬模型之使用係包含於該虛設填入策略之產生的一部份。 73 9·如申請專利範圍第79、80、81或82項所述之方 法,其中亦包括: 根據該圖案附屬模型產生製程中配置虛設填入之該策鐵I 略;以及 利用一成本函數(c 〇 s t f u n c t i 〇 η )評估在晶圓階段 之製程與電性參數變異而施行虛設填入調整所造成之影== Motion 丨 device〗 region and an isolation trench section of the -Interface polycrystalline stone, vertical ,, and τ3 structure (polysilicon stringer) 73 5. The method described in item 723 of the scope of patent application, straight and also Including the process of defining multiple or isolating structures to form a complex or multiple process. 0 6. 6. The method as described in item 735 of the scope of patent application, which also includes selecting a specific shallow trench isolation design. 737. The method as described in claim 723 of the scope of patent application, which also includes selecting a design or a plurality of process features to affect the rounded condition of the groove corners. H 7 3 8. A method comprising the following steps: According to the electrical impact analysis of a trench isolation manufacturing process and one of the pattern attachment models in one or more steps, a strategy is generated to configure a plurality of dummy fills in the process Oxidation zone; and using the electrical impact analysis and the pattern auxiliary model to evaluate the expected results using the dummy fill configuration, the electrical impact analysis and use of the pattern auxiliary model are included in the generation of the dummy fill Part of it. 73 9. The method described in item 79, 80, 81, or 82 of the scope of patent application, further comprising: generating the strategy based on the configuration of the dummy filling in the production process according to the pattern auxiliary model generation; and using a cost function ( c 〇stfuncti 〇η) Evaluate the impact caused by the dummy filling adjustment during the wafer stage process and electrical parameter variation 1057-5667-PF(Nl).ptd 第359頁1057-5667-PF (Nl) .ptd Page 359
TW092114879A 2002-06-07 2003-06-02 Characterization and reduction of variation for integrated circuits TWI306567B (en)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US10/164,847 US7152215B2 (en) 2002-06-07 2002-06-07 Dummy fill for integrated circuits
US10/165,214 US7393755B2 (en) 2002-06-07 2002-06-07 Dummy fill for integrated circuits
US10/164,842 US20030229875A1 (en) 2002-06-07 2002-06-07 Use of models in integrated circuit fabrication
US10/164,844 US7124386B2 (en) 2002-06-07 2002-06-07 Dummy fill for integrated circuits
US10/200,660 US7363099B2 (en) 2002-06-07 2002-07-22 Integrated circuit metrology
US10/321,290 US7325206B2 (en) 2002-06-07 2002-12-17 Electronic design for integrated circuits based process related variations
US10/321,283 US7174520B2 (en) 2002-06-07 2002-12-17 Characterization and verification for integrated circuit designs
US10/321,298 US7367008B2 (en) 2002-06-07 2002-12-17 Adjustment of masks for integrated circuit fabrication
US10/321,281 US7243316B2 (en) 2002-06-07 2002-12-17 Test masks for lithographic and etch processes
US10/321,777 US7353475B2 (en) 2002-06-07 2002-12-17 Electronic design for integrated circuits based on process related variations

Publications (2)

Publication Number Publication Date
TW200405184A true TW200405184A (en) 2004-04-01
TWI306567B TWI306567B (en) 2009-02-21

Family

ID=29716360

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092114879A TWI306567B (en) 2002-06-07 2003-06-02 Characterization and reduction of variation for integrated circuits

Country Status (2)

Country Link
US (7) US7363099B2 (en)
TW (1) TWI306567B (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI407723B (en) * 2004-12-16 2013-09-01 Tamiras Per Pte Ltd Llc Method, apparatus, and computer readable medium thereof for reception of data and method for ensuring the signal integrity of data
TWI448915B (en) * 2007-09-28 2014-08-11 Synopsys Inc Computer-executed method and apparatus for performing dummy-fill in a design layout to achieve a target density, and computer-readable storage medium
TWI457777B (en) * 2007-10-17 2014-10-21 Synopsys Inc Method, apparatus and computer-readable medium for simulating operation of ic circuit for testing scan cells
TWI463344B (en) * 2005-10-24 2014-12-01 Cadence Design Systems Inc Timing, noise, and power analysis of integrated circuits
TWI557584B (en) * 2013-12-10 2016-11-11 台灣積體電路製造股份有限公司 Method semiconductor device design, method of preparing a layout of an integrated circuit device and non-transitory computer readable medium
US9659123B2 (en) 2014-10-17 2017-05-23 21, Inc. Systems and methods for flexibly optimizing processing circuit efficiency
TWI595441B (en) * 2012-11-06 2017-08-11 應用材料股份有限公司 Trend dynamic sensor imaging using contour maps to visualize multiple trend data sets in a single view
TWI644190B (en) * 2017-06-29 2018-12-11 台灣積體電路製造股份有限公司 Processing system and processing method
TWI670617B (en) * 2018-10-31 2019-09-01 財團法人工業技術研究院 Simulation system and method
TWI728576B (en) * 2019-11-27 2021-05-21 台灣積體電路製造股份有限公司 Manufacturing method of semiconductor structure and computer-readable storage medium
TWI762842B (en) * 2018-12-31 2022-05-01 瑞昱半導體股份有限公司 Integrated circuitry development system, integrated circuitry development method, and integrated circuitry
TWI769829B (en) * 2021-05-21 2022-07-01 崛智科技有限公司 Device and method for integrated circuit assistance design, and method for constructing electrical performance gradient model
TWI775299B (en) * 2021-02-02 2022-08-21 力晶積成電子製造股份有限公司 Computer-implemented method of performing voltage rule checking in an electronic design automation platform
TWI774743B (en) * 2017-09-12 2022-08-21 美商恩倍科微電子股份有限公司 Very low power microcontroller system
TWI786566B (en) * 2021-03-11 2022-12-11 南亞科技股份有限公司 Method of semconductor structure manufacturing and semiconductor structure manufacturing system
US12063291B2 (en) 2014-10-17 2024-08-13 Coinbase, Inc. Devices and circuitry for computing hash values

Families Citing this family (369)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6887723B1 (en) * 1998-12-04 2005-05-03 Formfactor, Inc. Method for processing an integrated circuit including placing dice into a carrier and testing
US6838228B2 (en) * 2001-05-14 2005-01-04 Fairchild Semiconductor Corporation System to enable photolithography on severe structure topologies
US7853904B2 (en) 2002-06-07 2010-12-14 Cadence Design Systems, Inc. Method and system for handling process related variations for integrated circuits based upon reflections
US7152215B2 (en) * 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7712056B2 (en) * 2002-06-07 2010-05-04 Cadence Design Systems, Inc. Characterization and verification for integrated circuit designs
US7774726B2 (en) * 2002-06-07 2010-08-10 Cadence Design Systems, Inc. Dummy fill for integrated circuits
WO2003104921A2 (en) * 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US6769103B2 (en) * 2002-07-19 2004-07-27 Micron Technology, Inc. Line width check in layout database
US8185230B2 (en) * 2002-08-22 2012-05-22 Advanced Micro Devices, Inc. Method and apparatus for predicting device electrical parameters during fabrication
JP2004086546A (en) * 2002-08-27 2004-03-18 Matsushita Electric Ind Co Ltd Circuit simulation method
US7087527B2 (en) * 2002-08-28 2006-08-08 Micron Technology, Inc. Extended kalman filter incorporating offline metrology
US6893800B2 (en) * 2002-09-24 2005-05-17 Agere Systems, Inc. Substrate topography compensation at mask design: 3D OPC topography anchored
US8224636B2 (en) * 2002-12-17 2012-07-17 Cadence Design Systems, Inc. Method and system for implementing parallel execution in a computing system and in a circuit simulator
US6917849B1 (en) * 2002-12-18 2005-07-12 Advanced Micro Devices, Inc. Method and apparatus for predicting electrical parameters using measured and predicted fabrication parameters
US7028277B2 (en) * 2002-12-20 2006-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Process related deviation corrected parasitic capacitance modeling method
US6936898B2 (en) * 2002-12-31 2005-08-30 Transmeta Corporation Diagonal deep well region for routing body-bias voltage for MOSFETS in surface well regions
US6883153B2 (en) * 2003-01-10 2005-04-19 Intel Corporation Minimization of microelectronic interconnect thickness variations
US7149999B2 (en) 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
US6885955B1 (en) * 2003-03-28 2005-04-26 Cypress Semiconductor Corporation Semiconductor process yield analysis based on evaluation of parametric relationship
US7145664B2 (en) * 2003-04-18 2006-12-05 Therma-Wave, Inc. Global shape definition method for scatterometry
US7178118B2 (en) 2003-05-30 2007-02-13 Synplicity, Inc. Method and apparatus for automated circuit design
US7020859B2 (en) * 2003-06-02 2006-03-28 Lsi Logic Corporation Process skew results for integrated circuits
KR100555504B1 (en) * 2003-06-27 2006-03-03 삼성전자주식회사 Test structure for detecting a defect size in a semiconductor device and test method using the same
US20050125763A1 (en) * 2003-06-30 2005-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for the online design of a reticle field layout
US20050053849A1 (en) * 2003-07-03 2005-03-10 Microfabrica Inc. Electrochemical fabrication method for producing compliant beam-like structures
US7135344B2 (en) * 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
JP2005051210A (en) * 2003-07-15 2005-02-24 Matsushita Electric Ind Co Ltd In-plane distribution data compression method, in-plane distribution measurement method, in-plane distribution optimization method, process apparatus control method, and process control method
WO2005024519A1 (en) * 2003-09-02 2005-03-17 Fujitsu Limited Optical proximity effect correction processing method allowing for dummy pattern
US7879538B2 (en) * 2003-09-24 2011-02-01 Cadence Design Systems, Inc. Frequency division multiplexing (FDM) lithography
US6815237B1 (en) * 2003-09-29 2004-11-09 Rockwell Scientific Licensing, Llc Testing apparatus and method for determining an etch bias associated with a semiconductor-processing step
US7174528B1 (en) 2003-10-10 2007-02-06 Transmeta Corporation Method and apparatus for optimizing body bias connections in CMOS circuits using a deep n-well grid structure
US7360191B2 (en) * 2003-11-06 2008-04-15 Clear Shape Technologies, Inc. Delta information design closure integrated circuit fabrication
US7304323B2 (en) * 2003-12-11 2007-12-04 Nanya Technology Corporation Test mask structure
US7653523B2 (en) * 2003-12-15 2010-01-26 Lsi Corporation Method for calculating high-resolution wafer parameter profiles
US7646906B2 (en) 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
US7645673B1 (en) * 2004-02-03 2010-01-12 Michael Pelham Method for generating a deep N-well pattern for an integrated circuit design
US20050177356A1 (en) * 2004-02-10 2005-08-11 Matsushita Electric Industrial Co., Ltd. Circuit simulation method and circuit simulation apparatus
US9188974B1 (en) * 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
US7523429B2 (en) * 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
US20050188336A1 (en) * 2004-02-25 2005-08-25 Mortensen Michael P. System and method for waiving a verification check
FR2866963A1 (en) * 2004-02-27 2005-09-02 Bull Sa Dummy surface inserting method for e.g. very large scale integrated circuit, involves processing circuit design by insertion of surface patterns based on insertion hierarchy estimating design hierarchy by processing blocks and units
US7543256B1 (en) * 2004-03-01 2009-06-02 Advanced Micro Devices, Inc. System and method for designing an integrated circuit device
US7794897B2 (en) * 2004-03-02 2010-09-14 Kabushiki Kaisha Toshiba Mask pattern correcting method, mask pattern inspecting method, photo mask manufacturing method, and semiconductor device manufacturing method
US7260442B2 (en) * 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
JP2005258080A (en) * 2004-03-11 2005-09-22 Matsushita Electric Ind Co Ltd Layout data verification method, mask pattern verification method and circuit operation verification method
DE102004016223A1 (en) * 2004-03-26 2005-10-13 Infineon Technologies Ag Method of layout of dynamic RAM (DRAM) memory chips etc., with generating automatically transfer data train with information about set-up and/or functions of data lines or current paths by place and route system
US7093212B2 (en) * 2004-03-26 2006-08-15 International Business Machines Corporation IC design density checking method, system and program product
JP4512395B2 (en) * 2004-03-30 2010-07-28 株式会社日立ハイテクノロジーズ Exposure process monitoring method and apparatus
US7388260B1 (en) 2004-03-31 2008-06-17 Transmeta Corporation Structure for spanning gap in body-bias voltage routing structure
US7269804B2 (en) * 2004-04-02 2007-09-11 Advanced Micro Devices, Inc. System and method for integrated circuit device design and manufacture using optical rule checking to screen resolution enhancement techniques
US7653890B2 (en) * 2004-04-02 2010-01-26 Cadence Design Systems, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
US7194725B1 (en) * 2004-04-02 2007-03-20 Advanced Micro Devices, Inc. System and method for design rule creation and selection
US7222326B2 (en) * 2004-04-15 2007-05-22 International Business Machines Corporation Automatic process and design method, system and program product
US7448012B1 (en) * 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
JPWO2005106932A1 (en) * 2004-04-28 2008-03-21 株式会社ニコン Analysis method, exposure apparatus and exposure apparatus system
US7254798B2 (en) * 2004-05-01 2007-08-07 Cadence Design Systems, Inc Method and apparatus for designing integrated circuit layouts
EP1759321A4 (en) * 2004-05-01 2009-10-28 Cadence Design Systems Inc Method and apparatus for designing integrated circuit layouts
US7207017B1 (en) * 2004-06-10 2007-04-17 Advanced Micro Devices, Inc. Method and system for metrology recipe generation and review and analysis of design, simulation and metrology results
US7339272B2 (en) * 2004-06-14 2008-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with scattering bars adjacent conductive lines
US7039556B2 (en) * 2004-06-14 2006-05-02 Lsi Logic Corporation Substrate profile analysis
US7013192B2 (en) * 2004-06-14 2006-03-14 Lsi Logic Corporation Substrate contact analysis
WO2006014850A2 (en) * 2004-07-27 2006-02-09 Toppan Photomasks, Inc. Systems and methods for forming integrated circuit components having precise characteristics
US7418693B1 (en) * 2004-08-18 2008-08-26 Cadence Design Systems, Inc. System and method for analysis and transformation of layouts using situations
US7137098B2 (en) * 2004-08-27 2006-11-14 Lsi Logic Corporation Pattern component analysis and manipulation
US7212878B2 (en) * 2004-08-27 2007-05-01 Tokyo Electron Limited Wafer-to-wafer control using virtual modules
JP4904034B2 (en) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション Method, system and carrier medium for evaluating reticle layout data
KR100639676B1 (en) * 2004-09-21 2006-10-30 삼성전자주식회사 Control system photolithography for manufacturing semiconductor and control method thereof
US7381950B2 (en) * 2004-09-29 2008-06-03 Texas Instruments Incorporated Characterizing dimensions of structures via scanning probe microscopy
US7350183B2 (en) * 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
US7379924B1 (en) * 2004-12-01 2008-05-27 Advanced Micro Devices, Inc. Quantifying and predicting the impact of line edge roughness on device reliability and performance
US20060123381A1 (en) * 2004-12-07 2006-06-08 Dainippon Screen Mfg. Co., Ltd. Data generating system, patterning data generating apparatus, method of generating patterning data and storage medium carrying patterning data
US7729529B2 (en) * 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
US7334206B2 (en) * 2004-12-13 2008-02-19 Lsi Logic Corporation Cell builder for different layer stacks
US7743349B2 (en) * 2004-12-31 2010-06-22 Tela Innovations, Inc. Method and system for finding an equivalent circuit representation for one or more elements in an integrated circuit
US20060147812A1 (en) * 2005-01-04 2006-07-06 Ming-Feng Ho Method and system for measuring critical dimensions of a mask design pattern
US7211450B2 (en) * 2005-01-14 2007-05-01 Systems On Silicon Manufacturing Co., Pte. Ltd. System and method for detection of spatial signature yield loss
DE102005003001B4 (en) * 2005-01-21 2009-10-08 Qimonda Ag Method for correcting the optical proximity effect
US20060242618A1 (en) * 2005-02-14 2006-10-26 Yao-Ting Wang Lithographic simulations using graphical processing units
US7914949B2 (en) * 2005-02-24 2011-03-29 International Business Machines Corporation Method for testing a photomask
US7315999B2 (en) * 2005-03-17 2008-01-01 Synopsys, Inc. Method and apparatus for identifying assist feature placement problems
US7730432B1 (en) 2005-03-30 2010-06-01 Tela Innovations, Inc. Method and system for reshaping a transistor gate in an integrated circuit to achieve a target objective
US7539554B2 (en) * 2005-04-04 2009-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Tape out template system and method
US7305642B2 (en) * 2005-04-05 2007-12-04 Freescale Semiconductor, Inc. Method of tiling analog circuits
US7882456B2 (en) * 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process
CN104090466B (en) 2005-04-26 2019-06-14 瑞萨电子株式会社 Semiconductor device and its manufacturing method, optical proximity processing method
US7368302B2 (en) * 2005-04-28 2008-05-06 International Business Machines Corporation Dynamic metal fill for correcting non-planar region
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US8490043B2 (en) 2005-05-06 2013-07-16 Tela Innovations, Inc. Standard cells having transistors annotated for gate-length biasing
US7712064B2 (en) * 2005-05-20 2010-05-04 Cadence Design Systems, Inc. Manufacturing aware design of integrated circuit layouts
US8103982B2 (en) * 2005-05-20 2012-01-24 Cadence Design Systems, Inc. System and method for statistical design rule checking
US8136056B2 (en) * 2005-05-20 2012-03-13 Cadence Design Systems, Inc. Method and system for incorporation of patterns and design rule checking
US20060265677A1 (en) * 2005-05-20 2006-11-23 Cadence Design Systems, Inc. Method and system for increased accuracy for extraction of electrical parameters
US7395516B2 (en) * 2005-05-20 2008-07-01 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
US7269808B2 (en) * 2005-05-26 2007-09-11 International Business Machines Corporation Design verification
US7458058B2 (en) * 2005-06-10 2008-11-25 Texas Instruments Incorporated Verifying a process margin of a mask pattern using intermediate stage models
JP4769025B2 (en) * 2005-06-15 2011-09-07 株式会社日立ハイテクノロジーズ Imaging recipe creation apparatus and method for scanning electron microscope, and semiconductor pattern shape evaluation apparatus
US7305651B2 (en) * 2005-06-17 2007-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mask CD correction based on global pattern density
US7629259B2 (en) * 2005-06-21 2009-12-08 Lam Research Corporation Method of aligning a reticle for formation of semiconductor devices
US7784015B2 (en) * 2005-07-05 2010-08-24 Texas Instruments Incorporated Method for generating a mask layout and constructing an integrated circuit
US8219940B2 (en) * 2005-07-06 2012-07-10 Semiconductor Insights Inc. Method and apparatus for removing dummy features from a data structure
US7778805B2 (en) * 2005-07-28 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Regression system and methods for optical proximity correction modeling
US7305647B1 (en) 2005-07-28 2007-12-04 Transmeta Corporation Using standard pattern tiles and custom pattern tiles to generate a semiconductor design layout having a deep well structure for routing body-bias voltage
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
JP4806020B2 (en) * 2005-08-08 2011-11-02 エーエスエムエル ネザーランズ ビー.ブイ. Method for creating a focus exposure model of a lithographic process, method for creating a single model of a lithographic process for use at nominal conditions, and a computer readable medium
JP4343892B2 (en) * 2005-11-08 2009-10-14 富士通マイクロエレクトロニクス株式会社 Layout analysis method and layout analysis apparatus for semiconductor integrated circuit
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US20070124148A1 (en) * 2005-11-28 2007-05-31 Canon Kabushiki Kaisha Speech processing apparatus and speech processing method
US7627847B1 (en) * 2005-12-01 2009-12-01 Cadence Design Systems, Inc. Method and system for representing manufacturing and lithography information for IC routing
US20070130094A1 (en) * 2005-12-07 2007-06-07 Lizotech, Inc. Apparatus for rapid model calculation for pattern-dependent variations in a routing system
US20070143234A1 (en) * 2005-12-16 2007-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for intelligent model-based optical proximity correction (OPC)
KR100741915B1 (en) * 2005-12-28 2007-07-24 동부일렉트로닉스 주식회사 Method for Layout Design Reflecting Time Delay Effect for Dummy Metal Fill in Semiconductor Device
US7930058B2 (en) * 2006-01-30 2011-04-19 Memc Electronic Materials, Inc. Nanotopography control and optimization using feedback from warp data
US7662023B2 (en) * 2006-01-30 2010-02-16 Memc Electronic Materials, Inc. Double side wafer grinder and methods for assessing workpiece nanotopology
US7694267B1 (en) * 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US9557723B2 (en) 2006-07-19 2017-01-31 Power Analytics Corporation Real-time predictive systems for intelligent energy monitoring and management of electrical power networks
US20160246905A1 (en) 2006-02-14 2016-08-25 Power Analytics Corporation Method For Predicting Arc Flash Energy And PPE Category Within A Real-Time Monitoring System
US9092593B2 (en) * 2007-09-25 2015-07-28 Power Analytics Corporation Systems and methods for intuitive modeling of complex networks in a digital environment
US20170046458A1 (en) 2006-02-14 2017-02-16 Power Analytics Corporation Systems and methods for real-time dc microgrid power analytics for mission-critical power systems
US7506285B2 (en) * 2006-02-17 2009-03-17 Mohamed Al-Imam Multi-dimensional analysis for predicting RET model accuracy
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8245180B2 (en) * 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US7943967B2 (en) * 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8448102B2 (en) * 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8247846B2 (en) * 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7653519B1 (en) 2006-04-13 2010-01-26 Cadence Design Systems, Inc. Method and mechanism for modeling interconnect structures for integrated circuits
US7407890B2 (en) * 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US20080034332A1 (en) * 2006-05-01 2008-02-07 Mentor Graphics Corporation Optimization Of Geometry Pattern Density
US7954072B2 (en) * 2006-05-15 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Model import for electronic design automation
US7313450B1 (en) * 2006-06-14 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Automatic methods and systems for manufacturing recipe feedback control
US20080022254A1 (en) * 2006-07-20 2008-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for improving mask tape-out process
KR100831271B1 (en) * 2006-08-16 2008-05-22 동부일렉트로닉스 주식회사 Method for Changing Physical Layout Data by Using Physical Layer Which is Created by Program
US7594207B2 (en) * 2006-09-13 2009-09-22 Cadence Design Systems, Inc. Computationally efficient design rule checking for circuit interconnect routing design
US7685266B2 (en) * 2006-09-28 2010-03-23 Intel Corporation Management of tools that process data to describe a physical layout of a circuit
US7644388B1 (en) * 2006-09-29 2010-01-05 Pdf Solutions, Inc. Method for reducing layout printability effects on semiconductor device performance
KR100817064B1 (en) * 2006-10-02 2008-03-27 삼성전자주식회사 Mask for forming fine pattern and method of forming the same
JP2008098588A (en) * 2006-10-16 2008-04-24 Elpida Memory Inc Method of extracting hot spot in layout designing/verification of semiconductor device
US8230379B2 (en) * 2006-10-20 2012-07-24 Kabushiki Kaisha Toshiba Layout generating method for semiconductor integrated circuits
JP2008122929A (en) * 2006-10-20 2008-05-29 Toshiba Corp Method for creating simulation model
US7624369B2 (en) * 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US7419609B2 (en) * 2006-11-13 2008-09-02 Texas Instruments Incorporated Method for quantifying over-etch of a conductive feature
US7594210B2 (en) * 2006-11-16 2009-09-22 Clk Design Automation, Inc. Timing variation characterization
US7793243B1 (en) 2006-12-04 2010-09-07 Clk Design Automation, Inc. Multi-engine static analysis
US7962886B1 (en) 2006-12-08 2011-06-14 Cadence Design Systems, Inc. Method and system for generating design constraints
US7665048B2 (en) * 2006-12-18 2010-02-16 Cadence Design Systems, Inc. Method and system for inspection optimization in design and production of integrated circuits
US8146024B2 (en) * 2006-12-18 2012-03-27 Cadence Design Systems, Inc. Method and system for process optimization
US7694244B2 (en) * 2006-12-18 2010-04-06 Cadence Design Systems, Inc. Modeling and cross correlation of design predicted criticalities for optimization of semiconductor manufacturing
US8156450B2 (en) * 2006-12-18 2012-04-10 Cadence Design Systems, Inc. Method and system for mask optimization
US7877722B2 (en) 2006-12-19 2011-01-25 Kla-Tencor Corp. Systems and methods for creating inspection recipes
US7937674B2 (en) * 2006-12-29 2011-05-03 Cadence Design Systems, Inc. Method, system, and computer program product for predicting thin film integrity, manufacturability, reliability, and performance in electronic designs
US7861203B2 (en) * 2006-12-29 2010-12-28 Cadence Design Systems, Inc. Method and system for model-based routing of an integrated circuit
US7827519B2 (en) 2006-12-29 2010-11-02 Cadence Design Systems, Inc. Method, system, and computer program product for preparing multiple layers of semiconductor substrates for electronic designs
US7698666B2 (en) * 2006-12-29 2010-04-13 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
US7721237B2 (en) * 2006-12-29 2010-05-18 Cadence Design Systems, Inc. Method, system, and computer program product for timing closure in electronic designs
US7814447B2 (en) * 2006-12-29 2010-10-12 Cadence Design Systems, Inc. Supplant design rules in electronic designs
US20080162103A1 (en) * 2006-12-29 2008-07-03 Cadence Design Systems, Inc. Method, system, and computer program product for concurrent model aided electronic design automation
US7962866B2 (en) 2006-12-29 2011-06-14 Cadence Design Systems, Inc. Method, system, and computer program product for determining three-dimensional feature characteristics in electronic designs
WO2008086282A2 (en) 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
KR101769258B1 (en) * 2007-01-18 2017-08-17 가부시키가이샤 니콘 Scanner based optical proximity correction system and method of use
US7801717B2 (en) * 2007-01-22 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd Method for smart dummy insertion to reduce run time and dummy count
JP4745256B2 (en) * 2007-01-26 2011-08-10 株式会社東芝 Pattern creation method, pattern creation / verification program, and semiconductor device manufacturing method
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US7725845B1 (en) 2007-02-24 2010-05-25 Cadence Design Systems, Inc. System and method for layout optimization using model-based verification
US7689948B1 (en) 2007-02-24 2010-03-30 Cadence Design Systems, Inc. System and method for model-based scoring and yield prediction
US7707528B1 (en) 2007-02-24 2010-04-27 Cadence Design Systems, Inc. System and method for performing verification based upon both rules and models
US7676769B2 (en) * 2007-02-26 2010-03-09 Freescale Semiconductor, Inc. Adaptive threshold wafer testing device and method thereof
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7835015B1 (en) * 2007-03-05 2010-11-16 Kla-Tencor Corporation Auto focus system for reticle inspection
US7373216B1 (en) * 2007-03-30 2008-05-13 Tokyo Electron Limited Method and apparatus for verifying a site-dependent wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
JP4380729B2 (en) 2007-05-24 2009-12-09 ソニー株式会社 PATTERN DESIGN METHOD, PATTERN DESIGN PROGRAM, AND PATTERN DESIGN DEVICE
US9151712B1 (en) * 2007-05-30 2015-10-06 Kla-Tencor Corporation Rule checking for metrology and inspection
TW200849436A (en) * 2007-06-01 2008-12-16 King Yuan Electronics Co Ltd Method for wafer analysis with artificial neural network and system thereof
US7539585B2 (en) * 2007-06-14 2009-05-26 International Business Machines Corporation System and method for rule-based data mining and problem detection for semiconductor fabrication
US7669161B2 (en) * 2007-06-22 2010-02-23 Synopsys, Inc. Minimizing effects of interconnect variations in integrated circuit designs
US8122428B2 (en) * 2007-06-26 2012-02-21 Analog Devices, Inc. Methods and apparatus for automation and facilitating design of register maps
US8001512B1 (en) 2007-06-26 2011-08-16 Cadence Design Systems, Inc. Method and system for implementing context simulation
US8122392B2 (en) * 2007-06-27 2012-02-21 Cadence Design Systems, Inc. Robust design using manufacturability models
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
WO2009026358A1 (en) 2007-08-20 2009-02-26 Kla-Tencor Corporation Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects
US20090082897A1 (en) * 2007-09-21 2009-03-26 Cain Jason P Method and apparatus for generating metrology tags to allow automatic metrology recipe generation
US20090144692A1 (en) * 2007-11-30 2009-06-04 Cain Jason P Method and apparatus for monitoring optical proximity correction performance
US20090144686A1 (en) * 2007-11-30 2009-06-04 Lensing Kevin R Method and apparatus for monitoring marginal layout design rules
US8612199B2 (en) * 2007-12-20 2013-12-17 Cadence Design Systems, Inc. Netlist partitioning for characterizing effect of within-die variations
JP5134944B2 (en) * 2007-12-27 2013-01-30 株式会社ニューフレアテクノロジー Drawing apparatus and drawing method
JP4530049B2 (en) * 2008-01-10 2010-08-25 ソニー株式会社 Semiconductor device design program and semiconductor device design system
US7856613B1 (en) * 2008-01-30 2010-12-21 Cadence Design Systems, Inc. Method for self-aligned doubled patterning lithography
US20090327989A1 (en) * 2008-01-31 2009-12-31 Ren Zhuoxiang Statistical Interconnect Corner Extraction
US7861196B2 (en) * 2008-01-31 2010-12-28 Cadence Design Systems, Inc. System and method for multi-exposure pattern decomposition
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7865864B2 (en) * 2008-02-01 2011-01-04 International Business Machines Corporation Electrically driven optical proximity correction
JP2009217366A (en) * 2008-03-07 2009-09-24 Nec Electronics Corp Wiring model library construction device and construction method, layout parameter extraction device and extraction method
KR100955171B1 (en) * 2008-03-13 2010-04-29 주식회사 하이닉스반도체 Method for processing optical proximity correction
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US8001495B2 (en) 2008-04-17 2011-08-16 International Business Machines Corporation System and method of predicting problematic areas for lithography in a circuit design
US8381152B2 (en) 2008-06-05 2013-02-19 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
US7971158B2 (en) * 2008-06-23 2011-06-28 International Business Machines Corporation Spacer fill structure, method and design structure for reducing device variation
US8806396B2 (en) * 2008-06-24 2014-08-12 Cadence Design Systems, Inc. Method and system performing circuit design predictions
EP2321748B1 (en) 2008-07-16 2017-10-04 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
JP5380933B2 (en) * 2008-07-17 2014-01-08 富士通株式会社 MONITOR POSITION DETERMINING DEVICE AND MONITOR POSITION DETERMINING METHOD
KR101623747B1 (en) 2008-07-28 2016-05-26 케이엘에이-텐코어 코오포레이션 Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US7783938B1 (en) * 2008-07-31 2010-08-24 Keithly Instruments, Inc. Result directed diagnostic method and system
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8069423B2 (en) * 2008-08-11 2011-11-29 Cadence Design Systems, Inc. System and method for model based multi-patterning optimization
US8117568B2 (en) * 2008-09-25 2012-02-14 International Business Machines Corporation Apparatus, method and computer program product for fast simulation of manufacturing effects during integrated circuit design
US8209656B1 (en) 2008-10-14 2012-06-26 Cadence Design Systems, Inc. Pattern decomposition method
JP2010113586A (en) * 2008-11-07 2010-05-20 Nec Electronics Corp Layout density verification system, layout density verification method and layout density-verifying program
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US8225256B2 (en) * 2009-03-13 2012-07-17 Synopsys, Inc. Method and apparatus for accelerating project start and tape-out
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US20100235803A1 (en) * 2009-03-16 2010-09-16 Lara Gramark Method and Apparatus for Automatically Connecting Component Interfaces in a Model Description
JP5066122B2 (en) * 2009-03-23 2012-11-07 株式会社東芝 Pattern formation method
US8129095B2 (en) * 2009-04-08 2012-03-06 International Business Machines Corporation Methods, photomasks and methods of fabricating photomasks for improving damascene wire uniformity without reducing performance
US20110145772A1 (en) * 2009-05-14 2011-06-16 Pikus Fedor G Modular Platform For Integrated Circuit Design Analysis And Verification
JP5504693B2 (en) * 2009-05-20 2014-05-28 ソニー株式会社 Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, semiconductor device manufacturing program, mask data generation program
US20100318310A1 (en) * 2009-06-15 2010-12-16 Infineon Technologies Ag Off-Chip Supply Of Calibration Data
US8312406B2 (en) * 2009-06-22 2012-11-13 Cadence Design Systems, Inc. Method and system performing RC extraction
US8572525B2 (en) * 2009-08-21 2013-10-29 Mentor Graphics Corporation Partition response surface modeling
US8495725B2 (en) * 2009-08-28 2013-07-23 Great Wall Systems Methods, systems, and computer readable media for adaptive packet filtering
US20110082597A1 (en) 2009-10-01 2011-04-07 Edsa Micro Corporation Microgrid model based automated real time simulation for market based electric power system optimization
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8806386B2 (en) * 2009-11-25 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Customized patterning modulation and optimization
US9507250B2 (en) * 2009-12-17 2016-11-29 International Business Machines Corporation Optical proximity correction for improved electrical characteristics
US8418116B2 (en) * 2010-01-29 2013-04-09 Synopsys, Inc. Zone-based optimization framework for performing timing and design rule optimization
JP5578708B2 (en) * 2010-04-19 2014-08-27 Hoya株式会社 Reproduction photomask substrate production method for FPD production, reproduction photomask blank production method, reproduction photomask production method with pellicle, and pattern transfer method
US8347255B2 (en) * 2010-05-18 2013-01-01 International Business Machines Corporation Equation based retargeting of design layouts
US20110289472A1 (en) * 2010-05-19 2011-11-24 International Business Machines Corporation Layout quality evaluation
US8956903B2 (en) 2010-06-25 2015-02-17 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US8434038B2 (en) * 2010-07-02 2013-04-30 Globalfoundries Singapore Pte. Ltd. Consistency check in device design and manufacturing
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
TWI427722B (en) * 2010-08-02 2014-02-21 Univ Nat Cheng Kung Advanced process control system and method utilizing virtual metrology with reliance index and computer program product thereof
US9471891B2 (en) * 2010-09-24 2016-10-18 Nulogy Corporation Method, system and apparatus for automatic quality control using a plurality of computers
US8640076B2 (en) * 2010-10-18 2014-01-28 International Business Machines Corporation Methodology on developing metal fill as library device and design structure
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US8533638B2 (en) * 2011-04-05 2013-09-10 Nanya Technology Corporation Post-optical proximity correction photoresist pattern collapse rule
JP2013004672A (en) * 2011-06-15 2013-01-07 Toshiba Corp Method of creating simulation model
US8661305B2 (en) * 2011-07-10 2014-02-25 Ravishankar Rajarao Method and system for test vector generation
US8473874B1 (en) 2011-08-22 2013-06-25 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US8516402B1 (en) 2011-08-22 2013-08-20 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US9031684B2 (en) * 2011-11-01 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-factor advanced process control method and system for integrated circuit fabrication
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
US10047439B2 (en) * 2011-12-08 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tool condition monitoring based on a simulated inline measurement
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
CN104137223A (en) * 2012-01-13 2014-11-05 科磊股份有限公司 Method and appparatus for database-assisted requalification reticle inspection
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8759163B2 (en) * 2012-04-30 2014-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Layout of a MOS array edge with density gradient smoothing
US8856705B2 (en) 2012-05-08 2014-10-07 Freescale Semiconductor, Inc. Mismatch verification device and methods thereof
US9588441B2 (en) * 2012-05-18 2017-03-07 Kla-Tencor Corporation Method and device for using substrate geometry to determine optimum substrate analysis sampling
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
CN103454570B (en) * 2012-05-29 2016-05-18 纬创资通股份有限公司 The method for detecting short circuit of circuit layout and the short-circuit detecting device of circuit layout
US8849440B2 (en) * 2012-05-31 2014-09-30 International Business Machines Corporation Manufacturing control based on a final design structure incorporating both layout and client-specific manufacturing information
US8726221B2 (en) * 2012-06-19 2014-05-13 Globalfoundries Singapore Pte. Ltd. Topology density aware flow (TDAF)
US8601409B1 (en) 2012-07-12 2013-12-03 Taiwan Semiconductor Manufacturing Co, Ltd. Compression method and system for use with multi-patterning
US8745553B2 (en) * 2012-08-23 2014-06-03 Globalfoundries Inc. Method and apparatus for applying post graphic data system stream enhancements
US8601430B1 (en) 2012-08-28 2013-12-03 Freescale Semiconductor, Inc. Device matching tool and methods thereof
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US8916955B2 (en) 2012-10-17 2014-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Nearly buffer zone free layout methodology
US9003337B2 (en) * 2012-11-08 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods of local focus error compensation for semiconductor processes
US8978000B2 (en) 2012-12-27 2015-03-10 Taiwan Semiconductor Manufacturing Co. Ltd. Performance-driven and gradient-aware dummy insertion for gradient-sensitive array
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US9092846B2 (en) 2013-02-01 2015-07-28 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific and multi-channel information
TWI505116B (en) * 2013-02-04 2015-10-21 Himax Tech Ltd Manufacture system and manufacture method for patterned conductive material
US9910953B2 (en) 2013-03-04 2018-03-06 Kla-Tencor Corporation Metrology target identification, design and verification
US8826201B1 (en) 2013-03-14 2014-09-02 Jasper Design Automation, Inc. Formal verification coverage metrics for circuit design properties
US9081919B2 (en) * 2013-03-15 2015-07-14 Globalfoundries Singapore Pte. Ltd. Design-for-manufacturing—design-enabled-manufacturing (DFM-DEM) proactive integrated manufacturing flow
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US9501600B2 (en) * 2013-05-02 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cells for predetermined function having different types of layout
JP6115366B2 (en) * 2013-07-12 2017-04-19 富士通株式会社 Prediction device, prediction program, and prediction method
TWI643082B (en) * 2013-09-03 2018-12-01 美商新思科技股份有限公司 A method and system for routing a solution path
US9043743B2 (en) * 2013-10-22 2015-05-26 International Business Machines Corporation Automated residual material detection
US9158874B1 (en) 2013-11-06 2015-10-13 Cadence Design Systems, Inc. Formal verification coverage metrics of covered events for circuit design properties
JP6173889B2 (en) * 2013-11-28 2017-08-02 ソニーセミコンダクタソリューションズ株式会社 Simulation method, simulation program, machining control system, simulator, process design method and mask design method
US9748088B2 (en) * 2013-12-09 2017-08-29 Globalfoundries Inc. Method, storage medium and system for controlling the processing of lots of workpieces
JP6250406B2 (en) * 2014-01-15 2017-12-20 株式会社荏原製作所 Abnormality detection apparatus for substrate processing apparatus and substrate processing apparatus
US9659126B2 (en) 2014-01-26 2017-05-23 Coventor, Inc. Modeling pattern dependent effects for a 3-D virtual semiconductor fabrication environment
CN109283800B (en) * 2014-02-12 2021-01-01 Asml荷兰有限公司 Optimization method of process window
US9710588B2 (en) 2014-08-05 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating modified layout for RC extraction
US10138550B2 (en) * 2014-09-10 2018-11-27 Toshiba Memory Corporation Film deposition method and an apparatus
US10339259B2 (en) * 2014-09-26 2019-07-02 Synopsys, Inc. Method for organizing, controlling, and reporting on design mismatch information in IC physical design data
US10281507B2 (en) 2014-11-21 2019-05-07 Kohler Co. Generator sizing
US10430719B2 (en) 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
WO2016128190A1 (en) * 2015-02-12 2016-08-18 Asml Netherlands B.V. Method and apparatus for reticle optimization
US9633150B2 (en) * 2015-04-08 2017-04-25 Oracle International Corporation Experiments approach to design variation mitigation and optimization
US20160365253A1 (en) * 2015-06-09 2016-12-15 Macronix International Co., Ltd. System and method for chemical mechanical planarization process prediction and optimization
US11569138B2 (en) 2015-06-16 2023-01-31 Kla Corporation System and method for monitoring parameters of a semiconductor factory automation system
US10488184B2 (en) * 2015-07-13 2019-11-26 Nanometrics Incorporated Interferometric characterization of surface topography
USD811423S1 (en) 2015-11-16 2018-02-27 Kohler, Co. Display screen with graphical user interface
USD810104S1 (en) 2015-11-16 2018-02-13 Kohler, Co. Display screen with graphical user interface
US10978438B1 (en) * 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US10593604B1 (en) * 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
WO2017114662A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Selection of measurement locations for patterning processes
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10192018B1 (en) * 2016-03-31 2019-01-29 Cadence Design Systems, Inc. Method and system for implementing efficient trim data representation for an electronic design
KR20170133750A (en) * 2016-05-26 2017-12-06 삼성전자주식회사 Computer-implemented method for designing integrated circuit
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
FR3053156B1 (en) 2016-06-28 2018-11-16 Stmicroelectronics (Rousset) Sas LOW DISPERSION COMPONENT IN AN ELECTRONIC CHIP
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US10157840B2 (en) 2016-12-02 2018-12-18 Taiwan Semiconductor Manufacturing Company Limited Integrated circuit having a high cell density
US10496781B2 (en) * 2016-12-19 2019-12-03 Kla Tencor Corporation Metrology recipe generation using predicted metrology images
US10678973B2 (en) * 2017-03-15 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Machine-learning design enablement platform
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
TWI625640B (en) * 2017-05-18 2018-06-01 和碩聯合科技股份有限公司 Data processing method for generating a relay file for different computer-aided design softwares and related data processing system
US11029673B2 (en) 2017-06-13 2021-06-08 Pdf Solutions, Inc. Generating robust machine learning predictions for semiconductor manufacturing processes
US11022642B2 (en) 2017-08-25 2021-06-01 Pdf Solutions, Inc. Semiconductor yield prediction
US10585360B2 (en) * 2017-08-25 2020-03-10 Applied Materials, Inc. Exposure system alignment and calibration method
US10783290B2 (en) * 2017-09-28 2020-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. IC manufacturing recipe similarity evaluation methods and systems
US11187992B2 (en) * 2017-10-23 2021-11-30 Applied Materials, Inc. Predictive modeling of metrology in semiconductor processes
US10366973B2 (en) 2017-10-30 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Layout modification method for exposure manufacturing process
KR102570888B1 (en) 2017-11-23 2023-08-28 삼성전자주식회사 Method for correcting a mask layout and method of fabricating a semiconductor device using the same
US10585996B2 (en) 2018-01-12 2020-03-10 Seagate Technology Llc Die resistance-capacitance extraction and validation
US10209636B1 (en) 2018-03-07 2019-02-19 Sandisk Technologies Llc Exposure focus leveling method using region-differentiated focus scan patterns
US11775714B2 (en) 2018-03-09 2023-10-03 Pdf Solutions, Inc. Rational decision-making tool for semiconductor processes
US11029359B2 (en) 2018-03-09 2021-06-08 Pdf Solutions, Inc. Failure detection and classsification using sensor data and/or measurement data
US10777470B2 (en) 2018-03-27 2020-09-15 Pdf Solutions, Inc. Selective inclusion/exclusion of semiconductor chips in accelerated failure tests
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN111971551A (en) 2018-04-10 2020-11-20 朗姆研究公司 Optical metrology in machine learning to characterize features
CN112005347A (en) 2018-04-10 2020-11-27 朗姆研究公司 Resist and etch modeling
US12032297B2 (en) * 2018-11-16 2024-07-09 Asml Netherlands B.V. Method for monitoring lithographic apparatus
US10747655B2 (en) * 2018-11-20 2020-08-18 Express Scripts Strategic Development, Inc. Method and system for programmatically testing a user interface
JP2022514245A (en) * 2018-12-13 2022-02-10 ボード オブ リージェンツ,ザ ユニバーシティ オブ テキサス システム Systems and methods for modifying substrates
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
JP7156975B2 (en) * 2019-02-26 2022-10-19 三菱重工業株式会社 Management evaluation device, management evaluation method, and program
WO2020193095A1 (en) * 2019-03-25 2020-10-01 Asml Netherlands B.V. Method for determining pattern in a patterning process
CN109933946B (en) * 2019-03-29 2023-08-18 上海华力集成电路制造有限公司 Analysis method of semiconductor device
US20210064977A1 (en) * 2019-08-29 2021-03-04 Synopsys, Inc. Neural network based mask synthesis for integrated circuits
US11586786B2 (en) 2019-11-08 2023-02-21 Raytheon Technologies Corporation Process for gas turbine engine component design
US11017145B1 (en) * 2019-12-04 2021-05-25 Cadence Design Systems, Inc. System and method for repeating a synchronized set of layout geometries
CN110928136B (en) * 2019-12-25 2023-06-30 上海华力微电子有限公司 Method for reducing critical dimension drift
US11126159B2 (en) * 2020-01-09 2021-09-21 Siemens Industry Software Inc. Multi-objective calibrations of lithography models
US11302545B2 (en) * 2020-03-20 2022-04-12 Nanya Technology Corporation System and method for controlling semiconductor manufacturing equipment
US11455436B2 (en) * 2020-03-25 2022-09-27 Tokyo Electron Limited Predicting across wafer spin-on planarization over a patterned topography
US11562137B2 (en) 2020-04-14 2023-01-24 Bank Of America Corporation System to correct model drift for natural language understanding
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US12073152B2 (en) 2020-07-20 2024-08-27 International Business Machines Corporation Vehicle asset modeling using language processing methods
US11599690B2 (en) * 2020-07-20 2023-03-07 International Business Machines Corporation Wafer asset modeling using language processing methods
US11853042B2 (en) * 2021-02-17 2023-12-26 Applied Materials, Inc. Part, sensor, and metrology data integration
WO2023154395A1 (en) * 2022-02-14 2023-08-17 Worcester Polytechnic Institute Methods for verifying integrity and authenticity of a printed circuit board

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US44984A (en) * 1864-11-08 Cotton-seed planter
US162082A (en) * 1875-04-13 Improvement in mowing-machines
US157076A (en) * 1874-11-24 Improvement in lubricating-cups
US107134A (en) * 1870-09-06 Improved breast-strap protector for harness
US83401A (en) * 1868-10-27 Joint for stove-fifes
US106837A (en) * 1870-08-30 Improvement in combined plow and cultivator
US199150A (en) * 1878-01-15 Improvement in table-leaf supports
US5124197A (en) * 1989-07-28 1992-06-23 Kimberly-Clark Corporation Inflated cellulose fiber web possessing improved vertical wicking properties
US5049789A (en) * 1990-01-12 1991-09-17 Council Of Scientific & Industrial Research Electronic capacitive ballast for fluorescent and other discharge lamps
US5124927A (en) 1990-03-02 1992-06-23 International Business Machines Corp. Latent-image control of lithography tools
CA2037705A1 (en) 1990-04-18 1991-10-19 Mark C. Hakey Method and apparatus for enhancing the depth of focus in projection lithography
US6109775A (en) 1991-07-19 2000-08-29 Lsi Logic Corporation Method for adjusting the density of lines and contact openings across a substrate region for improving the chemical-mechanical polishing of a thin-film later disposed thereon
US5581475A (en) 1993-08-13 1996-12-03 Harris Corporation Method for interactively tailoring topography of integrated circuit layout in accordance with electromigration model-based minimum width metal and contact/via rules
US5433651A (en) 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
US5903469A (en) 1994-11-08 1999-05-11 Synopsys, Inc. Method of extracting layout parasitics for nets of an integrated circuit using a connectivity-based approach
US5835225A (en) 1994-11-30 1998-11-10 Micron Technology, Inc. Surface properties detection by reflectance metrology
US5646870A (en) * 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
JP3432639B2 (en) 1995-06-23 2003-08-04 三菱電機株式会社 How to create a mask pattern
US5597668A (en) 1995-07-19 1997-01-28 Vlsi Technology, Inc. Patterned filled photo mask generation for integrated circuit manufacturing
US5663076A (en) 1995-08-08 1997-09-02 Lsi Logic Corporation Automating photolithography in the fabrication of integrated circuits
US5821621A (en) 1995-10-12 1998-10-13 Texas Instruments Incorporated Low capacitance interconnect structure for integrated circuits
US5861342A (en) 1995-12-26 1999-01-19 Vlsi Technology, Inc. Optimized structures for dummy fill mask design
US5798298A (en) 1996-02-09 1998-08-25 United Microelectronics Corporation Method of automatically generating dummy metals for multilevel interconnection
US5972541A (en) 1996-02-27 1999-10-26 Lsi Logic Corporation Reticle and method of design to correct pattern for depth of focus problems
US5705301A (en) 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US5763955A (en) 1996-07-01 1998-06-09 Vlsi Technology, Inc. Patterned filled layers for integrated circuit manufacturing
US5923563A (en) 1996-12-20 1999-07-13 International Business Machines Corporation Variable density fill shape generation
JP3743120B2 (en) 1997-02-21 2006-02-08 ソニー株式会社 Mask pattern design method for exposure mask and method for manufacturing semiconductor integrated circuit
US5854125A (en) 1997-02-24 1998-12-29 Vlsi Technology, Inc. Dummy fill patterns to improve interconnect planarity
US5920487A (en) 1997-03-03 1999-07-06 Motorola Inc. Two dimensional lithographic proximity correction using DRC shape functions
US5838448A (en) 1997-03-11 1998-11-17 Nikon Corporation CMP variable angle in situ sensor
US5923947A (en) 1997-05-06 1999-07-13 Vlsi Technology, Inc. Method for achieving low capacitance diffusion pattern filling
US6118137A (en) 1997-09-08 2000-09-12 Advanced Micro Devices, Inc. Test structure responsive to electrical signals for determining lithographic misalignment of conductors relative to vias
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6081272A (en) 1997-09-30 2000-06-27 Intel Corporation Merging dummy structure representations for improved distribution of artifacts in a semiconductor layer
US6309956B1 (en) 1997-09-30 2001-10-30 Intel Corporation Fabricating low K dielectric interconnect systems by using dummy structures to enhance process
JP4076644B2 (en) 1997-12-05 2008-04-16 株式会社ルネサステクノロジ Pattern distortion detection apparatus and detection method
US6081658A (en) 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
US6093631A (en) 1998-01-15 2000-07-25 International Business Machines Corporation Dummy patterns for aluminum chemical polishing (CMP)
JPH11224284A (en) 1998-02-09 1999-08-17 Fujitsu Ltd Distribution system and device for semiconductor design resources and medium for storing software for distribution device
US6230299B1 (en) 1998-03-31 2001-05-08 Mentor Graphics Corporation Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design
US6327555B1 (en) 1998-04-28 2001-12-04 Sony Corporation Semiconductor simulation method
US6049789A (en) 1998-06-24 2000-04-11 Mentor Graphics Corporation Software pay per use licensing system
US6263476B1 (en) * 1998-10-09 2001-07-17 Agilent Technologies Method and apparatus for selecting targeted components in limited access test
DE59905956D1 (en) 1998-10-23 2003-07-17 Eupec Gmbh & Co Kg METHOD FOR PRODUCING A PERFORMANCE SEMICONDUCTOR WITH A STOP ZONE
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6262435B1 (en) 1998-12-01 2001-07-17 Marina V. Plat Etch bias distribution across semiconductor wafer
US6328872B1 (en) 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
US6259115B1 (en) 1999-03-04 2001-07-10 Advanced Micro Devices, Inc. Dummy patterning for semiconductor manufacturing processes
US6691297B1 (en) 1999-03-04 2004-02-10 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US6550041B1 (en) 1999-03-22 2003-04-15 Hewlett-Packard Development Company, L.P. Method and apparatus for evaluating the design quality of network nodes
US6255125B1 (en) 1999-03-26 2001-07-03 Advanced Micro Devices, Inc. Method and apparatus for compensating for critical dimension variations in the production of a semiconductor wafer
US6298470B1 (en) 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US6344408B1 (en) 1999-04-22 2002-02-05 United Microelectronics Corp. Method for improving non-uniformity of chemical mechanical polishing by over coating
US6249904B1 (en) 1999-04-30 2001-06-19 Nicolas Bailey Cobb Method and apparatus for submicron IC design using edge fragment tagging to correct edge placement distortion
KR100297732B1 (en) * 1999-06-21 2001-11-01 윤종용 Method for obtaining pattern density of a predetermined material layer of semiconductor device, and method for simulation of chemical mechanical polishing using the same
US6396158B1 (en) 1999-06-29 2002-05-28 Motorola Inc. Semiconductor device and a process for designing a mask
US6124197A (en) * 1999-10-01 2000-09-26 Advanced Micro Devices, Inc. Adjusting the size of conductive lines based upon contact size
IL132639A (en) * 1999-10-28 2003-11-23 Nova Measuring Instr Ltd Optical measurements of patterned structures
JP3811649B2 (en) * 1999-11-18 2006-08-23 ピー・デイ・エフ ソリユーシヨンズ インコーポレイテツド System and method for product yield prediction using a logical characterization vehicle
US6323113B1 (en) 1999-12-10 2001-11-27 Philips Electronics North America Corporation Intelligent gate-level fill methods for reducing global pattern density effects
US6352623B1 (en) 1999-12-17 2002-03-05 Nutool, Inc. Vertically configured chamber used for multiple processes
US6436807B1 (en) 2000-01-18 2002-08-20 Agere Systems Guardian Corp. Method for making an interconnect layer and a semiconductor device including the same
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6556884B1 (en) * 2000-06-16 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for interfacing a statistical process control system with a manufacturing process control framework
US6380087B1 (en) 2000-06-19 2002-04-30 Chartered Semiconductor Manufacturing Inc. CMP process utilizing dummy plugs in damascene process
EP1322940A4 (en) 2000-07-31 2006-03-15 Asml Us Inc In-situ method and apparatus for end point detection in chemical mechanical polishing
EP1184725A1 (en) 2000-09-04 2002-03-06 Infineon Technologies SC300 GmbH & Co. KG Method for adjusting a lithographic tool
JP4043705B2 (en) 2000-09-27 2008-02-06 株式会社東芝 Semiconductor device manufacturing method, wafer processing apparatus, and wafer storage box
JP2002110801A (en) 2000-09-27 2002-04-12 Matsushita Electric Ind Co Ltd Wiring resistance compensation method
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
JP2002122977A (en) 2000-10-17 2002-04-26 Sony Corp Method for producing photomask, photomask and exposure method
US6671570B2 (en) * 2000-10-17 2003-12-30 Brooks Automation, Inc. System and method for automated monitoring and assessment of fabrication facility
IL139368A (en) 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
US6562639B1 (en) 2000-11-06 2003-05-13 Advanced Micro Devices, Inc. Utilizing electrical performance data to predict CD variations across stepper field
US6781570B1 (en) 2000-11-09 2004-08-24 Logitech Europe S.A. Wireless optical input device
US6358856B1 (en) 2000-11-21 2002-03-19 Advanced Micro Devices, Inc. Bright field image reversal for contact hole patterning
US6665856B1 (en) 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
US6486066B2 (en) 2001-02-02 2002-11-26 Matrix Semiconductor, Inc. Method of generating integrated circuit feature layout for improved chemical mechanical polishing
KR100378195B1 (en) 2001-02-21 2003-03-29 삼성전자주식회사 Generation method of data for used in mask including dummy pattern groups having density continuously adjusted in according to density of local design pattern and recording media in which the same recorded
US6651226B2 (en) 2001-03-12 2003-11-18 Agere Systems, Inc. Process control using three dimensional reconstruction metrology
US6873720B2 (en) 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
US6742165B2 (en) 2001-03-28 2004-05-25 Mips Technologies, Inc. System, method and computer program product for web-based integrated circuit design
JP3479052B2 (en) 2001-04-23 2003-12-15 沖電気工業株式会社 Semiconductor device dummy placement determination method
US6611045B2 (en) 2001-06-04 2003-08-26 Motorola, Inc. Method of forming an integrated circuit device using dummy features and structure thereof
US6578174B2 (en) 2001-06-08 2003-06-10 Cadence Design Systems, Inc. Method and system for chip design using remotely located resources
US6539321B2 (en) 2001-07-17 2003-03-25 International Business Machines Corporation Method for edge bias correction of topography-induced linewidth variation
US6872652B2 (en) 2001-08-28 2005-03-29 Infineon Technologies Ag Method of cleaning an inter-level dielectric interconnect
US6651235B2 (en) 2001-10-30 2003-11-18 Cadence Design Systems, Inc. Scalable, partitioning integrated circuit layout system
KR100396900B1 (en) 2001-12-11 2003-09-02 삼성전자주식회사 Method for extracting interconnection capacitance of semiconductor integrated chip and recording media for recording the same
US6708129B1 (en) * 2001-12-13 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for wafer-to-wafer control with partial measurement data
US6751785B1 (en) 2002-03-12 2004-06-15 Ubitech, Inc. System and method for limiting increase in capacitance due to dummy metal fills utilized for improving planar profile uniformity
WO2003079240A2 (en) 2002-03-12 2003-09-25 Ubitech, Inc. System and method for placement of dummy metal fills while preserving device matching and/or limiting capacitance increase
US6709974B2 (en) 2002-04-17 2004-03-23 Texas Instruments Incorporated Method of preventing seam defects in isolated lines
US6631688B1 (en) * 2002-04-24 2003-10-14 John D. Maag Quilting rack for sewing machines
US6613688B1 (en) 2002-04-26 2003-09-02 Motorola, Inc. Semiconductor device and process for generating an etch pattern
US20050235248A1 (en) 2002-05-16 2005-10-20 Agency For Science, Technology And Research Apparatus for discovering computing services architecture an developing patterns of computing services and method therefor
US6866571B1 (en) 2002-05-21 2005-03-15 Cypress Semiconductor Corp. Boltless carrier ring/carrier plate attachment assembly
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US7774726B2 (en) 2002-06-07 2010-08-10 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7712056B2 (en) 2002-06-07 2010-05-04 Cadence Design Systems, Inc. Characterization and verification for integrated circuit designs
US7393755B2 (en) 2002-06-07 2008-07-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
DE10230276B4 (en) * 2002-07-05 2005-05-19 Daimlerchrysler Ag AS die-cast alloy and method for producing an aggregate part from such an AS diecasting alloy
US6792592B2 (en) 2002-08-30 2004-09-14 Numerical Technologies, Inc. Considering mask writer properties during the optical proximity correction process
US6893800B2 (en) 2002-09-24 2005-05-17 Agere Systems, Inc. Substrate topography compensation at mask design: 3D OPC topography anchored
US6866974B2 (en) 2002-10-21 2005-03-15 Texas Instruments Incorporated Semiconductor process using delay-compensated exposure
US7962866B2 (en) 2006-12-29 2011-06-14 Cadence Design Systems, Inc. Method, system, and computer program product for determining three-dimensional feature characteristics in electronic designs
US7937674B2 (en) 2006-12-29 2011-05-03 Cadence Design Systems, Inc. Method, system, and computer program product for predicting thin film integrity, manufacturability, reliability, and performance in electronic designs
US7721237B2 (en) 2006-12-29 2010-05-18 Cadence Design Systems, Inc. Method, system, and computer program product for timing closure in electronic designs
US7827519B2 (en) 2006-12-29 2010-11-02 Cadence Design Systems, Inc. Method, system, and computer program product for preparing multiple layers of semiconductor substrates for electronic designs
US7861203B2 (en) 2006-12-29 2010-12-28 Cadence Design Systems, Inc. Method and system for model-based routing of an integrated circuit
US7814447B2 (en) 2006-12-29 2010-10-12 Cadence Design Systems, Inc. Supplant design rules in electronic designs
US20080162103A1 (en) 2006-12-29 2008-07-03 Cadence Design Systems, Inc. Method, system, and computer program product for concurrent model aided electronic design automation
US8122392B2 (en) 2007-06-27 2012-02-21 Cadence Design Systems, Inc. Robust design using manufacturability models
US8386975B2 (en) 2007-12-27 2013-02-26 Cadence Design Systems, Inc. Method, system, and computer program product for improved electrical analysis

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI407723B (en) * 2004-12-16 2013-09-01 Tamiras Per Pte Ltd Llc Method, apparatus, and computer readable medium thereof for reception of data and method for ensuring the signal integrity of data
TWI463344B (en) * 2005-10-24 2014-12-01 Cadence Design Systems Inc Timing, noise, and power analysis of integrated circuits
TWI448915B (en) * 2007-09-28 2014-08-11 Synopsys Inc Computer-executed method and apparatus for performing dummy-fill in a design layout to achieve a target density, and computer-readable storage medium
TWI457777B (en) * 2007-10-17 2014-10-21 Synopsys Inc Method, apparatus and computer-readable medium for simulating operation of ic circuit for testing scan cells
TWI595441B (en) * 2012-11-06 2017-08-11 應用材料股份有限公司 Trend dynamic sensor imaging using contour maps to visualize multiple trend data sets in a single view
US9881398B2 (en) 2012-11-06 2018-01-30 Applied Materials, Inc. Trend dynamic sensor imaging using contour maps to visualize multiple trend data sets in a single view
US10242472B2 (en) 2012-11-06 2019-03-26 Applied Materials, Inc. Trend dynamic sensor imaging using contour maps to visualize multiple trend data sets in a single view
TWI557584B (en) * 2013-12-10 2016-11-11 台灣積體電路製造股份有限公司 Method semiconductor device design, method of preparing a layout of an integrated circuit device and non-transitory computer readable medium
US9659123B2 (en) 2014-10-17 2017-05-23 21, Inc. Systems and methods for flexibly optimizing processing circuit efficiency
US12063291B2 (en) 2014-10-17 2024-08-13 Coinbase, Inc. Devices and circuitry for computing hash values
TWI644190B (en) * 2017-06-29 2018-12-11 台灣積體電路製造股份有限公司 Processing system and processing method
TWI774743B (en) * 2017-09-12 2022-08-21 美商恩倍科微電子股份有限公司 Very low power microcontroller system
US11822364B2 (en) 2017-09-12 2023-11-21 Ambiq Micro, Inc. Very low power microcontroller system
TWI670617B (en) * 2018-10-31 2019-09-01 財團法人工業技術研究院 Simulation system and method
TWI762842B (en) * 2018-12-31 2022-05-01 瑞昱半導體股份有限公司 Integrated circuitry development system, integrated circuitry development method, and integrated circuitry
TWI728576B (en) * 2019-11-27 2021-05-21 台灣積體電路製造股份有限公司 Manufacturing method of semiconductor structure and computer-readable storage medium
TWI775299B (en) * 2021-02-02 2022-08-21 力晶積成電子製造股份有限公司 Computer-implemented method of performing voltage rule checking in an electronic design automation platform
TWI786566B (en) * 2021-03-11 2022-12-11 南亞科技股份有限公司 Method of semconductor structure manufacturing and semiconductor structure manufacturing system
TWI769829B (en) * 2021-05-21 2022-07-01 崛智科技有限公司 Device and method for integrated circuit assistance design, and method for constructing electrical performance gradient model
US11880643B2 (en) 2021-05-21 2024-01-23 Digwise Technology Corporation, Ltd Device and method for integrated circuit assistance design, and method for constructing electrical performance gradient model

Also Published As

Publication number Publication date
US20030229412A1 (en) 2003-12-11
US20030229410A1 (en) 2003-12-11
US20030229880A1 (en) 2003-12-11
US20080216027A1 (en) 2008-09-04
TWI306567B (en) 2009-02-21
US7367008B2 (en) 2008-04-29
US7243316B2 (en) 2007-07-10
US20030229868A1 (en) 2003-12-11
US7174520B2 (en) 2007-02-06
US7325206B2 (en) 2008-01-29
US7353475B2 (en) 2008-04-01
US20030229881A1 (en) 2003-12-11
US7363099B2 (en) 2008-04-22
US20030237064A1 (en) 2003-12-25
US7962867B2 (en) 2011-06-14

Similar Documents

Publication Publication Date Title
TW200405184A (en) Characterization and reduction of variation for integrated circuits
TWI342595B (en) Measuring a damaged structure formed on a wafer using optical metrology
TWI273457B (en) Generation and use of integrated circuit profile-based simulation information
US7383521B2 (en) Characterization and reduction of variation for integrated circuits
US7757195B2 (en) Methods and systems for implementing dummy fill for integrated circuits
US7360179B2 (en) Use of models in integrated circuit fabrication
US6484300B1 (en) Systems, methods and computer program products for obtaining an effective pattern density of a layer in an integrated circuit, and for simulating a chemical-mechanical polishing process using the same
US7393755B2 (en) Dummy fill for integrated circuits
US7124386B2 (en) Dummy fill for integrated circuits
TWI309846B (en) System and method for using first-principles simulation to control a semiconductor manufacturing process
Hurtarte et al. Understanding fabless IC technology
US7739627B2 (en) System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells
TWI357120B (en) Damage assessment of a wafer using optical metrolo
TWI259505B (en) Method for generating predictive model for process proximity effect, method for managing process, method for manufacturing semiconductor device, method for manufacturing photomask, and program
JPH10335472A (en) Method and device for designing layout
TW200907732A (en) Method and apparatus for optimizing a gate channel
US20160378904A1 (en) Early overlay prediction and overlay-aware mask design
Chen et al. A novel wire-density-driven full-chip routing system for CMP variation control
Bartelink Statistical metrology: At the root of manufacturing control
US9342648B2 (en) Optical proximity correction (OPC) accounting for critical dimension (CD) variation from inter-level effects
TW202221554A (en) Design rule check method
TWI311690B (en) System and method for providing defect printability analysis of photolithographic masks with job-based automation
Herbst Gate-level superconductor integrated circuit fabrication process modelling for improved layout extraction
Li et al. Copper interconnect topography simulation in 3D NAND designs
JP2003197493A (en) Device development assistance simulating method and device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees