TWI351718B - Modulated/composited cvd low-k films with improved - Google Patents

Modulated/composited cvd low-k films with improved Download PDF

Info

Publication number
TWI351718B
TWI351718B TW93105610A TW93105610A TWI351718B TW I351718 B TWI351718 B TW I351718B TW 93105610 A TW93105610 A TW 93105610A TW 93105610 A TW93105610 A TW 93105610A TW I351718 B TWI351718 B TW I351718B
Authority
TW
Taiwan
Prior art keywords
compounds
power
low
pulsed
layer
Prior art date
Application number
TW93105610A
Other languages
English (en)
Other versions
TW200423233A (en
Inventor
Son Van Nguyen
Yi Zheng
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200423233A publication Critical patent/TW200423233A/zh
Application granted granted Critical
Publication of TWI351718B publication Critical patent/TWI351718B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

發明說明·· 【發明所肩之技術領域】 1 發明之實施例係關於積體電路的製造。更明破地 發明之實施例係關於一種在基板上沈積介電膜的製 説,本發 蘀 【先前技術】 精體電路構造的尺寸在此裝置於幾十年前首次被提出 後已有顯著縮小。從那時以來,積體電路一般遵守兩年/ 一半尺寸的規則(通常稱為摩爾定律(Moore’s Law)),其表 示一個晶片上的裝置數量每兩年就會倍增。現今的製造設 備常規地製造特徵尺寸〇.13微米甚至是ο.1微米的裝置’ 而未來的設備很快就會製造特徵尺寸甚至更小的裝置。 裝置結構的持續縮小產生對於具有較低k值薄膜的需 求,因為相鄰金屬線間的的電容耦合必須降低以進一步降 低積體電路上之裝置尺寸。明確地說’具有低介電常數(k) 的絕緣體’低於約4.0 Μ,是較佳的。具有低介電常數的絕 緣體範例包含旋轉塗佈玻璃,例如未摻雜之矽玻璃(USG) 或摻雜氟之梦玻璃(FSG)、二氧化矽、以及聚四氟以烯 (PTFE),這些皆是可在商業上取得的β 增加介電膜的孔隙度是發展具有較低k值之介電膜所 採用的一種方法。但是,沈積,種在具有足夠孔隙以將k 降低至預期水準的同時也具有<承受進一步的積體電路生 產製程步驟之機械強度之低k介電膜可能是困難的。 3 1351718 因此,存在對於沈積具有良好機械性質之低k介電膜 的方法之需要。 【發明内容】 本發明之實施例大體而言提供一種在基板上沈積低k 介電膜之方法,其包含暴露兩種或多種化合物,包含至少 一種有機矽化合物,在脈衝射頻功率下以在該基板上沈積 交錯的低k介電子層。該方法提供一種至少包含一層約1 奈米至約100奈米厚之摻雜碳之氧化矽子層之低k介電 膜。多種低k介電子層在每一個子層皆保持其沈積所用化 合物之某些化學及/或機械性質的條件下沈積。該等低k 介電子層係經沈積得使鄰接子層具有不同化學及/或機械 性質。因此,一子層内之機械弱點可以利用相鄰子層之不 同機械性質來彌補。 在一實施例中,一種在基板上沈積低k介電膜的方法 包含同時暴露兩種或多種化合物,包含至少一種有機矽化 合物,在脈衝射頻功率下以在該基板上沈積交錯的低k介 電子層。該脈衝射頻功率包含以第一頻率持續.傳送第一段 時間以沈積主要出自該等化合物之其中一種之子層之脈 衝,以及以第二頻率持續傳送第二段時間以沈積主要出自 該等化合物之另一種之子層之脈衝。 在另一個實施例中,提供一種包含可使電腦管理在此 所述之低k介電膜沈積方法之軟體之電腦儲存媒體。 1351718 【實施方式】 本發明之實施例大體而言提供一種低k介電膜及 沈積低k介電膜之方法。通常’將兩種或多種化合物 一製程反應室中並暴露在脈衝射頻功率下,以在該製 應室内於基板上形成交錯的低k介電子層。該兩種或 低k介電子層形成一多層低k介電膜》較佳地,該等 係约1奈米至約100奈米厚。更佳地,沈積出每一層 10奈米厚之兩種交錯子層。 該低k介電膜可以在任何可應用脈衝射頻功率之 反應室中沈積。關於厚度接近1奈米之子層,該低k 膜可在一原子層沈積反應室中沈積。可用之原子層沈 應室之一實例在2001年2月2曰提出申請之共同讓渡 國專利申請案第09/776,329號,題目為「氮化鉅層之子 中描述,其在此藉由引用的方式併入本文中。較佳地 低k介電膜係使用電漿輔助化學氣相沈積法在一化學 沈積反應室中沈積,例如Producer®或Producer® SE 氣相沈積(CVD)反應室,可由加州聖塔芭芭拉市之應 料公司取得。
Producer®CVD反應室(200毫米或300毫米)具有 可用來沈積摻雜碳之氧化矽和其他材料之隔離的製 域》具有兩個隔離的製程區域之反應室在美國專 5,855,681號中描述,其在此藉由引用的方式併入本5 第1圖示出一 Pr〇ducer®CVD反應室1〇〇之剖面圖。 應室100具有製程區域618和620。一加熱器座628 一種 引進 程反 多種 子層 皆約 製程 介電 積反 之美 多成,」 ,該 氣相 化學 用材 兩個 程區 利第 -中。 該反 利用 1351718 延伸通過該反應室主體612底部之支桿62 6可動地配置 每一個製程區域618、620内,其中該支桿在反應室底部 一駆動系統603連接。每一個製程區域618、620較佳地 包含配置通過該反應室上蓋6 04之氣體配置组件608, 將氣體傳送進入該等製程區域618、620内。每一個製程 域之氣體配置組件608也包含一傳送氣逋進入一喷頭組 642中之進氣通道640。該喷頭组件642係由具有配置在 於其與一面板646間之檔板644之環狀基底板648所 成。一 RF(射頻)饋通(feedthrough)提供偏壓至該喷頭組 以促進電漿在該喷頭组件之面板646和該加熱器座62 8 產生。 暴露在脈衝RF功率下之兩種或多種化合物至少包 一種有機矽化合物。該有機矽化合物可以具有環狀結構 線性結構、或富勒烯(fullerene)結構。可用之具有環狀 構之有機矽化合物之實例包含八曱基環四矽氧 (octamethylcyclotetrasiloxane)、1,3,5,7-四甲基環四梦 ^(l,3,5,7-tetramethylcyclotetrasiloxane)、l,2,3,4_raT 環四石夕烧(l,2,3,4-tetramethylcyclotetrasilane)、六乙基 三梦氧炫(hexaethylcyclotrisiloxane)、六甲基環三梦氧 (hexamethylcyclotrisiloxane)、六苯基環三石夕氧 (hexxaphenylcyclotrisiloxane)、1,3,7-四乙稀-1,3,5,7-四 基環 四矽氧 (l,3,7-tetravinyl-l,3,5,7-tetramethylcyclotetrasiloxane) 1,3,5,7,9- 五 曱基環 五矽氧 在 與 也 以 區 件 介 組 件 間 含 結 烷 氧 基 環 炫 貌 甲 烧 烷 1351718 (l,3,5,7,9-pentamethylcyclopentasiloxane)、1,3,5,7,9-五乙 烯 -1,3,5,7,9- 五 甲 基環五 矽 氧 烷 (l,3,5,7,9-pentavinyl-l,3,5,7,9-pentamethylc yclo pentasilo xane) 、 以 及八 甲 基環四 矽 氮 烷 (octomethylcyclo-tetrasilazane)。可用之具有 線性 結構之 有 機矽化合物之實例包含三甲 基梦炫(trimethylsilane)四 甲 基梦烧(tetramethylsilane)、 1,1,3,3-四甲 基二矽氧 烷 (1,1,3,3-tetramethyldisiloxan e) 、 四乙 烯 矽 烷 (tetravinylsilane) 、 二 苯基甲 基 矽 烷 (diphenylmethylsilane)、四苯基梦院(tetraphenylsilane)、 四-η -丙氧基梦院(tetra-n-propoxysilane)、二乙氧基甲基石夕 烷(心6化〇乂丫1116111713113116)、1,1,3,3-四甲基-1,3-二乙氧基二 梦氧院(l,l,3,3-tetramethyl-l,3-diethoxydisiloxane)、以及 1,1,3,3-四甲基二妙氣炫(l,l,3,3-tetramethyldisilazane)。 可用之具有富勒烯結構,例如球形或立方體結構,之有機 梦化合物之實例包含 silsequioxane 結構,例如氣 -T8_silsesquioxane、八甲基-T8-silsequioxane、八乙稀-T8-silsesquioxane 、以及 octakis(二甲基矽氧)_ T8-silsequioxane。若使用具有環狀結構或富勒烯結構之有 機矽化合物,可在將該化合物引進該CVD反應室前將該有 機矽化合物溶解在例如己烷之溶劑中。 該兩種或多種化合物也可以包含碳氫化合物。該碳氣 化合物可以具有環狀結構、線性結構、或富勒烯結構。可 用之具有環狀結構之碳氫化合物類型之實例包含環 稀 7 1351718 (cyclic terpenes)、環戊稀(cyclopentenes)、環己稀 (cyclohexenes)、環己烧(cyclohexanes)、環己二稀 (cyclohexadienes)、環庚二稀(cycloheptadienes)、以及含 苯基化合物。例如,可使用 α 品烯 (terpinene)(Cl0H16)(ATP)、1-甲基-4-(1-甲基乙烯基)-環己 稀(1-methyl-4-( 1-methylethenyl)-cyclohexxene)、1-甲基 -4-異丙基環己炫1(1-1116化丫1-4-18〇?1:〇卩>^10丫(;1〇116又压116)、對-異丙基甲笨(p-isopropyltoluene)、 乙稀基環己统 (vinylcyclohexane)、二環庚二稀(norbornadiene)、乙酸苯 醋(phenyl acetate)、環戊稀氧化物(cyclopentene oxide) ' 以及其混合物。可用之具有線性結構之碳氫化合物之實例 包含乙稀(ethylene)、己烧(hexane)、丙烤(propylene)、以 及1,3-丁二烯(l,3-butadiene)。可用之具有富勒烯結構之碳 氫化合物之實例包含碳60(C 60)、碳70(C 70)、碳76(C 76)、以及碳78(C 78)。若使用具有環狀結構或富勒烯結構 之碳氫化合物’可在將該化合物引進該CVD反應室前將該 碳氫化合物溶解在例如己烧和四氮 味(tetradydrofuran) 之溶劑中。 較佳地’氧存在於該等低k介電子層之至少一層中, 其係一摻雜碳之氧化矽子層。暴露在RF功率下之該等兩 種或多種化合物之其中一種或多種可以包含氧以提供該等 子層之一或多層氧來源。另外或此外,氧及/或氧化氣體, 例如二氧化碳,也可以暴露在RJ7功率下。 較佳地’該低k介電膜係一摻雜碳之氧化矽膜,其含 1351718 有約10%至約60%的矽,約20%至约30%的氧,以及約 10%至約60%的碳。較佳地,該低k介電膜係一多孔之換 雜碳之k<3.0之氧化矽膜。但是,應瞭解可以利用在此所 述之方法沈積其他類型的低k膜。 在一較佳實施例中,該兩種或多種化合物,例如兩種 或多種有機矽化合物,係在一沈積反應室中同時暴露在脈 衝RF功率下β該脈衝RF功率係以不同頻率傳送例如, 該RF功率係以第一頻率,例如約35〇千赫至約ι〇〇千赫, 持續傳送第一段時間,例如約1秒至約3 〇秒,然後以第二 頻率(與第一頻率不同),例如約35〇千赫至約1〇〇百萬赫, 持續傳送第二段時間’例如約1秒至約3〇秒。RF功率水 準可以是約50瓦至約3000瓦。工作週期(duty cycle)可以 疋約5%至約60%。有機矽流速可以是約50 seem至約5000 seem 氧化氟體流速可以從〇 seem至約3000 seem,而碳 氮化合物流速可以從〇 sCcin至約5000 seem。較佳地,第 一和第二頻率係經選擇而使在該反應室中沈積於基板上之 第子層主要來自該兩種或多種化合物的其中之一,例如 化合物A ’而沈積於該第一子層上之第二子層主要來自該 兩種或多種化合物的另外一種,例如化合物B。一般來說’ 化合物八和B具有差異夠大的化學或機械性質,因此在第 頻率脈衝期間,A主要促成一種子層的沈積,而在第二 頻率脈衝期間’ B I要促成相鄰子層之沈積。如在此所使 者 主要」或「基本上」來自化合物A之層的沈積表 不該層至少50 %的材料來源係化合物A。因此,當該層大 1351718 多數係從化合物A沈積並且具有化合物A的特性時,其他 前驅化合物,例如化合物B,可能促成該層的形成。
藉由在沈積該等子層期間使用脈衝RF功率而非連續 RF功率,暴露在該RF功率下之該等化合物不會完全分 解。因此,主要從一種化合物形成之子層會保持其沈積所 從出之化合物的某些化學和物理特性。例如,預期從具有 環狀結構之化合物沈積而得之子層會含有環狀結構,而預 期從具有線性結構之化合物沈積而得之子層會含有線性結 構。 咸信沈積具有不同結構型態之相鄰子層會促成具有機 械性質不同之相鄰子層之多層低k介電膜的形成,例如壓 應力、張應力、模數、附著力、和硬度。藉由使用具有不 同性質之薄的相鄰層,一層中之機械或結構弱點可以由相 鄰曾之不同特性來彌補。
雖然已在上面描述過來自化合物A和B之兩種類型子 層的沈積’但其他類型子層可以利用其他化合物’ C ' D、 E等來沈積。例如’一子層可能藉由將c連同人和B暴露 在與從A和B沈積子層所用之頻率不同的頻率之脈衝RF 功率下從化合物C沈積而得。或者’ C可在流入該反應室 之A和B終結後才加進該反應室中,然後使用脈衝RF# 率在一特定頻率下沈積。當引進€時,一些入和B可能還 存在該反應室中’或者可以在引進C之前清潔該反應室’ 例如使用一載氣。 在另一個實施例中’可以藉由依序暴露兩種或多種化 10 1351718 合物在脈衝RF功率下來沈積低k介電膜。例如, 合物A引進反應室並暴露在一種頻率之脈衝RF功 以沈積第一低k介電子層,然後將化合物B引進反 暴露在第二頻率之脈衝RF功率下,以沈積第二低 子層。或者,可由包含化合物A之第一製程氣體混 應用一種頻率之脈衝RF功率沈積出第一子層,並 包含化合物B和C之第二製程氣體混合物並應用兩 頻率之脈衝RF功率沈積出第二和第三子層。該兩 種混合物可以繼續流入該反應室或以規則脈動的 送。 在另一個實施例中,可在第一反應室中將具有 多種化合物,較佳地兩種或多種化合物,之第一組 暴露在第一頻率之脈衝RF功率下,以形成第一低 子層,並且可在第二反應室中將至少包含一種與第 合物不同成分之具有一種或多種化合物,較佳地兩 種化合物,之第二組化合物暴露在第二頻率之脈衝 率下,以形成第二低k介電子層。
在此所述之任何實施例中,於沈積預期數量 後,可將由該等子層所形成的低k介電膜以電子束 或氫氣電漿處理法進行處理。較佳地,將該低k介 不破壞真空下轉移至另一個反應室中以進行電子束 氦氣或氫氣電漿處理。低k介電膜用之電子束處理 室在共同讓渡之2002年11月22日申請之美國專利 第1 0/3 02,3 75號,題目為「利用電子束形成超低K 可將化 率下, 應室並 k介電 合物並 且可從 種不同 種或多 方式傳 一種或 化合物 k介電 一組化 種或多 RF功 的子層 或氣氣 電膜在 處理或 和反應 申請案 膜之方 11 1351718 法」中描述’其在此藉由引用的方式併入本文中。在—實 施例中’在約1至2〇千電子伏特(kev)時,電子束處理的 劑量在每平方公分約5〇和約2〇0〇微庫侖之間(从c/cm2)。 電子束處理通常在介於約室溫和約450 °C的溫度間操作1 分鐘至約15分鐘’例如約2分鐘。較佳地,電子束處理係 在約400C下執行約2分鐘。在一觀點中,電子束處理條 件包含 4.5 kV ’ 1.5 mA 和 500 /z c/cm2,在 400eC 下。雖 然可使用任何電子數裝置,但一例示裝置是Ebk反應室, 可從應用材料公司取得。 電子束固化數程改良沈積膜網絡之機械強度並降低k 值。咸信加壓電子束會改變沈積膜分子網絡中的化學鍵, 並從該膜去除至少一部分的分子群。分子群的去除在該膜 中創造出空隙或孔洞’降低k值。咸信電子束處理也藉由 交聯梦-氧-矽或矽-碳-矽鏈而強化了膜網絡,如從FTIR光 譜所表示出者。 在此所述之低k介電膜可用來做為金屬間介電層,例 如積體電路裝置中之導電内連線之間β該低k介電膜可用 來做為雙嵌結構中之不同層,例如溝槽級介電層或介層洞 級介電層、覆蓋層、硬光罩、蚀刻終止層、或化學機械研 磨終止層。 在此所述之低k介電膜可用一種含有軟體例行程式之 電腦儲存媒體來沈積,當執行時,其可使一般用途電腦運 用沈積方法控制沈積反應至。該軟趙例行程式可以包含用 來沈積根據在此所述之任何實施例之任何低k介電膜之指 12 ^517i8 7 根據含有此種軟體例行程式之電腦儲 例來執行之沈積製程之實例在第2圖中概 在沈積反應室中之兩種或多種化合物 RF功率下。該等化合物之至少一種係有 RF功率脈衝以第一頻率持續傳送第一段 低k介電子層,如在第2圊之步驟2〇〇 t 功率脈衝以第二頻率持續傳送第二段時間 介電子層,如在第2圖之步驟202中所示 電子層構成一低k介電臈,其係利用電子 第2圓之步驟204中所示者。 可用來執行在此所述之軟體例行程式 例在第3圖中示出。做為解說例,該電腦 系統匯流排3 4 1以及至少一與該系統匯流 理器343。該處理器343含有暫存檔及執;f 腦系統340也含有透過輸入介面346與該 連結之輸入裝置3 44、透過大量資料儲存 统匯流排341連結之儲存裝置354、透過 該系統匯流耕341連結之終端358、以及这 與該系統匯流排3 4 1連結之複數個網絡裝 终端358係任何顯示器裝置,例如界 或電漿銀幕。終端358和網絡裝置3 42可 人電腦型式的電腦、工作站、網絡終端、 系統。輸入裝置344可以是能對電腦系統 作的任何裝置。例如’可使用鍵盤、柚珍 存媒體之一實施 述。 係經暴露在脈衝 機矽化合物。將 時間以形成第一 卜所示者。將RF 以形成第·一低k 者。該等低k介 束來處理,如在 之電腦系統之實 系統340包含一 排3 4 1連結之處 t單位3 4 5。該電. :系統匯流排3 41 介面3 52與該系 裝端介面356與 L過網絡介面350 置 342。 髮極射線管(CRT) 以是桌上型或個 或其他聯網電腦 34〇做出輪入動 鍵盤、光筆、觸 13 1351718 碰式銀幕、按鍵、滑鼠、軌跡球、或語音辨識單元。此外, 雖然示為與該輸入裝置分離,但該终端358和輸入裝置344 可以結合。例如,可使用具有整合之觸控式銀幕之顯示器 銀幕、具有整合之鍵盤或與文字語音轉換器合併之語音辨 識單元之顯示器。 儲存裝置354係一 DASD(直接存取儲存裝置),雖然 其可以是任何其他儲存裝置,例如軟碟機或光學儲存器。 雖然所示之儲存器354係一單一單元,但其可以是任何固 接及/或可動儲存裝置之組合,例如固接磁碟機、軟碟機、 磁帶機、可移動記憶卡、或光學儲存器。主記憶體360和 儲存裝置354可以是虛擬位址空間擴充多重主要及第二儲 存裝置之一部分。 主記憶體360之内容可應處理器3 43的需要從該儲存 裝置354載入或儲存至其内。主記憶體360係容量大到足 以保存本發明必要之程式設計及資料結構之任何記憶裝 置。該主記憶體360可以是記憶裝置之一種或組合,包含 隨機存取記憶體(RAM)、非揮發性或備份記憶體,例如可 程式化或快閃記憶體或唯讀記憶體(ROM)。該主記憶體360 實際上可以配置在該電腦系統340之另一部份内。雖然將 主記憶體360示為一單一實體,理應瞭解的是記憶體360 事實上可以包含複數個模組,並且主記憶體360可以多種 等級存在,從高速暫存器和快取記憶體至較低速但較大之 DRAM晶片。 一般來說,被執行來履行本發明實施例之例行程式可 14 1351718 被 順 通 多 處 化 具 解 用 / 腦 之 發 之 電 揮 碟 式 訊 且 他 取 執行為作系餅^ ^ ut 残•特定應用、構件、程式、模组或指令 序之~部分,並Η_ 办-Τ» 亚且通常可稱為一「程式je該新穎的程式 常包含一種或多錄扣人 種指令,其在多個時間下存在於電腦之 種記it體和儲存裝置中,並且在由電腦中之一個或多個 理器讀取並執行時’會使該電腦執行必要用來執行具體 本發明之多種觀點之步驟或要素之步驟。 此外’在此所述之多種程式和裝置可在根據本發明之 體實施例中基於其經執行之應用辨識出。雖然,理應暸 所採用之任何特定程式或裝置術語僅只為便利性而使 ’並且本發明並不受限於僅使用在由此種術語所確認及 或暗示之任何具體應用上。 另外,雖然一些實施例已經並且在之後會以全功能電 和電腦系統之上下文敘述,但熟知技藝者會瞭解本發明 多種實施例能夠配置成為許多型式之程式產品,並且本 明之實施例無論實際上用來執行該配置之訊號承載媒體 具體類型為何均可等效應用。訊號承載媒體(在此也稱為 腦可讀媒體)之實例包含,但不限於,可錄式媒體,例如 發性和非揮發性記憶裝置,軟碟和其他可移動磁碟,硬 裝置’光碟片(例如CD-ROM、DVD等),控制器和傳輸 媒體,例如數位和類比通訊連線。傳輸式媒體含有由通 媒體傳遞至電腦之資訊,例如透過電腦或電話網絡,並 包含無線通訊。後面的實施例具體包含從網際網路和其 網絡下載的資訊。此種訊號承載媒體,當載有竜腦可讀 之指揮本發明功能之指令時,代表是本發明之實施例。 15 1351718 下面描述一 之方法。 實例 將八甲基環 (DEMS) ' a Producer® CVD 用脈衝功率,在 瓦的功率、350 應室,DEMS係 1500 seem 引進 反應室。反應室 之RF功率係以 沈積出約6奈米 接著350千赫下 續1秒鐘,因此 k介電子層,雖责 重複該等子層之 該低k介電膜之 雖然前述者 進一步的實施例 範圍係由如下之 【圖式簡單說明 低k介電膜之實例及一種沈積低k介電膜 ί四矽氧烷(0MCTS)、二乙氧基甲基矽烷 品烯(ΑΤΡ)、以及二氧化碳同時引進一 反應室中,其中在面板和加熱器座之間應 約800瓦的功率、13.56百萬赫下,和150 千赫下。0MCTS係以2000 seem引進該反 以600 mgm引進該反應室,二氧化碳係以 該反應室,而ATP係以3000 mgm引進該 溫度約20(TC至約350°C。13.56百萬赫下 約50%之工作週期脈衝持續1秒鐘,因此 厚之主要來自0MCTS之低k介電子層。 之RF功率係以約5〇%之工作週期脈衝持 尤積出約3奈米厚之主要來自DEMS之低 、某些材料係從〇MCTS和Ατρ沈積出來。 '尤積直到形成一 20〇〇奈米之低k介電膜。 k<2.5並且硬度約>〇 5 ^邸。 、十對本發明之實施例,本發明之其他及 可从在不背離其基本範圍下提出,並且其 申請專利範圍判定。
16 1351718 因此可以詳細瞭解上述之本發明特徵之方式,即對本 發明更具體的描述,簡短地在前面概述過,可以藉由參考 實施例來得到,其中某些係在所附圖式中闡明。但是,需 要注意的是,所附圖示只闡明本發明之典型實施例,因此 不應視為限制其範圍,因為本發明可允許其他等效的實施 例。 第1圖係一沈積反應室之實施例之剖面圖。 第2圖係在一實施例中由電腦系統執行之製程之例示 流程圖。 第3圖係根據本發明之電腦系統。 【元件代表符號簡單說明】 100 CVD 反 應室 340 電 腦 系 統 341 系 統 匯 流排 342 網 絡 的 裝 置 343 處 理 器 344 m 入 裝 置 345 暫 存 檔 案及執行單位 346 輸 入 介 面 350 網 絡 介 面 352 大 量 資 料 儲存介面 354 儲 存 裝 置 356 裝 端 介 面 358 終 端 360 主 記 憶 體 603 驅 動 系 統 604 反 應 室 上 蓋 608 氣 體 配 置組件 612 反 應 室 主 體 618 ' 620 製程區域 626 支 桿 628 加 熱 器 座 640 進 氣 通 道 642 喷 頭 組 件 644 檔 板 17 1351718 646 面板 648 環狀基底板 18

Claims (1)

1351718 |〇卉了月(丫日降正本 f 9丨。穴丨°號專利案K年r月修正 拾、申請專利範圍: 】、一種在基板上沈積低k(介電常數)介電膜之方法,至少 包含: 將兩種或多種化合物暴露在脈衝RF功率下,以在 該基板上沈積交錯之低k介電子層,其中該兩種或多種 化合物之至少一種是有機矽化合物,其中上述之脈衝RF 功率包含兩種或多種頻率RF功率,及其中上述之子層 之一基本上係在第一頻率RF功率下之第一段脈衝RF功 率期間由該兩種或多種化合物之一種沈積而成,而一相 鄰子層基本上係在第二頻率RF功率下之第二段脈衝RF 功率期間由該兩種或多種化合物之另一種化合物沈積而 '成。 2、 如申請專利範圍第1項所述之方法,其中上述之兩種或 多種頻率之一種是約350千赫(kHz)’而該兩種或多種頻 率之另一種是約100百萬赫(MHz)。 3、 如申請專利範圍第1項所述之方法,其中上述之兩種或 多種化合物包含碳氫化合物。 4、 如申請專利範圍第1項所述之方法,其中上述之兩種或 多種化合物.包含二乙氧基甲基碎烧 (diethoxymethylsilane) 及八 曱 基環四石夕氧烧 19 1351718 (octamethylcyclotetrasiloxane) ° 5、 如申請專利範圍第1項所述之方法,其中上述之 多種化合物至少包含氧。 6、 如申請專利範圍第1項所述之方法,其中上述之 多種化合物包含二氧化碳。 7、 如申請專利範圍第1項所述之方法,其中上述之 子層係約1奈米至約1 00奈米。 8、 如申請專利範圍第1項所述之方法,進一步包含 子束處理該等交錯的子層。 9、 如申請專利範圍第1項所述之方法,其中上述之 多種化合物係在條件下暴露於該脈衝RF功率, 相鄰子層具有一種或多種基本上不同之機械性質 械性質係選自由壓應力、張應力、模數、附著力 度所構成群組。 10、 如申請專利範圍第1項所述之方法,其中上述 之一基本上係由該有機矽化合物沈積而成。 兩種或 兩種或 每一個 以一電 兩種或 以使得 ,該機 、和硬 之子層 20 1351718 11、一種在基板上沈積低k(介電常數)介電膜之方法, 包含: 同時將兩種或多種化合物暴露在脈衝RF功率 以在該基板上沈積交錯之低k介電子層,其中該等 物之至少兩種是有機石夕化合物,並且該脈衝RF功 少包含以一第一頻率RF功率持續傳送第一段時間 衝以及以一第二頻率RF功率持續傳送第二段時間 衝。 12、 如申請專利範圍第11項所述之方法,其中上述之 之至少一層係摻雜碳之氧化矽。 13、 如申請專利範圍第11項所述之方法,其中上述之 兩種或多種化合物在脈衝RF功率下,至少包含一 自由化學氣相沈積和原子層沈積所構成群組之製程 1 4、如申請專利範圍第1 1項所述之方法,其中上述之 之一基本上係在第一段時間之期間由該兩種或多種 物之一種沈積而成,而一相鄰子層基本上係在第二 間之期間由該兩種或多種化合物之另一種化合物沈 成。 15、如申請專利範圍第11項所述之方法,其中上述之 至少 下, 化合 率至 之脈 之脈 子層 暴露 種選 〇 子層 化合 段時 積而 兩種 21 1351718 或多種化合物進一步包含碳氫化合物。 16、如申請專利範圍第11項所述之方法,其中上述之至少 兩種有機矽化合物至少包含·二乙氧基曱基矽烷 (diethoxymethylsilane) 及八 甲 基環四石夕 氧烧 (octamethylcyclotetrasiloxane) ° 17、 如申請專利範圍第11項所述之方法,其中上述之兩種 或多種化合物進一步包含氧。 18、 如申請專利範圍第11項所述之方法,其中上述之兩種 或多種化合物進一步包含二氧化碳。 19、 如申請專利範圍第11項所述之方法,該方法進一步包 含以一電子束處理該兩層或多層子層。 20、 如申請專利範圍第11項所述之方法,其中上述之兩種 或多種化合物係在條件下暴露於該脈衝RF功率,以使 得相鄰子層具有一種或多種基本上不同之機械性質,該 機械性質係選自由壓應力、張應力、模數、附著力、和 硬度所構成群組。 21、 如申請專利範圍第11項所述之方法,其中上述之子層 22 1351718 矽 勒 層 有 且 所 係 基 合 RF 層 RF 衝 沈 述 之一基本上係由一種有機矽化合物沈積而成,該有機 化合物具有一種選自由環狀結構、線性結構、以及富 稀(f u 11 e r e n e)結構所構成群組之結構,並且一相鄰子 基本上係由一種第二有機矽化合物沈積而成,該第二 機矽化合物具有一種與該第一化合物不同之結構,並 其結構係選自由環狀結構、線性結構、及富勒烯結構 構成群組。 22、一種至少包含交錯之低k介電子層之低k介電膜, 由一種製程形成,該製程至少包含: 暴露兩種或多種化合物在脈衝RF功率下,以在 板上沈積交錯之低k介電子層,其中該兩種或多種化 物之至少一種係一有機石夕化合物,其中上述之脈衝 功率包含兩種或多種頻率RF功率,及其中上述之子 之一基本上係在第一頻率 RF功率下之第一段脈衝 功率期間由該兩種或多種化合物之一種沈積而成,而 相鄰子層基本上係在第二頻率RF功率下之第二段脈 RF功率期間由該兩種或多種化合物之另一種化合物 積而成。 23、如申請專利範圍第22項所述之低k介電膜,其中上 之兩種或多種化合物至少包含氧。 23 1351718 24、 如申請專利範圍第22項所述之低k介電膜,進一步包 含以一電子束處理該等交錯的子層。 25、 一種包含交錯之低k介電子層之低k介電膜,係由一 種製程形成,該製程至少包含: 同時將兩種或多種化合物暴露在脈衝RF功率下, 以在基板上沈積交錯之低k介電子層,其中該等化合物 之至少兩種是有機石夕化合物,並且該脈衝RF功率包含 以一第一頻率RF功率持續傳送第一段時間之脈衝以及 以一第二頻率RF功率持續傳送第二段時間之脈衝。 26、 如申請專利範圍第25項所述之低k介電膜,其中上述 之子層之一基本上係在第一段時間之期間由該兩種或多 種化合物之一種沈積而成,而一相鄰子層基本上係在第 二段時間之期間由該兩種或多種化合物之另一種化合物 沈積而成。 27、 如申請專利範圍第25項所述之低k介電膜,其中上述 之兩種或多種化合物進一步包含氧。 28、 如申請專利範圍第25項所述之低k介電膜,進一步包 含以一電子束處理該等交錯的子層。 24 1351718 29、種在基板上洗積低k介電膜之方法,至少包含: 將兩種或多種化合物暴露在脈衝RF功率下,以在 該基板上沈積交錯之低k介電子層’其中該兩種或多種 化σ物之至V種是有機矽化合物,該脈衝RF功率包 含兩種或多種頻率RF功率及該兩種或多種頻率之一 種疋約350千赫(kHz),而該兩種或多種頻率之另一種是 約100百萬赫(MHZ)。 30、 #在基板上沈積低k介電膜之方法,至少包含: 將兩種或多種化合物暴露在脈衝RF功率下,以在 該基板上沈積交錯之低k介電子層,其中該兩種或多種 化合物之至少—接B l 種是有機矽化合物,該脈衝RF功率包 含兩種或多種箱漆;up 旱RF功率,及該兩種或多種化合物包 ^ 氧基曱基發坑(diethoxymethylsilane)及八曱基環 四石夕氧燒(octamethylcyclotetrasiloxane)。 31、 一種在基板上沈積低k介電膜之方法,至少包含: 將兩種或多種化合物暴露在脈衝RF功率下,以在 該基板上沈積交錯之低k介電子層,其中該兩種或多種 化合物之至少一種是有機矽化合物,該脈衝RF功率包 含兩種或多種頻率RF功率,及上述之每一個子層係約1 奈米至約100奈米。 25 1351718 32、 一種在基板上.沈積低k介電蹲之方法,至少包含: 將兩種或多種化合物暴露在脈衝RF功率下,以在 該基板上沈積交錯之低k介電子層,其中該兩種或多種 化合物之至少一種是有機矽化合物,並且該脈衝RF功 率包含兩種或多種頻率RF功率;及 以一電子束處理該等交錯的子層。 33、 一種至少包含交錯之低k介電子層之低k介電膜,係 由一種製程形成,該製程至少包含: 暴露兩種或多種化合物在脈衝RF功率下,以在基 板上沈積交錯之低k介電子層,其1f7該兩種或多種化合 物之至少一種係一有機矽化合物,並且該脈衝RF功率 包含兩種或多種頻率RF功率;及 以一電子束處理該等交錯的子層。 26
TW93105610A 2003-03-03 2004-03-03 Modulated/composited cvd low-k films with improved TWI351718B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/378,783 US7011890B2 (en) 2003-03-03 2003-03-03 Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices

Publications (2)

Publication Number Publication Date
TW200423233A TW200423233A (en) 2004-11-01
TWI351718B true TWI351718B (en) 2011-11-01

Family

ID=32926556

Family Applications (1)

Application Number Title Priority Date Filing Date
TW93105610A TWI351718B (en) 2003-03-03 2004-03-03 Modulated/composited cvd low-k films with improved

Country Status (3)

Country Link
US (1) US7011890B2 (zh)
TW (1) TWI351718B (zh)
WO (1) WO2004079814A2 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
TWI282124B (en) * 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US8211230B2 (en) * 2005-01-18 2012-07-03 Asm America, Inc. Reaction system for growing a thin film
US20060163731A1 (en) * 2005-01-21 2006-07-27 Keishi Inoue Dual damascene interconnections employing a copper alloy at the copper/barrier interface
US7262127B2 (en) * 2005-01-21 2007-08-28 Sony Corporation Method for Cu metallization of highly reliable dual damascene structures
US20060166491A1 (en) * 2005-01-21 2006-07-27 Kensaku Ida Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
US7531209B2 (en) * 2005-02-24 2009-05-12 Michael Raymond Ayers Porous films and bodies with enhanced mechanical strength
US7300868B2 (en) 2006-03-30 2007-11-27 Sony Corporation Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US20070232062A1 (en) * 2006-03-31 2007-10-04 Takeshi Nogami Damascene interconnection having porous low k layer followed by a nonporous low k layer
WO2007143025A2 (en) * 2006-05-31 2007-12-13 Roskilde Semiconductor Llc Porous inorganic solids for use as low dielectric constant materials
WO2007143029A1 (en) * 2006-05-31 2007-12-13 Roskilde Semiconductor Llc Porous materials derived from polymer composites
WO2007143026A2 (en) 2006-05-31 2007-12-13 Roskilde Semiconductor Llc Linked periodic networks of alternating carbon and inorganic clusters for use as low dielectric constant materials
WO2007143028A2 (en) 2006-05-31 2007-12-13 Roskilde Semiconductor Llc Low dielectric constant materials prepared from soluble fullerene clusters
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
FR2918997B1 (fr) * 2007-07-20 2010-12-03 Commissariat Energie Atomique Procede de preparation de couches minces de materiaux dielectriques nanoporeux.

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5003178A (en) * 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5504040A (en) * 1992-06-30 1996-04-02 Texas Instruments Incorporated Planarized material layer deposition using condensed-phase processing
US5638251A (en) * 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
JP3419745B2 (ja) * 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
EP1352107A2 (en) 2000-10-25 2003-10-15 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device, a method for fabricating the same, and an electronic device containing the same
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US20030040195A1 (en) * 2001-08-27 2003-02-27 Ting-Chang Chang Method for fabricating low dielectric constant material film
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films

Also Published As

Publication number Publication date
WO2004079814A2 (en) 2004-09-16
TW200423233A (en) 2004-11-01
WO2004079814A3 (en) 2005-01-13
US20040175581A1 (en) 2004-09-09
US7011890B2 (en) 2006-03-14
WO2004079814B1 (en) 2005-03-17

Similar Documents

Publication Publication Date Title
TWI351718B (en) Modulated/composited cvd low-k films with improved
KR101141459B1 (ko) 하부 배리어 층에 저 유전체 상수 (k) 다공성 막의 부착을촉진하는 기술
TWI332240B (en) Low temperature process to produce low-k dielectrics with low stress by plasma-enhanced chemical vapor deposition (pecvd)
KR100907387B1 (ko) 환원 분위기 하에서 유전 필름을 경화시키는 방법
CN100514575C (zh) 电介质膜的成膜方法及成膜装置
JP4410783B2 (ja) 低誘電率膜を作製する方法
TWI281707B (en) Ultra low k (ULK) SiCOH film and method
KR100730844B1 (ko) 유전 상수가 극히 낮은 플라즈마 강화 cvd 막
CN100378990C (zh) 低k和超低k SiCOH介质膜及其制作方法
US7611996B2 (en) Multi-stage curing of low K nano-porous films
CN110313051A (zh) 使用远程等离子体处理使碳化硅膜致密化
KR20120102155A (ko) 산화물 라이너를 이용하는 유동성 유전체
TW201142945A (en) Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
TW200811309A (en) Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
Zhou et al. Highly stable ultrathin carbosiloxane films by molecular layer deposition
CN1257547C (zh) 多相低介电常数材料及其沉积方法与应用
JPH05102040A (ja) 成膜方法
CN100541736C (zh) 基板处理方法
CN101101876A (zh) 在还原气氛下固化介电膜
JP2011146596A (ja) 半導体装置の製造方法、半導体装置、及び半導体製造装置
TW201246378A (en) In-situ low-k capping to improve integration damage resistance

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees