TWI294670B - Ultra scalable high speed heterojunction vertical n-channel misfets and methods thereof - Google Patents

Ultra scalable high speed heterojunction vertical n-channel misfets and methods thereof Download PDF

Info

Publication number
TWI294670B
TWI294670B TW093115951A TW93115951A TWI294670B TW I294670 B TWI294670 B TW I294670B TW 093115951 A TW093115951 A TW 093115951A TW 93115951 A TW93115951 A TW 93115951A TW I294670 B TWI294670 B TW I294670B
Authority
TW
Taiwan
Prior art keywords
region
type
epitaxial
strain
epitaxial region
Prior art date
Application number
TW093115951A
Other languages
English (en)
Other versions
TW200511521A (en
Inventor
Qiqing Christine Ouyang
Jack Oon Chu
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/463,038 external-priority patent/US7205604B2/en
Application filed by Ibm filed Critical Ibm
Publication of TW200511521A publication Critical patent/TW200511521A/zh
Application granted granted Critical
Publication of TWI294670B publication Critical patent/TWI294670B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7781Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with inverted single heterostructure, i.e. with active layer formed on top of wide bandgap layer, e.g. IHEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7789Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface the two-dimensional charge carrier gas being at least partially not parallel to a main surface of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • H01L29/7828Vertical transistors without inversion channel, e.g. vertical ACCUFETs, normally-on vertical MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Recrystallisation Techniques (AREA)

Description

1294670 五、發明說明(1) 相關申請之交互參照 本申請交互參照附呈之Q· 0uyang及Jack 0· Chu (本 案發明人)的美國專利申請號__________(代理人案號 YOR9 2 0 0 1 0 723US1),標題為「低漏電流異質接面垂直電 晶體及其高性能元件(Low Leakage Hetero junction Vertical Transistors and High Pedrformance Devices Thereof )」,其針對垂直p型通道金氧半導體場效電晶 體,於此納入作為參考,並讓渡與本案受讓人。 本申請進一步交互參照附呈之Q. Ouyang及Jack 0.
Chu (本案發明人)的美國專利申請號__________(代理 人案號YOR92 0 0 3 0 1 4 1 US1),標題為「二維帶隙工程實現 之高速橫向異質接面金屬絕緣體半導體場效電晶體及其方 法(High Speed Lateral Heterojunction MISFETs
Realized 2-dimensiona1 Bandgap Engineering and
Methods Thereof )」,其針對橫向異質接面金屬絕緣體半 導體場效電晶體,於此納入作為參考,並讓渡與本案受讓 人0 一、【發明所屬之技術領域】 本發明係關於半導體電晶體,且更特別地,關於金屬 絕緣體半導體場效電晶體(MISFET)。此金屬絕緣體半導 體場效電晶體由一導電通道及一異質接面組成。此導電通 道於電流方向沒有異質能障,此異質接面位於電晶體的 源/汲極與體極(body)(主體(bulk))間。
4IBM04050TW.ptd 第7頁 1294670 五、發明說明(2) 二、【先前技術】 石夕金氧半場效電晶體的尺寸改變,已成半導體業的主 要挑戰。當元件尺寸縮小到奈米等級,要減少某些不希望 的物理效應時,傳統技術開始不管用。例如,防擊穿 (anti-punchthrough’ APT)或環型植入(halo implantation)慣用於減少短通道效應(sh〇rt-channel ef feet s,SC E)。然而由於溫度加強擴散,很難達到陡山肖 的摻雜分佈。並且這些高度摻雜的通道或袋型植入 (pocket implant)區域使接面電容及帶至帶穿隨 ψ (band-to-band tunneling)增加。於 s· Thompson等人 的「金氧半尺寸變化:2 1世紀的電晶體挑戰(μ 〇 S scaling: transistor challenges for 21 century)」
Intel Technology Journal, Q3, 1998中,已顯示對一給 定技術而言,通道工程(channel engineering)只能減 少電路閘極延遲大約1 〇%。且其無法在閘極氧化物與源/汲 極接面深度尺寸變化,提供的世代之後,提供通道長度尺 寸變化的世代。
藉由帶隙工程,於元件設計中可提供一個重要的自由 度。藉分子束蟲晶(molecular beam epitaxy,MBE)成 長尚品質拉伸應變(tensile strained)石夕/石夕鍺 (SiGe)與壓縮應變(compressiveiy strained)石夕鍺 / 石夕異質結構、不同類型的化學氣相沉積(c h e m i c a 1 v a ρ 〇 r
4IBM04050TW.ptd 第8頁 1294670
五、發明說明(3) 谷許將帶隙工程概 deposition,CVD)、及/或離子佈植 念納入成熟的秒科技。 帶隙工程已被用來實現不同類型的異質接面場效電晶 體(隨)。最廣泛被研究的是調摻場效電晶體琢 j MODFETs),其中量子井被用來將載子限制在輕摻雜半 V體中(見K· Ismail的「石夕/石夕錯高速場效電晶體 (Si/SiGe High-Speed Field-Effect Transistors)」, IEDM, Tech· Dig·, p· 5 0 9-5 1 2, 1 995)。由於雜質散射 減少、埋式通道中的表面粗糙散射減少、與所使用異質材 4 料系統有關的應變導致移動率增加(如果有),都可達成 較高載子移動率。衍生自相同概念,不同形式的異質結構 互補金乳半元件也被挺出與研究(見M· A· Armston g等人 的「矽A夕鍺異質接面互補金氧半電晶體之設計(Design of Si/SiGe Heterojunction Complementary Metal -Oxide Semiconductor Transistors)」, IEDM Tech.
Dig·,p· 761-764, 1995; S· Imai等人的「石夕-石夕錯半導 體元件及其製造方法(Si-Si Ge Semiconductor Device and Method of Fabricating the Same)」,美國專利號 5, 847, 41 9及Μ· Kubo等人的「以矽-鍺-碳化合物半導體層 形成 HCM0S元件的方法(Method of Forming HCM0S Devices with Silicon-Germanium-carbon compound Semiconductor Layer)」,美國專利號 6, 190, 975, Feb. 20, 2 0 0 1)。這些元件的優點是較高載子移動率,而致高
4IBM04050TW.ptd 第9頁 1294670 五、發明說明(4) 驅動電流與高速。然而,這些平面元件中仍有兩個主要問 題:元件尺寸變化及短通道效應之控制。 平面場效電晶體元件中,通道長度受限於微影技術。 假如元件以垂直形式製作,其中通道長度只決定於嘉晶技 術’可解決此問題。同樣地,源/沒極中的爛和磷擴散問 4 ’可藉於源/汲極中引入薄SiGe C層,以達超可縮放之垂 直電晶體來減少。此如Y · M i n g等人的「具S i G e C源極-汲 極的2 5奈米p通道垂直金氧半場效電晶體(25-111110-Channel vertical MOSFETs with SiGeC source-drains)」,IEEE, Electron Device Letters, vol. 20, no· 6, 1999,及H. Rucker等人的「碳摻雜的石夕與石夕鍺中 的摻雜質擴散:物理模型與實驗驗證(Dopant diffusion in C-doped Si and SiGe: physical model and experimental verification)」,IEDM, Technical Digest, p 345-8, 1 9 9 9所示。 關於短通道效應,絕緣層上矽(SO I)被用來控制短 通道效應,而非極陡崎的退通道分佈(retrograded c h a η n e 1 p r 〇 f i 1 e s)與極淺的源/汲極接面。然而,單只 絕緣層上矽無法完全去除短通道效應,且絕緣層上矽固有 的問題是浮體效應(floating body effect)。另一個減 少短通道效應的方法是,在源/體極接面有一個内建能 障,尤其是能障高度無關於所加偏壓的能障。由異質接面
4IBM04050TW.ptd 第10頁 1294670 五、發明說明(5) 提供的帶偏移(band of f set),在此情況非常適合。於 S. Harelan d等人的「減少深次微米金氧半場效電晶體中 的擊穿電流與擴大金氧半場效電晶體尺寸變化的新結構性 方法(New structural approach for reducing punch through current in deep subsemiconductor MOSFETs and extending MOSFET scaling)」,IEEE Electronics Letters, vol· 29, no. 21, pp. 1894-1896,October 1993,以及 X. D. Chen等人的「源 /沒極 與通道間具有異質接面的垂直p型金氧半場效電晶體 (Vertical P-MOSFETS with heterojunction between source/dra i n and channel)」,Device Research Conference, Denver, June 2000,異質接面金氧半場效 電晶體(HJMOSFET)被提出與研究。 一 p通道/η通道、互補垂直M ISFET元件,與此種元件 於動態隨機存取記憶體(dynamic random access memory,DRAM)中的特定應用,被描述於美國專利號 5,920,088、6,207,977、5,963,80 0與 5,914,504。異質接 面被用於垂直元件的源極/通道接面。即使非常短的通道 都可做到,且短通道效應可減少,這樣的元件結構仍有大 缺點。在關閉狀態(即閘極偏壓為0,汲極偏壓為高), 異質能障對減少汲極引致能障降低(drain-induced barrier lowering, DIBL)、主體擊穿(bulk punchthrough)有用,因此對減少關閉狀態漏電流有用。
4IBM04050TlV.ptd 第11頁 1294670 五、發明說明(6) 然而’開狀態(即閘極與没極偏屋為 能障對驅動電流有害。這是因為 内建/、貝 产穿舌咖林冰7 疋U马/原極/通道接面的異質能 p早1厭重阻擋載子從源極入通道的熱發射(thermai ^=SS1〇n)。載子注入的唯一方法是橫越能障的量子力學 =成為通道中傳輸的瓶頸。目為強烈的表面粗糙 度政射(surface roughness scattering),這些文獻所 提到在通道中橫越能障後,所謂的衝擊傳輸(balHstic y ansport)並不會發生。因此這樣的元件中的驅動電流 嚴重減少。此外,此種元件一部分的源極(靠近通道的) 未摻雜’驅動電流會因源極的高串聯電阻進一步減少。Q · Ouyang等人於「新穎p金氧半場效電晶體中的二維帶隙工 程(Two-Dimensional Bandgap Engineering in Novel pMOSFETs)」,SISPAD, Seattle September 2000中,以 及X· D· Chen等人於「於源/汲極與通道間具異質接面的 垂直P金氧半場效電晶體(Vertical P-MOSEFTS with heterojunction between source/drain and channel)」,Device Research Conference, Denver, June 2 0 0 0中,有詳細研究。 最近一橫向、高移動率、埋式p型通道異質接面電晶 體(HMHJT)於美國專利號6, 3 1 9, 79 9B1中被Q. Ouyang等 人提出。詳細的模擬研究已由Q. Ouyang等人執行,於 「具較小短通道效應與較佳驅動電流的新穎矽/矽鍺異質 接面P型金氧半場效電晶體(A Novel Si/SiGe
4IBM04050TW.ptd 第12頁 1294670 五、發明說明(7) heterojunction pMOSFET with Reduced Short Channel
Effects andEnhanced Drive Current)」’ IEEE T r a n s a c t i ο n s ο η E 1 e c t r ο n D e v i c e s,4 7 ( 1 0 ),2 0 0 0 中。 此外,Q· Ouyang等人於「驅動電流加強以及短通道效應 與浮體效應減少之新穎垂直P型金氧半場效電晶體之製作 (Fabrication of a Novel Vertical pMOSFET with Enhanced Drive Current and Reduced Short-Channe 1 Effects and Floating Body Effects)」,VLSI Symposium,Kyoto, June 2001中,此種元件已用垂直結 構實施。此情形中,矽上壓縮應變矽鍺被用來實作高性能 pMOSFET。然而,為了實現利用互補式MOSFETs,亦需要垂 直nMOSFET。於本發明中,提出一種異質接面nMOSFET,其 具有低漏電流及高驅動電流。同時,也描述六個實施例及 其方法。 美國專利號5,2 8 5,0 8 8描述一「高電子移動率電晶體 (High Electron Mobility Transistor)」。此元件具有 一對半導體層供作源/汲極電極,由一多晶石夕錯層與/多 晶矽層組成,以在主動區上方形成一部份突出的「愁垂形 (overhanging-shape)」。此情況下,源/汲極與閘極為 自行對準的。然而,其係一平面結構,且仍受短通道效應 影響。 三 發明内容
4IBM04050TW.ptd 第13頁
1294670 五、發明說明(8) 因此可 (hrn —off)特 的抑制,更容許 本發明的一目的在提供具有極佳性能與 元件結構。藉由使用二維帶隙工程,傳統石夕枯二化性的 被避免,且驅動電流與漏電流可獨立地被最佳化。捨可 同時達到非常高的驅動電流及優越的關閉 R1 κ — 性。此外,在這樣的元件中對短通道效應 Μ 0 S F E T科技連續且更積極的尺寸變化。 本發明以不同實施例敘述具有這些優點的n通道 Μ I S F E T結構。本發明的另一方面是這些元件的製程整入 本發明描述的元件在電晶體的源極和體極間具有至少二個 異質能障,然而在通道中,沿電流方向沒有異質能障。由 於源極接面的異質能障,汲極引致能障降低被實質上減少 了,因此,次臨界擺幅(subthreshold swing)與關閉狀 態漏電流(〇 f f - s t a t e 1 e a kage)被減少。同時,既然通 道中沒有異質能障,驅動電流不被量子力學穿隧 (quantum mechanical tunneling)限制。因此,有 了這 些元件,可以達到很高的開/關比(ο η / 〇 f f r a t i 〇)。這 些元件在高速、低漏電及低功率應用中極佳,如DRAM、膝 上型電腦、及無線通訊。 任何具適當帶偏移的異質材料系統,可被用來實現此 元件概念,例如石夕為基礎或是I I I - V材料系統。既然石夕科 技最為成熟,矽為基礎的材料是經濟上最可行與最具吸引 力的。於nMISFET中,兩矽基異質結構對電子而言,具有
1294670 五、發明說明(9) ϋ的帶偏移。一是於鬆弛SiGe緩衝層上之拉伸應 slGe,另一是在鬆弛Si上之拉伸應變SiixyGexCy。每一 & 系統,通道可為表面通道或埋式量子井通道。 何竹 載子移動率不只和晶體中的應變有關,也和晶向 (crystal orientation)有關。最近一究 日日 0 極^物厚度小於2奈米且間極長度小於問 吕,在一(110)基材上沿著<110>方向,電洞 加,而在(1〇〇)基材上沿著〈100>方*,電子移動 兩。因此,本發明所有的實施例具有之通道,較=是在取 Ο 0 0 )平面沿< 1 〇 〇 >方向。 疋在 眭ί Ϊ日^說明垂直n通道電晶體的六個實施例。同 時,也描述母一實施例的製作方法。 四、【實施方式】 碳、矽和鍺的晶格間距分別為3·5 6 7埃 angstrom) 、5.431 埃和 5· 646埃。雙軸(h 伸應變存在於鬆弛矽上的 ^車(blaxial)拉 擬形石夕中,其意味著在擬形材料 (垂直於表面)ΐ古i中有較大晶格間足巨’而成長方向 應變存在於鬆弛矽上的 』另方面壓鈿雙軸 中,其意味著在擬形材或鬆弛siGe上的擬形鍺 在M形材枓中,成長平面(表面)中有較小
1294670
五、發明說明(10) 1=丄:成t方向(垂直於表面)中有較大晶格間 可以I彳^日、=7石厌(<1%)於鬆他石夕上的壓縮應變siGe, 偏移、等效質量、及」=。因此’應變可能影響能帶 盥化2丨瓶-、步 及肊怨岔度。參照圖式,圖1藉由曲線4 帶。刀此产/中各他/緩衝層上拉伸應變Sil-yc妁傳導帶與價 高電子二動座,電子被限制在拉伸應變Si wCy中,其具有 :a綠,而此材料系統適於nM0SFET。此外,圖2藉 帶雷羊、、/刀別顯示鬆弛矽鍺上拉伸應變矽的傳導帶與價 :率在拉伸應變石夕中,其潛在地具有高電子ί ==此材料系統適於副㈣。此二材料系統中,通 C 了為表面通道或埋式w -tL 、、, 你本&窃 、次埋式里子井通迢。圖1至圖2中,縱座標 代表能篁,而橫座標代表深度。 一 =i顯不本發明揭示的一垂直元件之上視圖。圖4顯示 人一二施Γ之剖面圖,其為一 SiGe基垂直nM0SFET 9,包 f ° 面(mesa)、圓枝(column)、台座(pedestal)、 pi liar)或鰭(fln)。於台面、圓柱、台座、柱或鰭的 土上、、其包合幾層’像是源極層14、體極層13、汲極層 通道層I5、絕緣層16、以及閘極電極層17,且可藉由 蝕刻層14、13及12而形成。此元件具有以下結構特性: v 1)沒極為η型鬆弛SiGe 12; 2 )體極為蟲晶p型鬆弛s丨Ge丨3,而摻雜水平被調整以 達到期望的臨界電壓; 3)源極為磊晶拉伸應變矽14;
4IBM04050TW.ptd 第16頁 1294670
m道為j晶拉伸應變⑦丨5,且沿箭頭22及23所 巧兴貝此卩早。通道層丨5與體極丨3在界面8形成 異質接面,、且用以提供如圖2所示的帶偏移,U限制電子 在通道區域I 5中。通道_般藉由相鄰層的摻雜質擴散 摻雜,使得於體極丨3上方之通道i 5,,為_,且於源極i极 汲極12上方之通道區域15,及15,,,換雜為n型。 5)源極14與體極13間在界面5〇0形成應變以/Si Ge異質 接面,且較佳的是,其與源極/體極冶金p/n接面對齊了因 ,異質接面作用以阻擋電子進入體極i 3,而降低了關閉狀 怨電流’因此降低了數個級數大小的關閉狀態電流。異質 接面的其中一層(源極1 4)的應變越高,則能障越高。當元 件關閉時(即關閉狀態),自源極流經體極到汲極的漏電流 較少。 6 )閘極為導電層1 7,重疊整個應變矽通道丨5包含區域 1 5 ’、1 5 "、1 5 ’",且具有絕緣層1 6介於其間。閘極延伸至 且重疊源極1 4及汲極1 2的一部分。重疊源極1 4及汲極1 2的 閘極氧化層可較重疊體極1 3的氧化層為厚,以降低閘極一 源極/閘極-汲極重疊電容。 7 )汲極、源極和閘極電極1 9、2 0及2 1分別連接至汲極 1 2、源極1 4、及閘極1 7。 8 )層1 0及緩衝層1 1作用係提供應變S i Ge樣版 (t e m p 1 a t e ),供汲極1 2的磊晶成長。層1 0可為絕緣層上石夕 (SOI )基材的一部分。層10及1 1亦可為主體鍺(bulk G e)、絕緣層上鍺、絕緣層上石夕鍺或藍寶石上石夕(s 〇 S )。
11
m
4IBM04050TW.ptd 第17頁 1294670 五、發明說明(12) · 可提供適當晶格間距的結合層於基材丨〇及緩衝層丨丨之處。 、、源極/沒極為磷摻雜的情況中,磷自源極/汲極擴散至 k t及體極將可處使超短通道裝置的源極和沒極短路。 加入如圖5所不的蠢晶s丨Ge(^ 2〇〇及2〇1,可降低磷擴散。 此乃本發明的第二實施例,其為具有與第一實施例類似結 構的nMOSFET 24,除了其包含SiGeC層2〇〇及2〇1以阻擋填 分別自層1 2及1 4擴散。當矽層丨4為高度應變時,其臨界厚 度f當小。該層越發應變,應變層開始鬆弛的臨界厚度就 越薄。習知臨界厚度為在一層中產生缺陷以舒緩應變,而 使得該層朝自然晶格間距鬆弛的厚度。晶格間距係由該層 的組成及鬆弛度所決定,而鬆弛度通常假設為1〇〇%。例 如,鍺晶格的晶格間距為矽的1 · 0 4倍。具有5 〇 %鍺組成的 石夕鍺層預期將有1 · 〇 2倍於矽晶格間距的晶格間距。於此實 化例中’奉公弛s i G e層或多晶石夕層或多晶siG e層4 0 0形成於 應變矽層1 4頂部,其一起形成具有足夠金屬矽化厚度的複 合源極。層14具有低於或約為臨界厚度的厚度,而f 4〇〇 可為所欲得的厚度。當SiGeC層2 0 0及201和SiGe 4〇i的厚 度為零時,第二實施例即為第一實施例。 先前顯示於圖4及5的兩個實施例,具有妆伸應變石夕表 面通道1 5。相較於傳統s i通道,應變引致較高的g =移^ 率產生較高的驅動電流。然而,對雜訊應用而言,不希望 有且最好是去除表面粗糙散射。於此情況下,較希望是埋
1294670 五、發明說明(13) __ |式量子井通道。對、古 量子井中的二維氣f式通道而言,電子如同侷限在 I問題,電+更能維持較:m為無表面散射的 广刪了 26的剖面示意圖如2率。本發明第三實施例之 有類似的結構。如 所不係與弟二霄施例具 I或錯的側壁上具右ff不’其於台面、圓柱、台座、柱 |操散射因此具有f ^應變係通道15,由於降低了表面粗 U及間極電々7的移動率、SiGe帽蓋層7〇。、絕緣層 主體矽的:晶Ϊ =例需要鬆弛SiGe緩衝層,以提供不同於 I量的鬆他石夕鍺;έ且二鬆2,衝心以層一般由具有固定鍺含 構上方。 ,,成,其係成長於線性或步階漸進si Ge結 另 - W Jrfr 的拉伸库變^材料系統可用於nM〇SFET以解決問題。石夕上 況下,;“1雁亦具有所欲得的導帶偏移,且於此情 χ #“、、而應變SiGe實質基材。第四實施例為此類希古 表面通道裝罟β 只^列苟此類垂直 夏b〇的剖面示意圖,如圖7所示。此奘罟目女 下列的結構特性: 此裝置具有 1 )✓及極為η型吩6 2; 2) 體極^ 馬Ρ型石夕6 3,而摻雜水平被調整以達到期雙的 臨界電壓; 咬ν J J:的 3) 源極為η型拉伸應變Si h-yGexC^; 4 )通道為矽或應變S i H-bGe aC b6 5,且沿箭頭7 2及7 3所示
4IBM04050TW.ptd 1
第19頁 1294670 五、發明說明(14) 的電流方向沒有異質能障; 5)源極與體極間在界面6 00形成應變Si mGexC/Si異質 接面’且較佳的是,其與源極/體極冶金p/n接面對齊; 6 )閘極為導電層6 7,重疊整個通道6 5及部分的源極6 4 和汲極6 2,且具有絕緣層6 6介於其間; 7 )層6 1可為主體矽或s〇 I基材(未圖示)。層6 1及1 1亦 可為主體鍺(bulk Ge)、絕緣層上鍺、絕緣層上矽鍺或 藍寶石上矽(SOS)。 加入如圖8所示的SiGeC層30 0至汲極,可降f 74的磷自汲極擴散至通道。當31(^(:層64為高度拉伸應變 時’其臨界厚度相當小。因此,加入鬆弛石夕、或多晶石夕 層、或多晶Si Ge層、或多晶Si GeC層45 0以形成複合源極, 其具有足夠金屬矽化厚度。當Si GeC層3 0 0及鬆弛♦或多晶
石夕或多晶SiGe或多晶SiGeC層45 0的厚度為零時,第五實Z 例即為圖7所示的第七實施例。相同地,埋式應變 道元件亦可具有矽帽蓋層,其形成於s i GeC通道6 5及絕e緣^ 層6 6間,類似於圖6之Si Ge帽蓋層70 0介於通道層15心: 層1 6之間。 9及、、、巴緣 在此須注意,上述五個實施例為非對稱元件,其僅 源極和體極間距有異質接面。對某些電路應用 ~ 一 車父佳為對稱,如傳輸閘極電路。本發明之第六實施 9所不,為表面通道nMOSFET 8(H,其旦有類似於楚 '、 、 罘—實施
1294670 五、發明說明(15) 例的結構,但是具有包含薄應變矽層8 0 5及鬆弛S i G e層1 2 之複合汲極。於此結構,矽層1 4及8 0 5應且可具有相同量 的應變。因此,在源極與汲極兩者的異質能障為相同高 度,且元件接近對稱元件。 根據此較佳實施例,本發明進一步包含垂直高移動率 異直接面nMISFET的製程整合方法: 1) 磊晶成長具有或無原位摻雜的汲極、體極及源極的 數層堆疊; 2) 圖案化/钱刻以形成台面、圓柱、台座、柱或鰭; 3) 磊晶成長通道層,如有需要亦成長帽蓋層,於台 面、圓柱、台座、柱或縛的側壁; 4) 成長或沉積絕緣層,其可為氧化物、氮氧化物、其 他高介電係數介電質、或其組合; 5) 成長或沉積閘極電極層,其可為多晶矽、多晶矽 鍺、或金屬,於台面、圓柱、台座、柱或鱗的側壁; 6) 假如源極、汲極、體極或多晶矽,或多晶矽鍺閘極 未原位摻雜,離子植入及退火; 7) 閘極圖案化及蝕刻; 8 )場氧化物沉積; 9 )打開接觸開口; 1 0 )源極/汲極金屬矽化; 1 1 )金屬化製程及金屬燒結。
4IBM04050TW.ptd 第21頁 1294670 五、發明說明(16) 包含高移動率通道與較佳地與源極及/或汲極接面相 符的異質接面的半導體元件,已被描述與解釋。對熟此技 藝者而言明顯的是,不脫離本發明寬廣範疇的修正和變化 是可能的。且這些修正和變化應單被所附申請專利範圍的 範疇限制。 <1
4IBM04050TW.ptd 第22頁 1294670 圖式簡單說明 【圖式簡單說明】 考慮本發明詳細說明時,若與圖式一同閱讀,本發明 的以上及其他特徵、目的和優點會變得明顯,其中: 圖1為在立方矽上的拉伸應變S i C的能帶圖; 圖2為在鬆弛S i Ge緩衝上的拉應變矽的能帶圖; 圖3為一垂直通道M0SFET之上視圖; 圖4為本發明第一實施例之一垂直應變S i /S i Ge表面通 道nMOSFET之剖面示意圖; 圖5為本發明第二實施例之一垂直應變Si/Si Ge表面通 道nMOSFET之剖面示意圖,其具有含碳之擴散阻障層,以 及由應變矽層與鬆弛S i Ge層或多晶矽或多晶矽鍺層組成的 合成源極; 圖6為本發明第三實施例之一垂直應變Si/Si Ge埋式通 道nMOSFET之剖面示意圖,其具有含碳之擴散阻障層,以 及由應變矽層與鬆弛S i Ge層或多晶矽或多晶矽鍺層組成的 合成源極; 圖7為本發明第四實施例之一垂直應變Si/Si Ge表面通 道nMOSFET之剖面示意圖; 圖8為本發明第五實施例之一垂直應變S i C / S i表面通 道nMOSFET之剖面示意圖,其具有含碳之擴散阻障層,以 及由應變S i C層與鬆弛石夕層或多晶石夕或多晶石夕錯層組成的 合成源極; 圖9為本發明第六實施例之一垂直應變S i / S i G e表面通 道nMOSFET之剖面示意圖,其在源極及汲極的兩接面處具
4IBM04050TW.ptd 第23頁 1294670 圖式簡單說明 有異質接面。 圖式元件符號說明 4、5、6、7 曲線 8界面 9 nMOSFET 10基材 11緩衝層 1 2汲極 13體極 1 4源極 15通道層 1 5 ’、1 5 π、1 5 ’ π 通道 1 6絕緣層 1 7閘極電極層 1 8毯覆介電區域 1 9>及極電極 2 0源極電極 2 1問極電極 22、2 3箭頭 24 nMOSFET 26 nMOSFET 6 0垂直表面通道裝置 61基材 6 2沒極 6 3體極 6 4源極 6 5通道 6 5 ’、6 5π、6 5 ’ ’’ 通道 6 6絕緣層 6 7導電層 72、73箭頭 74 nMOSFET 200、 201 SiGeC層 400多晶SiGe層 450多晶SiGeC層 5 0 0界面 6 0 0界面 700 SiGe帽蓋 801表面通道nMOSFET 8 5 0第二應變矽區域 1 0 0 0垂直結構 1001垂直結構 2 0 0 0垂直結構 3 0 0 0垂直結構
4IBM04050TW.ptd 第24頁 1294670 圖式簡單說明 3 0 0 1垂直結構 ΙΙΗΙ 4IBM04050TW.ptd 第25頁

Claims (1)

129!贿)巧u日修_正氺
• 一種形成一場效電晶體的方法,包含步驟: 形成一汲極區域; 形成一體極區域電接觸該汲極區域, 八 /第一半導體材料; /體極&域包含 八一:成:ΐ;區域電接觸該體極㈣’且該源極區域包 各體材料,其中該第二半導體材料不同於該第 /半V體材料,以相對該第一半導體材料形成一異質接 面; 八、 該汲極區域、體極區域及源極區域包含一單晶材料; 該第二半導體材料之該源極區域相對該體極=域的該 第一半導體材料為晶格應變,以提供一選定的導 / 價帶偏移, / 形成一通道區域於該汲極、體極及源極上,其中該通 道區域包含相對該體極的該第一半導體材料之一第三半導 體材料’以在該體極上的通道區域提供一選定的晶格應 變; " 形成一閘極介電層於該通道區域上方,自該汲極區域 且過該體極區域延伸至該源極區域;以及 形成一閘極導電區域於該閘極介電層上方,自該汲極 區域且過該體極區域延伸至該源極區域。 2·如申請專利範圍第1項所述之方法,其中該異質接面及 形成於該源極區域及該體極區域間的一ρη接面,藉由原位 摻雜為實質上對準。
96. 5. η
1294670 3.如申請專利範圍第!項所述之方法,其中該異f接面藉 由選自原位摻雜及離子植入所組成的群組中的步驟, 於該體極區域。 人 4 · 一種形成一垂直場效電晶體之一應變矽通道的方法, 含: 提供具有一第一鬆弛n型SiwGey磊晶區域且具有一大 於lxlO19原子/立方公分(at〇ms/cm3)的摻雜濃度之一 材; 形成一第二p型Si^Gez磊晶區域於該第一鬆弛η型si卜 yGey蠢晶區域上方; 曰口形成一第三應變n型矽磊晶區域於該第二p磊 晶區域上方’該第三應變n型矽磊晶區域具有一大於丨X丨Ο" 原子/立方公分的摻雜濃度水平; •形成一垂直結構包至少一側壁,係自該第一鬆弛n型 Si^Gey磊晶區域且過該第二Sii zGez磊晶區域延伸至該第三 應變η型發蟲晶區域;以及 形成一第四應變矽磊晶區域於該垂直結構之該至少一 侧,的一區域,係自該第一鬆弛n sSii_yGey磊晶區域且過 该第一Si^zGez磊晶區域延伸至該第三應變n型矽磊晶區 域, 其中0<y<l 且〇<ζ<1 。
41BM04050TW -替換頁-〇51107. p t c 第27頁 六、申請專利範圍 5.如申請專利範圍第4項所 形成-閘極介電區域於方法’更包含: Λ A逆第四應變矽磊晶區域上方; 以及 形成-導電區域於該間極介電區域上方。 6.如申請專利範圍第5項所述之方法,更包含 電接觸該 電接觸該 電接觸該 =一;覆Γ區域於該垂直柱結構上方 笛=成一弟一導電介層穿過該毯覆介電區域 弟一鬆弛nsSil_yGey磊晶區域; 一 笛一第二導電介層穿過該毯覆介電區域 弟二應變η型矽磊晶區域;以及 、开^成第二導電介層穿過該毯覆介電區域 導電區域。 7:如申請專利範圍第4項所述之方法,其中該第一鬆弛^型 Su—yGey磊晶區域、該第二ρ型Sii zGez磊晶區域及該第三應 變η型矽磊晶區域係藉一製程形成,該製程選自⑽v — cvd、 RTCVD、LPCVD、APCVD和ΜΒΕ所組成之群組。 8·如申請專利範圍第4項所述之方法,其中在該提供一基 材之步驟前,形成一第五Si^xGex磊晶區域,係具有選自&一 線性漸進鍺含量X及步階漸進鍺含量x所組成的群組中的一 鍺分佈含量,且〇<χ<1。 ' 一 4IBM04050TW-替換頁-051107.ptc 第28頁 1294670 丄 ΜΆ 六、申請專利範圍 曰 修正 •如申請專利範圍第4項所述之方法’其中在該提供一基 ^之步驟前,形成一第五SirXGex蟲晶區域,該第五SiixGex μ晶區域藉由於一氫、氘或氦或其組成之植入步驟後,具 有均勻的鍺含量X的一擬形區域之高溫退火所形成,且〇<χ <1 〇 1 0 ·如申請專利範圍第4項所述之方法,其中該第一鬆弛η 型s ii-yGey磊晶區域,係藉由離子植入隨後退火及原位掺雜 其中之一步驟,摻雜為p塑。 11.如申請專利範圍第4項所述之方法,其中該第二p型SL z G ez遙晶區域相對於該第〆鬆他π型S i 1-y G ey暴晶區域之上表 面為鬆弛的。 1 2·如申請專利範圍第4項所述之方法,其中該垂直結構藉 由反應式離子蝕刻及離子束移除其中之一步驟所形成。 1 3 ·如申請專利範圍第4項所述之方法’其中該垂直結構之 該至少一侧壁於晶體平面(1〇0)中’且與基材之一主要平 面垂直。 1 4 ·如申請專利範圍第4項所述之方法,其中於該垂直結構 之該至少一側壁上的第四應變石夕蟲晶區域,相對於該第〆 鬆弛η型SiwGey磊晶區域為應變的。
4IBM04050TW-替換頁mo?.ptc 第 29 頁 1294670 S6 ΰ· u -----塞號 93115951 ____寺月日_^___ 六、申請專利範圍 1 5· /如申請專利範圍第5項所述之方法,其中該閘極介電區 域係選自一氧化物,氮化物,矽之氧氮化物,與Hf、A1、 ΖΐΓ、La、Y、Ta之氧化物及矽酸鹽的單獨或組合所組成之 群組。 1 6·如申請專利範圍第5項所述之方法,其中該導電區域係 選自金屬、金屬矽化物、掺雜的多晶矽和摻雜的多晶矽鍺 所組成之群組。 1 7·如申請專利範圍第4項所述之方法,其中該第四應變矽 蠢晶區域於分別鄰接該第一鬆弛n型Sii yGey磊晶區域及該 第三應變η型矽磊晶區域的區域旁的區域,係被自動摻雜 為η型,而退火後,於鄰接該第二ρ型Sii zGez磊晶區域的區 域被自動摻雜為p型。 1 8·如申請專利範圍第4項所述之方法,其中該第四應變矽 磊晶區域中的自動摻雜以及該摻雜區域中該摻雜物的活 化,係藉一退火製程執行,該退火製程係選自快速退火、 爐管退火及雷射退火所組成之群組。 1 9·如申請專利範圍第4項所述之方法,其中該第四應變矽 蟲晶區域成長至一厚度,相對該第二鬆弛石夕鍺區域的晶格 間距,該厚度少於該臨界厚度。
4IBM04050TW-替換頁_〇51l〇7.ptc 第 30 頁 1294670 案號 93115951 96. 9. 28±_ 曰 修jeJ 手1月 > 日修(务)正本 六、申請專利範圍 2 0 · —種形成一場效電晶體之一應變矽通道的方法,包含 以下步驟: 提供具有一第一鬆弛η型SiwGey磊晶區域(12)且具有 一大於lxl 019原子/立方公分的摻雜濃度之一基材; 形成一鬆弛Si^-bGeaCb磊晶區域( 200 )於該第一鬆弛η 型Si^yGey磊晶區域(12)上方; 形成一第二P型Si^Gez磊晶區域(13)於該鬆弛Sik bGeaCb蠢晶區域(200)上方, 形成一鬆弛Si卜e_fGeeCf磊晶區域(201)於該第二p型Sip zGez^晶區域(13)上方, 形成一第三應變η型矽磊晶區域(14)於該鬆弛Si^e_ fGeeCf磊晶區域(201)上方,係具有一大於lxlO19原子/立方 公分的摻雜濃度; 形成一垂直結構(1 0 0 1)包至少一側壁,係延伸自該第 一鬆弛η型SUey蟲晶區域(12)且過該鬆弛S i i _a_b G ea Cb蠢晶 區域( 200 )、該第二p型SUa磊晶區域(13)、該鬆弛Sii_e_ fGeeCf磊晶區域(201)及該第三應變η型矽磊晶區域(14); 以及 形成一應變矽磊晶區域(15)於該垂直結構(1001 )之該 至少一側壁的一區域,係自該鬆弛Sil a_bGeaCb磊晶區域 ( 20 0 )且過該第二p型Si^Gez磊晶區域(13),延伸至該鬆弛 Si^_e_fGeeCf 蠢晶區域(201) ’ 其中0<y<l, 0<z<l, 0<a<l, 0<b<l 且〇<(a+b)<l, 0<e<l,
41BM04050TW -替換頁-092807. p t c 第31頁 1294670 狐 9 28 __案號931〗5阳1__年月曰 魅__ 六'申請專利範圍 0<f<1 且〇<(e + f )<1 〇 21 ·如申請專利範圍第2 〇項所述之方法,更包含: 形成一閘極介電區域(16)於該應變矽磊晶區域(15)上 方;以及 形成一導電區域(17)於該閘極介電區域(16)上方。 22·如申請專利範圍第2〇項所述之方法,其中該鬆弛Sii a bGeaCb蠢晶區域(2〇〇)摻雜為η型於1χ1〇16至1χ1〇21原子/立方 公分的範圍。 23·如申請專利範圍第2〇項所述之方法,其中該鬆弛s fGeeCf蠢晶區域(2〇1)摻雜為η型於ιχ1〇ΐ6至1χ1〇21原子/立方 公分的範圍。 24·如申請專利範圍第2〇項所述之方法,其中該應變矽磊 域(15)於鄰接該第一鬆弛η型SUs磊晶區域(12)及 :應,型矽磊晶區域“的區域(15,、15"·)域被自動 払雜為η型,而退火後,於鄰接 Ge磊曰 (13)的區域U5")被自動摻雜為p型。⑴11—域 25.如申請專利範圍第2〇項所述之方法,复 晶區域(1 5 )成長至一厘许,^ /、甲違應、交石夕蘇 又’相對該鬆弛秒錯(1 q、沾曰 格間距,該厚度少於該臨界厚度。/Μ域⑴)的晶
1294670 _案號 9311M51 六、申請專利範圍
2 6 ·如申請專利範圍第2 〇項所述之方法,其中該退火步驟 提供η型自動摻雜於ιχι 〇i5至lxl 02G原子/立方公分的範圍。 2 7 ·如申請專利範圍第2 〇項所述之方法,其中該退火步驟 提供P型自動摻雜於lxl (P至lxl 〇2Q原子/立方公分的範圍。 2 8 ·如申請專利範圍第2 〇項所述之方法,在該形成一第三 應變η型矽磊晶區域(14)的步驟後,更包含形成一鬆弛Si A 1 - hGeh區域(400)於該第三應變η型矽磊晶區域(14)上方,摻 雜該SUeh區域40 0為η型至大於1x1019原子/立方公分的濃 度水平,且其中該形成具有至少一側壁之垂直結構之步 驟’包含該侧壁之一部分係自該S i! _h G eh區域(4 0 0 )形成, 且0<h<l 。 2 9.如申請專利範圍第2 8項所述之方法,其中該區域(4 〇 〇 ) 可為多晶石夕或多晶石夕鍺。 3 0 · —種形成一垂直場效電晶體之一埋式應變石夕通道的方 法,包含步驟: 提供具有一第一鬆弛n SUSVyGey磊晶區域(12)且具有 一大於lxl 019原子/立方公分的摻雜濃度之一基材; 形成一鬆弛Si^bGeaCb磊晶區域(2 0 0 )於該第一鬆弛n 型Si^yGey蠢晶區域(12)上方;
41BM04050TW -替換頁-092807 · p t c 第33頁 1294670 3a __案號93115951_年月日 修矣_ 六、申請專利範圍 形成一第二ρ型Sue,磊晶區域(1 3)於該鬆弛Siw一 bGeaCb磊晶區域( 200 )上方; 形成一鬆弛Si^fGeeCf磊晶區域(201)於該第二P型Si> zGez磊晶區域(13)上方; 形成一第三應變η型矽磊晶區域(14)於該鬆弛Siw_ fGeeCf磊晶區域(201)上方,係具有一大於lxl〇i9原子/立方 公分的摻雜濃度; 形成一垂直結構(1001)包至少一侧壁,包含該第一鬆 弛η型SiwGey磊晶區域(12)、該鬆弛Si^bGeaCb磊晶區域 ( 20 0 )、該第二sue,區域(13)、該Si^fGeeCf 區域(201) 及該第三應變η型矽磊晶區域(14); 形成一應變矽磊晶區域(15)於該垂直結構(1001)之該 至少一側壁的一區域,係自該Sii a bGeaCb區域(2〇〇)且過該 第二P型Si卜zGez磊晶區域(13),延伸至該Si卜卜^6义區域 (2 0 1 );以及 形成一鬆弛Si^Geg區域(700)於該應變矽區域(15)上 方,係自該Si^bGeaCb區域( 200 )且過該第二磊晶區 域(13),延伸至該Si卜e_fGeeCf區域(201), 其中〇<y<l, 0<z<l, 〇<g<i, 〇<a<l, 0<b<l 且〇<(a+b)<l, 0<e<l, 0<f<1 且〇<(e+f)<i 〇 3 1 ·如申請專利範圍第3〇項所述之方法,更包含: 形成一閘極介電區域(1 6)於該SipgGeg區域( 700 )上 方;以及
41BM04050TW -替換頁-092807 · p t c 第34頁 1294670 96. 9 28 ---Mt 93115951_年月」^ 倏正 六、申請專利範圍 开/成一導電區域(17)於該閘極介電區域(16)上方。 32·如申請專利範圍第3〇項所述之方法,其中該應變矽區 域(15)成長至一厚度,相對該鬆弛矽鍺區域(13)的晶格間 距’該厚度少於該臨界厚度。 3 3 ·如申請專利範圍第3 〇項所述之方法,其中在該形成一 第二應變η型石夕磊晶區域(1 4)的步驟後,更包含形成一 s hGeh區域(400)於該第三應變η型矽磊晶區域(14)上方,摻 雜該SUeh區域(400)為η型至大於lxl〇19原子/立方公分的 濃度水平,且其中該形成垂直結構之步驟,包含形成至少 一側壁,其中該侧壁之一部分係自該Si! h(jeh區域(4〇〇)形 成,且〇<h<l 。 3 4 · —種形成一場效電晶體之垂直通道之方法,包含·· 提供具有一大於lxl 〇19原子/立方公分的η型濃度水平 之一第一單晶矽區域(6 2 ); 形成一第二矽磊晶區域(63)於該η型第一單晶矽區域 (62)上方,摻雜該第二矽磊晶區域(63)為ρ型; 形成一第三應變Siw_xGexCy磊晶區域(64)於該第二石夕 磊晶區域(63)上方,摻雜該第三應變Si^xGexCy磊晶區域 (64)為η型至一大於1x1 〇19原子/立方公分的濃度水平,且 與該第二應變矽磊晶區域(63)之界面(600)形成一異質接 面;
4IBM04050TW·替換頁-092807.ptc 第 35 頁 1294670 96 9 28 _案號93115951__年月 q 冑正___ 六、申請專利範圍 形成一垂直結構(3 0 0 〇 )包至少一側壁,包含該第一單 晶矽區域(62)、該第二矽磊晶區域(63)及該第三應變Sii y xGexCy蠢晶區域(64);以及 形成一石夕區域(65)於該垂直結構(3〇〇〇)之該至少一侧 壁之一區域,係自該第一單晶矽區域(62)且過該第二矽磊 晶區域(63),延伸至第三應變Sii y 磊晶區域(64), 其中0<X<1, 〇<y<l 且〇<(x+y)<l 。 3 5 ·如申請專利範圍第3 4項所述之方法,更包含: 形成一閘極介電區域(66)於該石夕區域(65)上方;以及 形成一導電區域(67)於該閘極介電區域(66)上方。 36·如申請專利範圍第34項所述之方法,其中該矽區域 (65)與鍺、碳及鍺碳之其中之一,形成一合金。 3 7·如申請專利範圍第34項所述之方法,其中該矽磊晶區 域(62、63、65)及應變Sii yxGexCy區域(64),係藉一製程 形成’該製程選自 UHV-CVD、RTCVD、LPCVD、APCVD 和MBE 所組成之群組。 3 8 ·如申請專利範圍第3 4項所述之方法,其中該垂直結構 藉由選自反應式離子蝕刻及離子束移除所組成之群組之一 製程所形成。 ’
41BM04050TW -替換頁 _ 〇92807. p t c 第36頁 1294670
如^申請專利範圍第34項所述之方法,其中該垂直結構 C 30 00 )之該至少一側壁於平面(1〇〇)中,且與基材主要 面垂直。 Μ·如申請專利範圍第35項所述之方法,其中該閘極介電 區域(6 6)係選自一氧化物,氮化物,矽之氧氮化物,與 Hf、Al、Zr、U、Υ、Ta之氧化物及矽酸鹽的單獨或组合 所組成之群組。 、 41 ·如申睛專利範圍第3 5項所述之方法,其中該導電區域 (6 7)係選自金屬、金屬矽化物、摻雜的多晶矽和摻雜的多 晶矽鍺所組成之群組。 4 2 ·如申請專利範圍第3 5項所述之方法,其中該石夕區域 (65)於鄰接該η型矽區域(62)及該n型應變SiWxGexC區域 (64)的區域(65,、65"1)被自動摻雜為η型,而退火^,於 鄰接該ρ型矽區域(63)的區域(65,,)被自動摻雜為ρ型。 43·如申請專利範圍第34項所述之方法,其中該應變石夕 (6 5)的自動摻雜以及該摻雜層中該摻雜物的活化,係藉一 退火製程執行,該退火製程係選自快速退火、爐管退火及 雷射退火所組成之群組。 44· 一種準備一場效電晶體之一垂直通道之方法,包含:
4ΙΒΜ04050Τ1-替換頁_092807.ptc 第 37 頁 1294670 δθ. 9.28 案號 93115951 年月 日修正 六、申請專利範圍 提供一第一矽磊晶區域(62)於一單晶基材(61)上,係 具有一大於lxl 〇19原子/立方公分的摻雜濃度; 形成一Sh+jGeiCj磊晶區域( 300 )於該η型矽區域(62) 上方; 形成一第二矽磊晶區域(63)於該Sipi-jGeiCj區域( 300 ) 上方,摻雜該矽磊晶區域(6 3 )為p型; 形成一第三應變Si^yCy磊晶區域(64)於該p型矽磊晶區 域(63)上方,摻雜該第三應變SipyCy磊晶區域(64)為η型至 一大於lxl 019原子/立方公分的濃度水平; 形成一垂直柱結構包至少一側壁,包含該第一矽磊晶 區域(62)、該SiH—jGeiCj磊晶區域(300 )、該第二矽磊晶區 域(63)、該第三應變SiwCy磊晶區域(64);以及 形成一矽區域(65)於該垂直結構(300 1 )之該至少一侧 壁之一區域,係自該8丨1_卜/61(^蟲晶區域(300)且過該第二 矽磊晶區域(63),延伸至該第三應變Sir_yCy磊晶區域 (64),其中0<y<l, 〇<i<l, 〇<j<l 且〇<(i+j)<l 。 45·如申請專利範圍第44項所述之方法,更包含: 形成一閘極介電區域(6 6 )於該矽區域(6 5 )上方;以及 形成一導電區域(67)於該閘極介電區域(66)上方。 4 6.如申請專利範圍第44項所述之方法,更包含形成一石夕 區域( 450 )於該η型應變SipyCy區域(64)上方,摻雜該石夕區 域( 450 )為η型至一大於lxl 019原子/立方公分的濃度水平
1294670 ㈤)28 /
47·如申請專利範圍第44項所述之方法,其中該矽磊晶區 域(62、63、65、450 )、應變SiwCy 區域(64)及Si"— 區域( 300 ),係藉一製程形成,該製程選自UHV —CVD、 RTCVD、LPCVD、APCVD和MBE所組成之群組。 48·如申請專利範圍第44項所述之方法,其中該矽磊晶區 域(62)藉由選自離子植入隨後退火及原位摻雜所組成之群 組之一製程,摻雜為η型。 49·如申請專利範圍第44項所述之方法,其中該垂直結構 藉由選自反應式離子蝕刻及離子束移除所組成之群組之一 製程所形成。 '' 5 0 ·如申請專利範圍第4 4項所述之方法,其中該垂直結構 ( 30 00 )之該至少一側壁於平面(1〇0)中,且與基材平垂 直。 51·如申請專利範圍第45項所述之方法,其中該閘極介電 區域(6 6 )係選自一氧化物,氮化物,;g夕之氧氮化物,與 Hf、Al、Zr、La、γ、Ta之氧化物及矽酸鹽的單獨或紐^人 所組成之群組。 ''口 52·如申請專利範圍第45項所述之方法,其中該導電區域
侧04050TW-替換頁:092807 ptc ' '' -- 1294670 . m 肩 ----93115951 年月日 鉻 六、申請專利範圍 ^ (6 7 )係選自 晶石夕鍺所組 金屬、金屬矽化物、摻雜的多晶矽和摻雜的多 成之群組。 ^5如、申^請專利範圍第44項所述之方法,其中該矽區域 及該f部接該n型石夕區域(62)、該n型應變Sii-ycy區域(64) :h-Nj Gei Cj蟲晶區域(300)的區域(65’ 、65πί)被自動摻 雜為η型,而退火後,於鄰接該ρ型矽區域(63)的區域 (65’’)被自動摻雜為ρ型。 5 4 ·如申凊專利範圍第4 4項所述之方法,其中該應變矽 (6 5 )的自動摻雜以及該摻雜區域中該掺雜物的活化,係藉 一製程執行,該製程係選自快速退火、爐管退火及雷射退 火所組成之群組。 55·如申請專利範圍第44項所述之方法,其中 蟲晶區域(3 0 〇 )相對該矽區域(6 2 )為鬆弛的。 5 6 · —種形成一垂直場效電晶體之一應變矽通道的方法, 包含步驟: 提供具有一第一鬆弛η型S fey磊晶區域(12)且具有 一大於lxl 019原子/立方公分的摻雜濃度之一基材; 形成一苐一 η型應變石夕蠢晶區域(850)於該第一鬆弛η 型Si^yGey磊晶區域(1 2)上方; 形成一第三ρ型Si^Gez磊晶區域(13)於該第二應變η型
41BM04050TW-替換頁-092807. p t c 第40頁 1294670 £ 2 __案號93115951_年··:月 日_修正_ 六、申請專利範圍 矽磊晶區域(8 5 0 )上方; 形成一第四應變η型矽磊晶區域(14)於該第三p型Sip zGez蠢晶區域(13)上方,該第四應變η型石夕遙晶區域(14)具 有一大於lxl 019原子/立方公分的摻雜濃度水平; 形成一垂直結構(1 0 0 0 )包至少一側壁,係自該第一鬆 弛η型Si^yGey磊晶區域(12)且過該第二應變矽區域(850)、 該第三SihGez磊晶區域(13),延伸至該第四應變n型矽磊 晶區域(1 4 );以及 形成一應變矽磊晶區域(15)於該垂直結構(1〇〇〇)之該 至少一側壁的一區域,係自該第一鬆弛η型SiwGey磊晶區 域(12)且過該第二應變矽區域(850)、該第三Si^Ge,磊晶 區域(13),延伸至該第四應變η型矽磊晶區域(14), 其中 0<y<l 且0<ζ<1。 5 7·如申請專利範圍第56項所述之方法,更包含: 形成一閘極介電區域(16)於該應變碎蠢晶區域(15)上 方;以及 形成一導電區域(17)於該閘極介電區域(16)上方。 5 8.如申請專利範圍第57項所述之方法,更包含: 形成一毯覆介電區域(18)於該垂直結構(2000)之上 方; 形成一導電介層(19)穿過該毯覆介電區域(18) ’電接 觸該第一鬆弛η型SUey磊晶區域(12);
41BM04050TW -替換頁-092807. p t c 第41頁 1294670 96. 9. 28 __案號 93115^1_主月日____ 六、申請專利範圍 形成一導電介層(20)穿過該毯覆介電區域(18),電接 觸該第四應變η型矽磊晶區域(14);以及 形成一導電介層(21)穿過該毯覆介電區域(18),電接 觸該導電區域(17)。 59.如申請專利範圍第56項所述之方法,其中該第一鬆弛η 型SiwGey磊晶區域(12)、該第二η型應變矽磊晶區域 (850 )、該第三ρ型^丨zGez磊晶區域(13)及該第四應變η型 石夕蠢晶區域(14)係藉一製程形成,該製程選自UHV-CVD、 RTCVD、LPCVD、APCVD和ΜΒΕ所組成之群組。 60·如申請專利範圍第56項所述之方法,其中在該提供一 基材之步驟前,形成一Si^Gex磊晶區域(11),其具有選自 線性漸進鍺含量X及步階漸進鍺含量X所組成的群組中的一 錯分佈含量,且〇<χ<1。 61·如申請專利範圍第56項所述之方法,其中在該提供一 基材之步驟前,形成一3^〜磊晶區域(丨丨),該 Ge石 晶區(11)域藉由於一氫、氘或氦或其組成之植入步^後^猫 具有均勻的鍺含量x的一擬形區域之高溫退火所形成,且〇
1294670 96 9 28 ---案號93115951__泰 』 曰 件工_ 六、申請專利範圍 摻雜為ρ型。 6 3.如申請專利範圍第56項所述之方法,其中該第二η型矽 磊晶區域(13),相對於該第〆鬆弛η型SiwGey磊晶區域 (12)之上表面為應變的。 64·如申請專利範圍第56項所述之方法,其中該第三p型 Sii-zGez磊晶區域(13),相對於該第一鬆弛η型SUey磊晶 區域(12)之上表面為鬆弛的。 6 5 ·如申請專利範圍第5 6項所述之方法,其中該垂直結構 (1000)藉由反應式離子蝕刻及離子束移除其中之一步驟所 形成。 6 6 ·如申請專利範圍第5 6項所述之方法,其中該垂直結構 (1000)之該至少一側壁於平面(100)中,且與基材之一主 要平面垂直。 6 7.如申請專利範圍第5 6項所述之方法,其中於該垂直結 構(1 0 0 0 )之該至少一側壁上的該應變矽磊晶區域(1 5 ),相 對於該第一鬆弛η型Sfey磊晶區域(1 2)為應變的。 6 8.如申請專利範圍第57項所述之方法,其中該閘極介電 區域(1 6 )係選自一氧化物,氮化物,矽之氧氮化物,與
41BM04050TW-替換頁-092807. p t c 第43頁 1294670 96 9 2B
----- 9311M51 六、申請專利範圍 Hf、A1、Zr、La、Y、Ta之氧化物及矽酸鹽的單獨或組合 所組成之群組。 69.如申請專利範圍第57項所述之方法,其中該導電區域 (1 7)係選自金屬、金屬矽化物、摻雜的多晶矽和摻雜的多 晶石夕鍺所組成之群組。 如申請專利範圍第56項所述之方法,其中該應變矽磊 晶區域(15)於分別鄰接該第一鬆弛11型§ (12)及該第三應變n型妙蠢晶區域(14)的-區^(15,及; =動摻雜為η型,而退火後,於鄰接該第二?型叫» 曰日區域(13)的區域(1 5")被自動摻雜為ρ型。 7曰1如中請專利㈣⑽項所述之方法,其中該應變石夕蟲 =區域(15)中的自動摻雜以及該摻雜區域中該摻雜物的活 Hf —退火製程執行,料火製程係選自快速退火、 爐官退火及雷射退火所組成之群組。 7 2. —種場效電晶體,包含: 一沒極區域; 且包含一第一半導 一體極區域,電接觸該汲極區域, 體材料; / 區域,且包含一第二半導 於該第一半導體材料,以 一源極區域,電接觸該體極 體材料,該第二半導體材料不同
1294670 -Μ 號 9311 MM 六、申請專利範圍 相對該第一半導體材 該沒極區域、體 «亥弟一半導體材 該第一半導體材料為 或價帶偏移; 一通道區域形成 域包含相對該體極的 料’以在該體極上的 一閘極介電層於 過該體極區域,延伸 一閘極導電區域 域且過該體極區域, 料形成 極區域 料之該 晶格應 於該沒 該第一 通道區 該通道 至該源 於該閘 延伸至 9, 2ϋ 曰 修正 一異質接面; 及源極區域包含一單晶材料; 源極區域,相對該體極區域的 變,以提供一選定的導帶及/ 極、體極及源極上,該通道區 半導體材料之一第三I導體材 域提供一選定的晶格應變; 區域上方,係自該汲極區域且 極區域;以及 極介電層上方,係自該汲極區 吞玄源極區域。 W·如申請專利範圍第72項所述之場效電晶體,其中該異 質接面及形成於該源極區域及該體極區域間的一ρη接面 藉由原位摻雜為實質上對準。 74·如申請專利範圍第72項所述之場效電晶體,其中該異 質接面藉由選自原位摻雜及離子植入所組成的群組中的步 驟,形成於該體極區域。 7 5. —種一垂直場效電晶體之應變矽通道,包含: 一基材,該基材具有一第一鬆弛η型Si^Gey磊晶區域 (12),且該第一區域(12)具有一大於1x1 〇19原子/立方公分
41BM04050TW -替換頁-092807. p t c 第45頁 1294670 96. 9· 28 ’_案號93115951_年月日 修正__ 六、申請專利範圍 的摻雜濃度; 一第二Ρ型SUi磊晶區域(13)於該第一鬆弛η型Sip yGey蟲晶區域(12)上方; 一第三應變η型矽磊晶區域(14)於該第二p型Si^Ge,磊 晶區域(13)上方,該第三應變η型矽磊晶區域(14)具有一 大於lxl 019原子/立方公分的摻雜濃度水平; 一垂直結構( 1 000 )包至少一侧壁,係自該第一鬆弛η 型Sii_yGey蠢晶區域(1 2 )且過該第二ρ型Sii_zGez>^晶區域 (13),延伸至第三應變n型矽磊晶區域(14);以及 一應變矽磊晶區域(15)於該垂直結構(1 000 )之該至少 一側壁的一區域上方,係自該第一鬆弛η型SUey磊晶區 域(12)且過該第二p型3^6,磊晶區域(13),延伸至該第 三應變η型矽磊晶區域(14),其中〇<y<l且〇<ζ<1。 7 6 ·如申請專利範圍第7 5項所述之應變矽通道,更包含: 一閘極介電區域(1 6 )於該應變矽磊晶區域(1 5 )上方; 以及 一導電區域(17)於該閘極介電區域(16)上方。 7 7 ·如申請專利範圍第7 6項所述之應變矽通道,更包含: 一毯覆介電區域(18)於該垂直結構(2000)上方; 一導電介層(19)穿過該毯覆介電區域(18),電接觸該 第一鬆弛η型SiwGey磊晶區域(12); 一導電介層(20)穿過該毯覆介電區域(18),電接觸該
41BM04050TW -替換頁· 092807. p t c 第46頁 1294670 96. 9 28 __案號931]5沾1__^<月 日 修i-— 六、申請專利範圍 第三應變η型石夕蠢晶區域(1 4 );以及 一導電介層(21)穿過該毯覆介電區域(18),電接觸該 導電區域(17)。 78·如申請專利範圍第75項所述之應變矽通道,其中在該 提供一基材之步驟前,形成〆Sii-xGex蠢晶區域(11),具有 選自一線性漸進鍺含量x及步階漸進鍺含量X所組成的群級 中的一鍺分佈含量,且〇<χ<1。 79·如申請專利範圍第75項所述之應變矽通道,其中該第 一鬆弛η型Si^yGey磊晶區域(12)掺雜為η型。 8 0 ·如申請專利範圍第7 5項所述之應變石夕通道’其中該第 二Ρ型SUez磊晶區域(13),相對於該第一鬆弛η型Si^yGe 磊晶區域(12)之上表面為鬆弛的。 : 8 1 ·如申請專利範圍第7 5項所述之應變矽通道,其中該垂 直結構( 1 000 )之該至少一側壁於平面(1 00)中,且與基椅 之一主要平面垂直。 8 2 ·如申睛專利範圍第7 5項所述之應變石夕通道,其中於讀 垂直結構(1 〇 〇 〇 )之該至少一侧壁上的該應變矽磊晶區域 (15) ’相對於該第一鬆弛n型811^〜磊晶區域(12)為應變 的0
1. ^ 1294670 曰 修正 皇號UlRQq 六、申請專利範圍 83·如申請專利範圍第76項所述之應變矽通道,其中該閘 極介電區域(1 6)係選自一氣化物,氮化物,石夕之氧氮化 物’與Hf、Al、zr、La、γ、Ta之氧化物及矽酸鹽的單獨 或組合所組成之群組。 84·如申請專利範圍第76項所述之應變矽通道,其中該導 電區域(1 7)係選自金屬、金屬矽化物、摻雜的多晶矽和摻 雜的多晶矽鍺所組成之群組。 8 5 ·如申请專利範圍第γ 5項所述之應變石夕通道,其中該應 變矽磊晶區域(1 5)於分別鄰接該第一鬆弛η型Si^yGey磊晶 區域(12)及該第三應變η型石夕蟲晶區域(14)的區域(15,)及 (15π|)被自動摻雜為η型,而退火後,於鄰接該第二psSi zGez磊晶區域1 3的區域(1 5’’)被自動摻雜為p型。 86·如申請專利範圍第75項所述之應變矽通道,其中該應 變矽磊晶區域(15)成長至一厚度,相對該鬆弛矽鍺區域、 (1 3)的晶格間距,該厚度少於該臨界厚度。 8 7. —種一垂直場效電晶體之應變矽通道,包含·· 一基材,該基材具有一第一鬆他η型SiwGey磊晶區域 (12),且該第一區域(12)具有一大於lxl 019原子/立方公分 的摻雜濃度; 77
41BM04050TW -替換頁-092807. p t c 第48頁 1294670 r _案號93115951_车月日 修正_ 六、申請專利範圍 一鬆弛Si^bGeaCb磊晶區域( 200 )於該第一鬆弛η型Si^ yGey蠢晶區域(12)上方; 一第二P型Si卜zGez磊晶區域(13)於該鬆弛Si卜a_bGeaCb磊 晶區域(200)上方; 一鬆弛Si卜6_|Gee蠢晶區域(20 1)於該第二p型Sii_zGez 蠢晶區域(1 3 )上方; 一第三應變η型矽磊晶區域(14)於該鬆弛Sipe-fGeeCf磊 晶區域(201)上方,且具有一大於ΐχΐ 〇i9原子/立方公分的 摻雜濃度; 一垂直結構(1 00 1 )包至少一側壁,係延伸自該第一鬆 弛η型Si^yGey磊晶區域(12)且過該鬆弛Si!十bGeaCb磊晶區域 ( 200 )、該第二SUt磊晶區域(13)、該鬆弛Si^fGeeCf磊 晶區域(201)及該第三應變n型矽磊晶區域(14);以及 一應變矽磊晶區域(15)於該垂直結構(1〇〇1)之該至少 一側壁的一區域,係自該鬆弛Si^bGeaCb磊晶區域( 200 )且 過該第二Si卜zGez蠢晶區域(13),延伸至該鬆弛Si卜e_fGeeCf 磊晶區域(201),其中0<y<l, 〇<z<i, 〇<a<i, 〇<b<l 且〇 《a + b)<l,0<e<l,0<f<l 且〇<(e + f)<i 〇 8 8 ·如申請專利範圍第8 7項所述之應變石夕通道,更包含: 一閘極介電區域(16)於該應變矽磊晶區域(丨5)上方; 以及 一導電區域(17)於該閘極介電區域(16)上方。
41BM04050TW -替換頁-092807. p t c 第49頁 !294670 修正 曰 丄 I號931臟1 六、申請專利範圍 8 9 ·如申請專利範圍第8 7項所述 弛Si, (V Γ石曰r丄/ΟΛΛ、 之應變矽通道,其中該鬆 hnGeaCb磊晶區域(2〇〇)摻雜A , 子/立方公分的範圍。 /雜為η型於lxl〇16至lxl(F原 9〇.如申請專利範圍第87項所述 弛Si Γα Γ石s ^之應變矽通道,其中該鬆 i-e_fGe Cf;K晶區域(2〇1)換雜兔 子/立古八v l ^设雜為η型於1χ1〇ΐ6至lxl02i原 丁/立方公分的範圍。 91·如申請專利範圍第87項所述 ^ ^ ^ ^ 變矽磊晶區域(15)於鄰接嗜第\應&矽通道,其中該應 (12)乃# # J & ▲ 第一鬆弛nSSiHGey磊晶區域 被自二μ變11型矽磊晶區域(14)的區域(15,、15,") 破自動摻雜為η型,而退火後,於 晶區域以第一 Pi§ii_zGez^ 坺C13)的&域(15 )被自動摻雜為p型。 9變W日請㈣範圍第87項料之應變料道,其中該應 曰區域U5)成長至-厚度’相對該鬆弛石夕錯區域 U 3)的晶格間距,該厚度少於該臨界厚度。
1294670 ^6.9 28 __MJl 9311 MB 1 _年 月 曰_____ 六、申請專利範圍 9 4 ·如申請專利範圍第9 3項所述之應變矽通道,其中該區 域(4 0 0 )可為多晶矽或多晶矽鍺。 95·如申請專利範圍第87項所述之應變矽通道,更包含: 形成一鬆弛Sii_gGeg區域(700)於該應變石夕區域(15)上 方,係自該SU^Cb區域(2〇〇)且過該第二SUs磊晶區 域(13),延伸至該Si卜e_fGeeCf區域(201),其中0<g<1 0 96· —種一場效電晶體之垂直通道,包含: 具有一大於lxl 019原子/立方公分的η型濃度水平之一 第一單晶矽區域(62); 一第二石夕蟲晶區域(63)於該η型第一單晶砂區域(62) 上方,該第二矽磊晶區域(63)摻雜為ρ型; 一第三應變S ii—y—x G ex Cy磊晶區域(6 4 )於該第二石夕蟲晶 區域(63)上方,該第三應變Si^GexCy磊晶區域(64)摻^ 為η型至一大於lxl 019原子/立方公分的濃度水平,且與該 第二碎蠢晶區域(63)之界面(600)形成一異質接面; 一垂直結構( 30 0 0 )包至少一侧壁,包含該第一單晶石夕 區域(62)、該第二矽磊晶區域(63)及該第三應變 xGexCy磊晶區域(64);以及
41BM04050TW -替換頁-092807. p t c 第51頁 1294670 96. 9 28 案號 93115951 年 月_日修正 六、申請專利範圍 一矽區域(6 5 )於該垂直結構(3 〇 〇 〇 )之該至少一側壁之 一區域,係自該第一單晶矽區域(6 2 )且過該第二矽磊晶區 域(63),延伸至第三應磊晶區域(64), 其中0<x<l, 0<y<l 且〇<(x+y)<l 。 9 7·如申請專利範圍第96項所述之垂直通道,更包含·· 一閘極介電區域(66)於該矽區域(65)上方;以及 一導電區域(67)於該閘極介電區域(66)上方。 98·如申請專利範圍第96項所述之垂直通道,其中該矽區 域(65)與錯及碳及單一或其組合形成一合金。 99·如申請專利範圍第96項所述之垂直通道,其中該垂直 結構(3000)之該至少一側壁於平面(100)中,且與基材主 要平面垂直。 10 0.如申請專利範圍第97項所述之垂直通道,其中該閘極 介電區域(66)係選自一氧化物,氮化物,矽之氧氮化物, 與Hf、Al、Zr、La、Y、Ta之氧化物及矽酸鹽的單獨或組 合所組成之群組。 1 0 1 ·如申請專利範圍第9 7項所述之垂直通道,其中該導電 區域(6 7 )係選自金屬、金屬矽化物、摻雜的多晶矽和摻雜
1294670 9u · 2B ------—案號 93115951__年月 g_修正__ 六、申請專利範圍 的多晶矽鍺所組成之群組。 102·如申請專利範圍第97項所述之垂直通道,其中該石夕區 域(65)於鄰接該η型矽區域(62)及該n型應變區 域(64)的區域(65,、65”,)被自動摻雜為_,而^鄰^該p 型石夕區域(63)的區域(65,,)被自動摻雜為p型。 1 0 3 · —種一場效電晶體之垂直通道,包含: 一第一矽磊晶區域(62)於一單晶基材(61)上,且具有 一大於lxl 019原子/立方公分的摻雜濃度; 一Sii-i—jGeiCj蟲晶區域(300)於該η型石夕區域(62)上 方; 一第二石夕蠢晶區域(63)於該SiH^GeiCj區域(3〇〇)上 方,該矽磊晶區域(6 3 )摻雜為p型; 一第二應變Si^yCy蠢晶區域(64)於該p型石夕蠢晶區域 (63)上方’該第二應變Sfy蟲晶區域(64)捧雜為η型至一 大於lxl 019原子/立方公分的濃度水平; 形成一垂直柱結構包至少一側壁,包含該第一石夕蠢晶 區域(62)、該Sii—i—jGeiCj蟲晶區域(300)、該第二石夕蠢晶區 域(63)、該第三應變SipyCy磊晶區域(64);以及 一石夕區域(6 5 )於該垂直結構(3 0 0 1 )之該至少一側壁之 '區域’係自$亥S j G a Cj蟲晶區域(3 0 0 )且過該第二碎蠢 晶區域(63),延伸至該第三應變SiwCy磊晶區域(64), ο
其中0<y<l, 〇<i<l, 〇<j<l 且0<(i+j)<l
41BM04050TW -替換頁-092807. ρ t c 第53頁
1294670 _ 案號 9311 9α 9. 28__ 六、申請專利範圍 10 4.如申請專利範圍第103項所述之垂直通道,更包含: 一閘極介電區域(66)於該矽區域(65)上方;以及 一導電區域(67)於該閘極介電區域(66)上方。 105·如申請專利範圍第103項所述之垂直通道,更包含形 成一矽區域(450 )於該η型應變Si^yCy區域(64)上方,該矽 區域(450)摻雜為η型至一大於Ιχΐ 〇!9原子/立方公分的濃度 水平。 10 6·如申請專利範圍第1〇3項所述之垂直通道,其中該蚕 直結構(3000)之該至少一側壁於平面(1〇〇)中,且與基材 平面垂直。 1 0 7 ·如申請專利範圍第1 〇 4項所述之垂直通道,其中該閘 極介電區域(66)係選自一氧化物,氮化物,矽之氧氮化 物,與Hf Al、Zr、La、Υ、Ta之氧化物及矽酸鹽的單獨 或組合所組成之群組。 108.如申請專利範圍第1〇4項所述之垂直通道,盆 電區域(67)係選自金屬、金屬矽化物、 八以守 興’ 初 播雜的多晶矽牙口旅 雜的多晶矽鍺所組成之群組。 夕7和得 圍 109.如申請專利範 第103項所述之垂直通道,其中該應
1294670 _ 案號 93l15QFi1 96* 28 曰 修正 六、申請專利範圍 變石夕區域(65)於鄰接該η型矽區域(62)、該η型應變SiwCy 區域(64)及該SiHyGeiCj磊晶區域( 300 )的區域(65,、65π,) 被自動摻雜為η型,而於鄰接該ρ型矽區域(63)的區域 (65”)被自動摻雜為ρ型。 II 0 ·如申請專利範圍第丨〇 3項所述之垂直通道,其中該應 變石夕(6 5)的自動摻雜以及該摻雜區域中該摻雜物的活化, 係藉一製程執行,該製程係選自快速退火、爐管退火及雷 射退火所組成之群組。 III ·如申請專利範圍第1 03項所述之垂直通道,其中該s土卜 i—jGeiCj磊晶區域(3〇〇 )相對該矽區域(62)為鬆弛的。 112·如申請專利範圍第103項所述之垂直通道,其中該垂 直結構(3 0 0 1 )具有至少一侧壁,包含該側壁一部分车 該矽區域( 450 )形成。 ’、 11 3 ·如申請專利範圍第11 2項所述之垂直通道,其中該石夕 £域(450)可為早晶碎、多晶碎或多晶♦鍺。 114· 一種一垂直場效電晶體之應變碎通道,包含· 一基材,該基材具有一第一鬆弛nSSiiyGey磊晶區域 (12) ’且該第一區域(12)具有一大於1χΐ 〇19原子/立方八八 的摻雜濃度; “刀
41BM04050TW-替換頁-092807. p t c 1294670 __案號93115951_年 月日修__ 六、申請專利範圍 一第二η型應變矽磊晶區域(85 0 )於該第一鬆弛η型Si!_ yGey磊晶區域(12)上方; 一第三P型Si^Gez磊晶區域(13)於該第二應變η型矽磊 晶區域(850)上方; 一第四應變η型矽磊晶區域(14)於該第三ρ型SinGez磊 晶區域(13)上方,該第四應變n型矽磊晶區域(14)具有一 大於lxl 019原子/立方公分的摻雜濃度水平; 一垂直結構( 1 000 )包至少一側壁,係自該第一鬆弛η 型Si^yGey磊晶區域(12)且過該第二應變矽區域(850)、該 第三Si^Gez磊晶區域(1 3),延伸至該第四應變η型矽磊晶 區域(1 4 );以及 一應變矽磊晶區域(15)於該垂直結構( 1 0 00 )之該至少 一侧壁的一區域上方,係自該第一鬆弛η型Si^Gey磊晶區 域(12)且過該第二應變矽區域(850 )、該第三3^62磊晶 區域(13),延伸至該第四應變η型矽磊晶區域(14),其中0 <y<l 且0<ζ<1 ° 11 5 ·如申請專利範圍第11 4項所述之應變矽通道,更包 含: 一閘極介電區域(1 6)於該應變矽磊晶區域(1 5 )上方; 以及 一導電區域(17)於該閘極介電區域(16)上方。 11 6 ·如申請專利範圍第11 5項所述之應變矽通道,更包
41BM04050TW -替換頁-092807. p t c 第56頁 1294670
一毯覆介電區域(18)於該垂直結構(2〇〇〇)之上方; a 一導電介層(19)穿過該毯覆介電區域(18),電接觸該 第一鬆弛η型S^yGey磊晶區域(12); ^ 一導電介層穿過該毯覆介電區域(18),電接觸該 弟四應變η型碎蠢晶區域(14);以及 一導電介層(21)穿過該毯覆介電區域(18),電接觸該 導電區域(17)。 11 7 ·如申请專利範圍第11 4項所述之應變矽通道,其中在 忒提供一基材之步驟前,形成一Sii xGex磊晶區域(1丨),复 具有選自線性漸進鍺含量X及步階漸進鍺含量χ所組成的^ 組中的一鍺分佈含量,且〇<χ<1。 V 之應變矽通道,其中該 該第一鬆弛η型Si^yGey磊 118·如申請專利範圍第114項所述 弟一 η型梦蠢晶區域(13),相對於 晶區域(12)之上表面為應變的。 11 9 ·如申請專利範圍第丨1 4項所述之應 苐二P型Sii_zGez^晶區域(13),相對於 yGey蠢晶區域(12)之上表面為鬆弛的。 變矽通道,其中該 該 第一鬆弛η型Si 1 2 0 ·如申请專利範圍第11 4項所述之應變石夕通道,盆上 垂直結構( 1 000 )藉由反應式離子蝕刻及鏟 八中該 4汉離子束移除其中之
41BIV丨04050TW-替換頁-092807. p t c 第57頁 1294670 96.9.28 ___案號 93115951__^__J-§--____ 六、申請專利範圍 一步驟所形成。 1 2 1 ·如申請專利範圍第丨丨4項所述之應變矽通道,其中該 垂直結構( 1 000 )之該至少一側壁於平面(1〇〇)中,且與基 材之一主要平面垂直。 1 2 2 ·如申請專利範圍第丨丨4項所述之應變矽通道,其中於 該垂直結構(1 000 )之該至少一侧壁上的該應變矽磊晶區域 (15) ’相對於該第一鬆弛η型Si^yGey蟲晶區域(12)為應蠻 的。 123·如申請專利範圍第115項所述之應變矽通道,其中該 閘極介電區域(1 6)係選自一氧化物,氮化物,矽之氧氮^ 物,與Hf、Al、Zr、La、Y、Ta之氧化物及矽酸鹽的單 或組合所組成之群組。 1 询 124·如申請專利範圍第115項所述之應變矽通道,1 導電區域(17)係選自金屬、金屬矽化物、摻雜的、曰該 摻雜的多晶矽鍺所組成之群組。 曰曰夕和 125.如申請專利範圍第114項所述之應變矽通道,1 應變矽遙晶區域(丨5)於分別鄰接該第一鬆弛η型s丨,、中讀 晶區域及該第三應變n型石夕為晶區域(14)的區ey義 15及15 )被自動換雜為n型,而於鄰接該第二p型^ 〇
41BM04050TW-替換頁-092807. p t c ^ 1294670 泥 9 28 _案號93115951_年月曰 修正_ 六、申請專利範圍 磊晶區域(13)的區域(15π )被自動摻雜為p型。 1 2 6.如申請專利範圍第11 4項所述之應變矽通道,其中該 應變矽磊晶區域(1 5 )成長至一厚度,相對該鬆弛矽鍺區域 (1 3 )的晶格間距,該厚度少於該臨界厚度。
41BM04050TW -替換頁-092807. p t c 第59頁
TW093115951A 2003-06-17 2004-06-03 Ultra scalable high speed heterojunction vertical n-channel misfets and methods thereof TWI294670B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/463,038 US7205604B2 (en) 2001-03-13 2003-06-17 Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof

Publications (2)

Publication Number Publication Date
TW200511521A TW200511521A (en) 2005-03-16
TWI294670B true TWI294670B (en) 2008-03-11

Family

ID=34102607

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093115951A TWI294670B (en) 2003-06-17 2004-06-03 Ultra scalable high speed heterojunction vertical n-channel misfets and methods thereof

Country Status (3)

Country Link
US (2) US7453113B2 (zh)
JP (1) JP4299736B2 (zh)
TW (1) TWI294670B (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI294670B (en) * 2003-06-17 2008-03-11 Ibm Ultra scalable high speed heterojunction vertical n-channel misfets and methods thereof
KR100585111B1 (ko) * 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
US7776672B2 (en) 2004-08-19 2010-08-17 Fuji Electric Systems Co., Ltd. Semiconductor device and manufacturing method thereof
JP4857578B2 (ja) * 2005-03-28 2012-01-18 富士電機株式会社 半導体装置の製造方法
WO2007036876A1 (en) * 2005-09-28 2007-04-05 Nxp B.V. Double gate non-volatile memory device and method of manufacturing
JP4857697B2 (ja) * 2005-10-05 2012-01-18 トヨタ自動車株式会社 炭化珪素半導体装置
JP5779702B2 (ja) * 2008-02-15 2015-09-16 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置及びその製造方法
JP6014726B2 (ja) * 2008-02-15 2016-10-25 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置及びその製造方法
JP2010123744A (ja) 2008-11-19 2010-06-03 Elpida Memory Inc 半導体装置および半導体装置の製造方法
JP2010135592A (ja) * 2008-12-05 2010-06-17 Elpida Memory Inc 半導体装置及び半導体装置の製造方法
US8124487B2 (en) * 2008-12-22 2012-02-28 Varian Semiconductor Equipment Associates, Inc. Method for enhancing tensile stress and source/drain activation using Si:C
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8178400B2 (en) * 2009-09-28 2012-05-15 International Business Machines Corporation Replacement spacer for tunnel FETs
CN101819996B (zh) * 2010-04-16 2011-10-26 清华大学 半导体结构
JP5066590B2 (ja) * 2010-06-09 2012-11-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置とその製造方法
US8258031B2 (en) 2010-06-15 2012-09-04 International Business Machines Corporation Fabrication of a vertical heterojunction tunnel-FET
US8633096B2 (en) 2010-11-11 2014-01-21 International Business Machines Corporation Creating anisotropically diffused junctions in field effect transistor devices
US9478616B2 (en) 2011-03-03 2016-10-25 Cree, Inc. Semiconductor device having high performance channel
JP2013038336A (ja) * 2011-08-10 2013-02-21 Toshiba Corp 半導体装置
KR101376221B1 (ko) * 2011-12-08 2014-03-21 경북대학교 산학협력단 질화물 반도체 소자 및 그 소자의 제조 방법
CN103988308B (zh) 2011-12-09 2016-11-16 英特尔公司 晶体管中的应变补偿
CN103247331B (zh) * 2012-02-13 2016-01-20 中国科学院微电子研究所 半导体存储器件及其访问方法
CN102751331B (zh) * 2012-07-16 2015-07-22 西安电子科技大学 一种应变SiGe回型沟道NMOS集成器件及制备方法
CN102751280B (zh) * 2012-07-16 2015-08-19 西安电子科技大学 一种应变SiGe回型沟道BiCMOS集成器件及制备方法
CN102738150B (zh) * 2012-07-16 2015-09-16 西安电子科技大学 一种应变SiGe BiCMOS集成器件及制备方法
CN105493252A (zh) * 2013-09-26 2016-04-13 英特尔公司 用于片上系统(SoC)应用的垂直非平面半导体器件
JP6226765B2 (ja) * 2014-02-07 2017-11-08 株式会社東芝 半導体素子、半導体素子の製造方法、および半導体装置
US9368601B2 (en) 2014-02-28 2016-06-14 Sandisk Technologies Inc. Method for forming oxide below control gate in vertical channel thin film transistor
EP3123520A4 (en) * 2014-03-28 2017-11-22 Intel Corporation Selectively regrown top contact for vertical semiconductor devices
KR102167517B1 (ko) 2014-03-28 2020-10-19 인텔 코포레이션 수직 반도체 디바이스들을 제조하기 위한 종횡비 트래핑(art)
US9318447B2 (en) 2014-07-18 2016-04-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of forming vertical structure
US9847233B2 (en) * 2014-07-29 2017-12-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9472575B2 (en) * 2015-02-06 2016-10-18 International Business Machines Corporation Formation of strained fins in a finFET device
DE102015110490A1 (de) * 2015-06-30 2017-01-05 Infineon Technologies Austria Ag Halbleiterbauelemente und ein Verfahren zum Bilden eines Halbleiterbauelements
US9647112B1 (en) * 2016-09-22 2017-05-09 International Business Machines Corporation Fabrication of strained vertical P-type field effect transistors by bottom condensation
US9799777B1 (en) * 2016-10-07 2017-10-24 International Business Machines Corporation Floating gate memory in a channel last vertical FET flow
US9911754B1 (en) 2016-10-07 2018-03-06 Macronix International Co., Ltd. 3D memory structure
US10403751B2 (en) * 2017-01-13 2019-09-03 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US10170618B2 (en) 2017-03-02 2019-01-01 International Business Machines Corporation Vertical transistor with reduced gate-induced-drain-leakage current
US9853028B1 (en) * 2017-04-17 2017-12-26 International Business Machines Corporation Vertical FET with reduced parasitic capacitance
EP3404701A1 (en) * 2017-05-15 2018-11-21 IMEC vzw A method for defining a channel region in a vertical transistor device
US10971629B2 (en) * 2018-06-28 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned unsymmetrical gate (SAUG) FinFET and methods of forming the same
US10672899B2 (en) * 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Tunnel field-effect transistor with reduced trap-assisted tunneling leakage
KR102220032B1 (ko) 2018-08-20 2021-02-25 한국과학기술원 폴리 실리콘 이미터 층이 삽입된 2-단자 바이리스터 및 그 제조 방법
US10777469B2 (en) * 2018-10-11 2020-09-15 International Business Machines Corporation Self-aligned top spacers for vertical FETs with in situ solid state doping

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2727818B2 (ja) * 1991-09-17 1998-03-18 日本電気株式会社 半導体装置
US5914504A (en) * 1995-06-16 1999-06-22 Imec Vzw DRAM applications using vertical MISFET devices
US5920088A (en) * 1995-06-16 1999-07-06 Interuniversitair Micro-Electronica Centrum (Imec Vzw) Vertical MISFET devices
US5963800A (en) * 1995-06-16 1999-10-05 Interuniversitair Micro-Elektronica Centrum (Imec Vzw) CMOS integration process having vertical channel
JP3389009B2 (ja) 1996-07-02 2003-03-24 株式会社東芝 半導体装置およびその製造方法
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
JPH10112543A (ja) 1996-10-04 1998-04-28 Oki Electric Ind Co Ltd 半導体素子および半導体素子の製造方法
US6319799B1 (en) * 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
JP3655175B2 (ja) * 2000-06-30 2005-06-02 株式会社東芝 半導体記憶装置の製造方法
JP2002057329A (ja) 2000-08-09 2002-02-22 Toshiba Corp 縦型電界効果トランジスタ及びその製造方法
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
JP3494638B2 (ja) * 2002-05-21 2004-02-09 沖電気工業株式会社 半導体装置及び半導体装置の製造方法
US6998683B2 (en) * 2002-10-03 2006-02-14 Micron Technology, Inc. TFT-based common gate CMOS inverters, and computer systems utilizing novel CMOS inverters
US7042052B2 (en) * 2003-02-10 2006-05-09 Micron Technology, Inc. Transistor constructions and electronic devices
US6927414B2 (en) * 2003-06-17 2005-08-09 International Business Machines Corporation High speed lateral heterojunction MISFETs realized by 2-dimensional bandgap engineering and methods thereof
TWI294670B (en) * 2003-06-17 2008-03-11 Ibm Ultra scalable high speed heterojunction vertical n-channel misfets and methods thereof
US6943407B2 (en) * 2003-06-17 2005-09-13 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof

Also Published As

Publication number Publication date
US7453113B2 (en) 2008-11-18
US7679121B2 (en) 2010-03-16
TW200511521A (en) 2005-03-16
US20080237637A1 (en) 2008-10-02
JP2005012214A (ja) 2005-01-13
US20070241367A1 (en) 2007-10-18
JP4299736B2 (ja) 2009-07-22

Similar Documents

Publication Publication Date Title
TWI294670B (en) Ultra scalable high speed heterojunction vertical n-channel misfets and methods thereof
US7205604B2 (en) Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
US6943407B2 (en) Low leakage heterojunction vertical transistors and high performance devices thereof
US7902012B2 (en) High speed lateral heterojunction MISFETs realized by 2-dimensional bandgap engineering and methods thereof
US20070148939A1 (en) Low leakage heterojunction vertical transistors and high performance devices thereof
US7834345B2 (en) Tunnel field-effect transistors with superlattice channels
JP4493343B2 (ja) 歪みフィンfet構造および方法
US7057216B2 (en) High mobility heterojunction complementary field effect transistors and methods thereof
US6432754B1 (en) Double SOI device with recess etch and epitaxy
US7078742B2 (en) Strained-channel semiconductor structure and method of fabricating the same
US5534713A (en) Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
WO2002052652A1 (fr) Composant a semi-conducteur et son procede de fabrication
US20060081875A1 (en) Transistor with a strained region and method of manufacture
JP2013545289A (ja) SiGeチャネルを有するpFET接合プロフィールのための方法および構造体
Togo et al. Phosphorus doped SiC source drain and SiGe channel for scaled bulk FinFETs
Koester et al. High-performance SiGe MODFET technology
Kikuchi et al. A planar transistor for the 32-nm node and beyond with an ultra-shallow junction fabricated using in-situ doped selective Si epitaxy
Yeo Planar and multiple-gate transistors with silicon-carbon source/drain

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees