TWI265557B - Critical dimension monitoring from latent image - Google Patents

Critical dimension monitoring from latent image

Info

Publication number
TWI265557B
TWI265557B TW091112605A TW91112605A TWI265557B TW I265557 B TWI265557 B TW I265557B TW 091112605 A TW091112605 A TW 091112605A TW 91112605 A TW91112605 A TW 91112605A TW I265557 B TWI265557 B TW I265557B
Authority
TW
Taiwan
Prior art keywords
light
latent image
collected
processes
gratings
Prior art date
Application number
TW091112605A
Other languages
English (en)
Inventor
Bhawar Singh
Michael K Templeton
Bharath Rangarajan
Ramkumar Subramanian
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Application granted granted Critical
Publication of TWI265557B publication Critical patent/TWI265557B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70675Latent image, i.e. measuring the image of the exposed resist prior to development
TW091112605A 2001-06-28 2002-06-11 Critical dimension monitoring from latent image TWI265557B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/893,807 US6561706B2 (en) 2001-06-28 2001-06-28 Critical dimension monitoring from latent image

Publications (1)

Publication Number Publication Date
TWI265557B true TWI265557B (en) 2006-11-01

Family

ID=25402129

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091112605A TWI265557B (en) 2001-06-28 2002-06-11 Critical dimension monitoring from latent image

Country Status (5)

Country Link
US (1) US6561706B2 (zh)
EP (1) EP1417540A2 (zh)
AU (1) AU2002238013A1 (zh)
TW (1) TWI265557B (zh)
WO (1) WO2003003123A2 (zh)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
EP1303792B1 (en) * 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
KR100827741B1 (ko) * 2000-07-17 2008-05-07 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피 공정을 위한 자동 유체 분배 방법 및시스템
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
AU2001280980A1 (en) * 2000-08-01 2002-02-13 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
AU2001286573A1 (en) * 2000-08-21 2002-03-04 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US6721046B1 (en) * 2001-07-12 2004-04-13 Advanced Micro Devices, Inc. Monitoring of concentration of nitrogen in nitrided gate oxides, and gate oxide interfaces
US6727995B1 (en) * 2001-07-12 2004-04-27 Advanced Micro Devices, Inc. Gate oxide thickness measurement and control using scatterometry
US6809824B1 (en) * 2001-11-30 2004-10-26 Lsi Logic Corporation Alignment process for integrated circuit structures on semiconductor substrate using scatterometry measurements of latent images in spaced apart test fields on substrate
US6742168B1 (en) * 2002-03-19 2004-05-25 Advanced Micro Devices, Inc. Method and structure for calibrating scatterometry-based metrology tool used to measure dimensions of features on a semiconductor device
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7405032B1 (en) * 2003-08-21 2008-07-29 Advanced Micro Devices, Inc. Combination of non-lithographic shrink techniques and trim process for gate formation and line-edge roughness reduction
US8207532B2 (en) * 2003-09-12 2012-06-26 Taiwan Semiconductor Manufacturing Company Constant and reducible hole bottom CD in variable post-CMP thickness and after-development-inspection CD
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
JP2005158780A (ja) * 2003-11-20 2005-06-16 Hitachi Ltd パターン欠陥検査方法及びその装置
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7449348B1 (en) * 2004-06-02 2008-11-11 Advanced Micro Devices, Inc. Feedback control of imprint mask feature profile using scatterometry and spacer etchback
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7566181B2 (en) * 2004-09-01 2009-07-28 Tokyo Electron Limited Controlling critical dimensions of structures formed on a wafer in semiconductor processing
US7099789B1 (en) * 2004-09-02 2006-08-29 Advanced Micro Devices, Inc. Characterizing distribution signatures in integrated circuit technology
US20060094131A1 (en) * 2004-11-02 2006-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for critical dimension control in semiconductor manufacturing
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US7334202B1 (en) * 2005-06-03 2008-02-19 Advanced Micro Devices, Inc. Optimizing critical dimension uniformity utilizing a resist bake plate simulator
US7759136B2 (en) * 2006-03-29 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Critical dimension (CD) control by spectrum metrology
US7586607B2 (en) 2006-04-21 2009-09-08 Rudolph Technologies, Inc. Polarization imaging
JP4747112B2 (ja) * 2007-02-01 2011-08-17 株式会社ニューフレアテクノロジー パターン形成方法及び荷電粒子ビーム描画装置
US8024676B2 (en) * 2009-02-13 2011-09-20 Tokyo Electron Limited Multi-pitch scatterometry targets
TWI521624B (zh) * 2009-02-18 2016-02-11 魯道夫科技股份有限公司 偏振成像技術
KR102606308B1 (ko) 2016-06-28 2023-11-24 삼성전자주식회사 포토 마스크의 제조 방법, 패턴 형성 방법 및 반도체 장치의 제조 방법
EP3495888A1 (en) * 2017-12-06 2019-06-12 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
US10579764B2 (en) * 2018-06-06 2020-03-03 International Business Machines Corporation Co-modeling post-lithography critical dimensions and post-etch critical dimensions with multi-task neural networks

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60249327A (ja) * 1984-05-25 1985-12-10 Hitachi Ltd レジストパタ−ン検出方法
US5393624A (en) * 1988-07-29 1995-02-28 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device
EP0502679B1 (en) 1991-03-04 2001-03-07 AT&T Corp. Semiconductor integrated circuit fabrication utilizing latent imagery
BE1006067A3 (nl) 1992-07-01 1994-05-03 Imec Inter Uni Micro Electr Optisch systeem voor het afbeelden van een maskerpatroon in een fotogevoelige laag.
US5923423A (en) * 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
SG71082A1 (en) 1997-01-30 2000-03-21 Tokyo Electron Ltd Method and apparatus for coating resist and developing the coated resist
US5867276A (en) * 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
IL123727A (en) * 1998-03-18 2002-05-23 Nova Measuring Instr Ltd Method and apparatus for measurement of patterned structures
US6266125B1 (en) * 1998-05-25 2001-07-24 Tokyo Electron Limited Resist processing method and apparatus
IL125338A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
KR100702741B1 (ko) * 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
US6259521B1 (en) * 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images

Also Published As

Publication number Publication date
US6561706B2 (en) 2003-05-13
WO2003003123A2 (en) 2003-01-09
AU2002238013A1 (en) 2003-03-03
US20030002878A1 (en) 2003-01-02
WO2003003123A3 (en) 2004-03-18
EP1417540A2 (en) 2004-05-12

Similar Documents

Publication Publication Date Title
TWI265557B (en) Critical dimension monitoring from latent image
TW200734832A (en) Explosure method, explosure apparatus, photomask, and method for manufacturing photomask
ATE394706T1 (de) Fotomaske
EP1128219A3 (en) Exposure method and apparatus
SG142398A1 (en) Catadioptric optical system and exposure apparatus having said optical system
EP1503403A4 (en) RETICLES AND METHOD FOR MEASURING OPTICAL PROPERTIES
EP0855623A3 (en) Projection exposure method and apparatus
TW200509205A (en) Exposure method and device-manufacturing method
EP1355194A3 (en) Projection exposure apparatus and device manufacturing method
WO2005040927A3 (en) Device and method for illumination dose adjustments in microlithography
HK1133091A1 (en) Exposure apparatus
SE0104238D0 (sv) Method and apparatus for patterning a workpiece
TW200720850A (en) Exposure method
EP1372034A3 (en) Advanced illumination system for use in microlithography
TW200520046A (en) Exposure method and exposure management system
EP1349009A3 (en) Lithographic apparatus and device manufacturing method
TW333621B (en) Process for device fabrication using projection lithography and an apparatus therefor
KR910019132A (ko) 영상 노출 시스템 및 방법
ATE316254T1 (de) Parallelverarbeitender optischer entfernungsmesser
TW200639593A (en) Dedicated metrology stage for lithography applications
EP1376237A3 (en) Off-axis metrology of adaptive optical system
ATE243330T1 (de) Lichtempfindliche bildaufzeichnungszusammensetzung mit verbesserter flexibilität, haftung und entschichtung
GB0129775D0 (en) Exposure positioning in photolithography
KR100453906B1 (ko) 반도체 소자 제조용 노광 장치 및 방법
DK0623853T3 (da) Negativt arbejdende og positivt arbejdende, i et enkelt trin fremkaldelig trykplade og billeddannelsesproces

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees