TWI220277B - Gas distribution plate electrode for a plasma reactor - Google Patents

Gas distribution plate electrode for a plasma reactor Download PDF

Info

Publication number
TWI220277B
TWI220277B TW091135109A TW91135109A TWI220277B TW I220277 B TWI220277 B TW I220277B TW 091135109 A TW091135109 A TW 091135109A TW 91135109 A TW91135109 A TW 91135109A TW I220277 B TWI220277 B TW I220277B
Authority
TW
Taiwan
Prior art keywords
plate
gas
hole
item
holes
Prior art date
Application number
TW091135109A
Other languages
Chinese (zh)
Other versions
TW200306624A (en
Inventor
Dan Katz
Douglas A Buchberger Jr
Yan Ye
Robert B Hagen
Xiaoye Zhao
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200306624A publication Critical patent/TW200306624A/en
Application granted granted Critical
Publication of TWI220277B publication Critical patent/TWI220277B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Abstract

The invention is embodied in a plasma reactor for processing a semiconductor wafer, the reactor having a gas distribution plate including a front plate in the chamber and a back plate on an external side of the front plate, the gas distribution plate comprising a gas manifold adjacent the back plate, the back and front plates bonded together and forming an assembly. The assembly includes an array of holes through the front plate and communicating with the chamber, at least one gas flow-controlling orifice through the back plate and communicating between the manifold and at least one of the holes, the orifice having a diameter that determines gas flow rate to the at least one hole. In addition, an array of pucks is at least generally congruent with the array of holes and disposed within respective ones of the holes to define annular gas passages for gas flow through the front plate into the chamber, each of the annular gas passages being non-aligned with the orifice.

Description

1220277 玖、發明說明: IB·!·1220277 发明, Description of the invention: IB ·! ·

Ill 【發明所屬之技術領域】 本發明係有關於處理半導體晶圓所用之電漿反應 器。更特定言之,本發明係關於一種具不易於氣體注入通 道中產生電漿弧光、無高氣體注入速度、且氣體散流均勻 度及速度不為氣體注入通道擴大所影響之氣體散流板的電 漿反應器。Ill [Technical field to which the invention belongs] The present invention relates to a plasma reactor for processing semiconductor wafers. More specifically, the present invention relates to a gas diffuser plate that does not easily generate plasma arc light in a gas injection channel, does not have a high gas injection speed, and has a uniformity and speed of gas diffusion that are not affected by the expansion of the gas injection channel Plasma reactor.

【先前技術】[Prior art]

半導體微電子電路製造領域中,各種不同電漿反應器 需在其室頂部處加以一大射頻電極,其中室頂部位於半導 體工件之上,工件一般為一半導體基板,基板則支撐於一 導電座檯上。射頻電源加至支撐座檯,頂部或上方電極為 座檯之反向電極。在某些反應器中,加至支撐座檯之射頻 電源為電漿源(決定電漿離子密度),並亦為電漿偏壓電源 (決定晶圓表面離子能量)。在其它反應器中,一射頻電源 供應者提供電漿源電源,而非以晶圓座檯提供,供至晶圓 座檯之射頻電源則僅當作射頻偏壓電源。舉例而言,電漿 源電源可由一電感式天線提供,或可由頂部電極提供之。 因此,頂部電極可為一接地反向電極,或可接至一獨立射 頻電源產生器,其中前者用以將射頻電源提供至晶圓支撐 座檯,後者當作一獨立射頻電源供應者。在任一例中,由 頂部通入處理氣體可達最均勻之處理氣體分佈,此時頂部 電極需為氣體散流板。 3 在電漿反應器中,處理氣體在晶圓表面上的分佈均勻 I*生需求渐增’特別是半導體蝕刻處理及其它半導體製程所 用之電漿反應1,因微電子電路對於小尺寸及最小特徵結 L* 口 之持續需求之故,其中更已有轉向〇 · 1 5微米技術 之勢。在多數場合中,該等小元件結構由微處理器時脈速 度引領其設計,其並需在蝕刻速率、晶圓表面上蝕刻均勻 及…、損壞餘刻上得到相對的進步。在先前技術中,對於 相對較大特徵結構尺寸元件而言,一電漿反應器上方頂部 電極(氣體散流板)的單一氣體入口得提供適用之處理氣體 分佈均勻性,其中該單一入口必須為大尺寸者,以使符合 必要氣流需求。 大入口較易有電漿進入入口、並造成弧光(areing)或 入口中電漿點燃之缺點,其為大入口設備之一大缺點,其 中弧光會破壞板、放大入口尺寸、並(或)消粍功率。此外, 入口板材亦可因其生成濺鑛副產物而污染電襞。就一大孔 洞入口而言,最大電場出現於洞中央附近 ^ 此處恰為電漿 點燃或弧光最可能發生之處·。對於該具單一 乳體入口反應 器之問題,在洞中央並列以一碟狀物或碟狀軟盤為其中一 解決方式,如此得使氣體遠離洞中央之強雷媒m κ 电場(Dan Maydan 獲准之專利US 6,8 85,3 5 8)。不過,對於現目&, 、現具極小特徵結 構之元件來說,更佳的晶圓表面上氣體分你 刀师均勻度是所需 的,因此單一氣體分佈入口或頂部氣體散汴山 队叫板中的孔對於 所需之氣體散流均勻度已不適用。因此,斑& ^ β 说所採用之氣體 散流板為鑽以數千個細微孔或洞者,藉以該莖☆ w μ寻細被孔洞提 4 1220277 升晶圓表面上氣體分佈均勻度 中 〇 然而,在將氣體導離各洞 之置於數千細微孔洞之每一者 此,為使電漿弧光現象降低及 入口洞直徑需達最小,洞與洞 一定程度。為數如此之眾之洞 等洞需有高深寬比、必須穿鑽 且不能有走向極度不順之洞邊 準大小係指其性能易為洞邊之 表面上電漿離子密度分佈之不 大,因此起初具高度晶圓表面 板最後無法提供所需均勻度。 為得較大蚀刻率而需藉減 電漿為其另一大問題。小氣體 如此氣流得準直入射至晶圓與 板之洞與洞間間隔在晶圓表面 表面上餘刻速率中不均勻分佈 因此,較佳之作為電極或 其提出的必要,其中該種散流 中產生電漿弧光、無高氣體注 及速度不為氣體注入通道之擴 ,並較能防止電漿進入孔洞 中央之高強度電場時,軟盤 中央的作法一直不實用。因 確保氣體分佈之均句,氣體 間尺寸差異容忍度亦需小到 的鑽製確屬代價高昂,因該 於極硬材料中(如碳化碎)、 。再者,前文所指洞需有精 電漿濺鍍所擴大。由於頂部 同,部份洞之被擴大速率較 氣體分佈均勻性之氣體散流 小晶圓與頂部間距而得較密 洞口得產生極南速度氣流5 頂部間窄間隙中,氣體散流 處生峰處與谷處,並使晶圓 〇 反向電極的氣體散流板確有 板必須不易在氣體注入通道 入速度、且氣體散流均勻度 大所影響β 【發明内容】 5 1220277 本發明 上,該反應 一背板,其 上。該氣體 件位於背板 體包含一洞 板上’並得 可在該歧管 一直徑,通 外,一軟盤 洞之相對者 圓環形氣體 每一者皆與 【實施方式 請參閱 100,為—反 所界定範圍 程度真空; 工件1 3 0, 向頂部1 1 〇 檯1 2 5可當 頻產生器 1 2 5 ;頂部 内容係建立於處理半導體晶圓之電漿反應器 器具有一氣體散流板,該散流板包含一前板及 中前板位於處理室中,背板則位於前板之外側 散流板還至少包括一氣體歧管組件,該歧管組 旁’背板及前板互相接合成一組件體。該組件 陣列及至少一氣流控制孔,該洞陣列穿設於前 與處理室相通;該控制孔鑽設於該背板中,並 組件及該洞之至少一者間相通。該控制孔具有 至至少一洞之氣流速率藉該直徑得被決定。此 陣列為至少大致適於該洞陣列設置者,並位於 中,藉以構成氣流之圓環形氣體通道,其中該 通道由前板通至處理室,且圓環形氣體通道之 控制孔未對準位。 第1圖。圖中,電漿反應器包含一真空處理室 應器處理室圓柱侧壁1 0 5、頂部11 〇及底部1 ^ 5 。一真空幫浦120用以在處理室中維持一固定 一晶圓支撐座楼125用以支撐一半導體晶圓或 並設於處理室1〇〇底部處,用以使晶圓130面 ;晶圓支撐座檯125具有導電零件,用以使座 作電極或射頻電源供應者。為達此目的,一射 135經由一射頻阻抗匹配電路14〇接至座檯 110在所示實施例中為導電者,並接至射頻產 6 1220277 生器1 3 5之射頻返回端,用以使頂部1 1 0當作一晶圓座檯 125之反向電極。在某些類型反應器中,另一射頻產生器 145可經由一射頻匹配電路150連接至頂部110,用以使 頂部1 1 0亦可當作另一射頻電源供應者。此時,兩射頻產 生器135,145之頻率相差極大,兩者135,145因此為獨立 運作者。In the field of semiconductor microelectronic circuit manufacturing, various plasma reactors need a large RF electrode at the top of the chamber. The top of the chamber is above a semiconductor workpiece. The workpiece is generally a semiconductor substrate, and the substrate is supported on a conductive platform. on. The RF power is applied to the support base, and the top or top electrode is the reverse electrode of the base. In some reactors, the RF power supplied to the support base is a plasma source (which determines the plasma ion density) and also a plasma bias power (which determines the ion energy on the wafer surface). In other reactors, a radio frequency power supplier provides plasma source power, rather than wafer base, and the RF power supplied to the wafer base is only used as the RF bias power. For example, the plasma source power can be provided by an inductive antenna or by the top electrode. Therefore, the top electrode can be a grounded reverse electrode, or can be connected to an independent RF power generator, where the former is used to provide RF power to the wafer support pedestal, and the latter is used as an independent RF power supplier. In either case, the most uniform distribution of the process gas can be achieved by feeding the process gas from the top. At this time, the top electrode needs to be a gas diffuser. 3 In the plasma reactor, the distribution of the processing gas on the wafer surface is uniform. I * the demand is increasing, especially the plasma reaction used in semiconductor etching and other semiconductor processes.1, because microelectronic circuits are small and the smallest Due to the continuing demand for L *, the trend has shifted to 0.15 micron technology. In most cases, the design of these small component structures is guided by the microprocessor's clock speed, and they require relative progress in etching rate, uniform etching on the wafer surface, and ... In the prior art, for relatively large feature size components, a single gas inlet for the top electrode (gas diffuser plate) above a plasma reactor must provide suitable uniformity of process gas distribution, where the single inlet must be Larger sizes to meet the necessary airflow requirements. The large entrance is more likely to have the disadvantage that the plasma enters the entrance and causes arcing (areing) or the ignition of the plasma in the entrance. It is one of the major disadvantages of the large entrance equipment, in which the arc will destroy the board, enlarge the size of the entrance, and / or eliminate粍 Power. In addition, the entrance plate can also pollute the electric ray because it generates by-products of splashing ore. For a large hole entrance, the maximum electric field occurs near the center of the hole ^ This is where plasma ignition or arcing is most likely to occur. To solve the problem of a single milk inlet reactor, a dish or a disk-shaped floppy disk is juxtaposed in the center of the hole as one of the solutions. Patent US 6,8 85,3 5 8). However, for the current head &, and components with very small feature structures, better gas uniformity on the wafer surface is required, so a single gas distribution inlet or the top gas dispersion team The holes in the bidding plate are no longer suitable for the required uniformity of gas dispersion. Therefore, the spot & ^ β said that the gas diffuser used is to drill thousands of fine holes or holes, by which the stem ☆ w μ is searched for fine holes 4 1220277 liters on the surface of the wafer uniformity of gas distribution Zhong 0 However, in order to reduce the arcing phenomenon of the plasma and minimize the diameter of the entrance hole, the hole and the hole must be to a certain extent in order to reduce the arcing phenomenon of the plasma and to minimize the plasma arc phenomenon. Such a large number of holes need to have a high depth-to-width ratio, must be drilled, and must not have extremely irregular hole edges. The quasi-size refers to the properties of the plasma ion density distribution on the surface of the hole. The initial height wafer surface plate ultimately fails to provide the required uniformity. To get a larger etch rate, reducing plasma is another problem. The small gas flow is so collimated that the holes and spaces between the wafers and the plates are spaced unevenly in the remaining velocity on the surface of the wafer. Therefore, it is better to be used as an electrode or a necessary solution. When plasma arc is generated, no high gas injection and the speed is not the expansion of the gas injection channel, and it can prevent the plasma from entering the high-intensity electric field in the center of the hole, the method of the center of the floppy disk has not been practical. Because of ensuring the uniform distribution of the gas, drilling with a small tolerance for the dimensional difference between the gases is indeed costly, because it should be used in extremely hard materials (such as carbonization). Furthermore, the holes referred to above need to be enlarged by plasma sputtering. Because the top is the same, the rate of expansion of some holes is smaller than that of a gas diffuser with a uniform gas distribution. The gap between the wafer and the top is denser, and the mouth of the hole is denser. A south-south velocity airflow is generated. And valleys, and make sure that the gas diffusion plate of the reverse electrode of the wafer does not have a plate that is easy to enter into the gas injection channel and has a large uniformity of the gas diffusion β. [Summary of the Invention] 5 1220277 The reaction is a backplane, above it. The gas piece is located on the back plate body including a hole plate, and the diameter of the manifold is a diameter, and the opposite side of the circular disk gas of a floppy disk hole is the same as [the embodiment see 100, for- The degree of vacuum is reversed to the defined range; the workpiece is 130, and the top is 110, and the 12 is a frequency generator. The top content is a plasma reactor built to process semiconductor wafers, which has a gas diffuser. The diffuser plate includes a front plate and a middle front plate located in the processing chamber, and the back plate is located outside the front plate. The diffuser plate also includes at least one gas manifold assembly. The back plate and the front plate are adjacent to each other in the manifold group. Joined into a component body. The module array and at least one airflow control hole are arranged in the front and communicate with the processing chamber; the control hole is drilled in the back plate and communicated between the module and at least one of the holes. The flow rate of the control hole to at least one hole is determined by the diameter. This array is at least roughly suitable for the array of holes, and is located in the middle to form a circular gas channel of the air flow, wherein the channel passes from the front plate to the processing chamber, and the control holes of the circular gas channel are misaligned Bit. Figure 1. In the figure, the plasma reactor includes a vacuum processing chamber, the cylindrical side wall of the reactor processing chamber 105, the top 110, and the bottom 1 ^ 5. A vacuum pump 120 is used to maintain a fixed wafer support pedestal 125 in the processing chamber to support a semiconductor wafer or is arranged at the bottom of the processing chamber 100 to make the wafer 130 side; the wafer The support base 125 has conductive parts for making the base be an electrode or a radio frequency power supplier. To achieve this, a shot 135 is connected to the pedestal 110 via a radio frequency impedance matching circuit 140, which is conductive in the embodiment shown, and is connected to the radio frequency return end of the radio frequency generator 6 1220277 generator 1 3 5 for The top 110 is used as a counter electrode of a wafer stage 125. In some types of reactors, another RF generator 145 may be connected to the top 110 via an RF matching circuit 150, so that the top 110 can also be used as another RF power supplier. At this time, the frequencies of the two RF generators 135, 145 are extremely different, so the two 135, 145 are independent operators.

處理氣體導入其中,用以在晶圓130上表面上提供最 大均勻度之氣體分佈,其提供方式為將氣體注入頂部110 中諸多均勻間隔之氣體注入入口 1 6 0 ;因此,頂部11 0為 一氣體散流板。一氣體源或供應器165耦接至頂部氣體散 流板110中之一氣體岐管170,氣體岐管170將氣體送至 入口 160之每一者中。如第2Α圖及第2Β圖所示,氣體 散流板1 10之入口 160由兩平行平板構成,即一背板205 及一前板210;該兩者205,210係獨立製成(第2Α圖),並 於之後接合成體(第2Β圖)。背板205位於上方,前板210 則位於底部上,並面向處理室内部電漿。背板2 0 5底表面 由一相對較大圓柱開口陣列2 1 5組成,而前板2 1 0則由一 圓柱軟盤陣列220組成,其中該圓柱軟盤陣列220與開口 陣列215相匹配。如第2Β圖所示,前板210之軟盤220 接於背板205之開口 2 1 5中,每一開口 2 1 5及相匹配軟盤 220之間形成一圓環形間隙,其中圓環形間隙為氣體入口 160。背板205中氣體供應孔230之大小以設計成能使正 確所需氣流自氣體歧管組件170(設於背板20 5之上方)至 圓環形入口 1 60為原則。由於氣體散流板11 〇由一數百或 7 1220277 數千個圓環形入口 1 60陣列構成、藉以使整個晶圓表面上 氣體分佈為空間上均勻者,因此入口 1 6 0可通入之氣流在 多數場合中皆為過多;因此,尺寸嚴密設定之孔230便可 提供所需氣流之控制。 很明顯地,每一孔230面向一位於相應軟盤220及背 板205間之水平間隙23 5,氣體因此得被迫大轉彎進入間 隙 23 5,並再經一大轉彎而進入圓環形入口 160。為使這 些轉彎不因與圓環形入口 1 60及水平間隙23 5中的氣體散 流板表面碰撞而消失,氣體散流板表面處理室中電漿應往 上行進至圓環形入口 1 60中,此動作即便非不可能亦難以 達成。所造成結果之一為尺寸精準之孔230得免於電漿濺 鍍之沾及,因此只有圓環形入口 1 6 0受到電漿濺鍍或襲擊 之尺寸扭曲。不過,每一圓環形入口 160之所在區域大至 足使電漿濺鍍僅造成入口與入口間之小面積差,晶圓表面 上氣體分佈均勻度最終幾乎不受該等改變。再者,第2A 圖及第2B圖之實施例中,氣流均勻度僅由孔23 0均勻度 決定,各個圓環形入口大小之改變對氣流均勻度幾無影 響。因此,氣體散流板11 0性能實質上不受電漿濺鍍或襲 擊之改變,此為一大優點。 在一實施例中,背板2 0 5及前板2 1 0由碳化矽形成, 並以碳化矽製造業現存技術加以接合成體。使用碳化矽作 為氣體散流板1 1 0之優點在於此種材料實際上不為某些處 理氣體及電漿物質襲擊所透過,其中電漿物質可為含鹵素 處理氣體及電漿物質。此外,碳化矽相對較適於用在矽半 8 體曰9圓處理上’因此該種材料之電漿濺鍍污染危害不若 其匕銘等材料者為甚。 圓環形氣體入口 160之另一優點為每一軟盤2 2〇得將 電聚離子及氣體保持在每一開口 2 1 5中心外,開口 2 1 5中 外為電場最強之處;此一特性得避免弧光或電漿點燃現 象°氣體散流板110之兩板結構2〇5,21〇使數以百計或千 计之開口 2 1 5及其中心之軟盤22〇有低製造成本。因此, 本發明得以低成本氣體散流板提供足夠之氣流分佈均勻 度、以其處理特徵結構極小之元件(如〇丨5微米;位於極 大晶圓(直徑10吋至20吋)上)、最小化電漿弧光現象、 並可免於電漿濺鍍之長期破壞。 另一優點在於相對較大圓環形開口 i 6 〇得提供較低之 氣體注入速度。雖然每一細微的孔23〇得產生一極高速度 氣’並使之進入對應水平間隙2 3 5中,但在水平間隙2 3 5 及大圓環形入口 1 6 0中的流動卻使其速度減緩。因此,前 板210底部發出之氣流均勻度遠遠較佳,並能免成為高速 窄氣流及羽狀電漿。總之,在使用氣體散流板〇之時, 小晶圓與頂部間隙不會在晶圓表面處產生氣體分佈之不均 勻現象,此為一顯著優點。 上述諸多優點適足解決產生高電漿離子密度之高功率 電漿反應器中所遇問題·,為較能侷限電漿之範圍,晶圓表 面上高電漿離子密度得由小晶圓頂部間隙而在某些反應器 中獲得,此為該等問題之一。如上所述,氣體散流板i i 〇 得在該種小間隙中提共均勻氣體分佈,此因大圓環形入口 9 1220277 160之故。該等問題之另一者為高電漿離子密度由電漿源 功率提供至頂部或上方氣體散流板處而形成於反應器中時 的氣體入口内弧光現象。如上所述,氣體散錢ιι〇包含 軟盤220,用以使氣體侷限在較靠近每一開口 215周圍區 域上;該處為電場最小處,以抑制或避免弧光現象。因 此,氣體散流板110本身即適合用於高密度電漿反應器 中。 第3A、3B及4圖所示為第2A及2B圖之實施例之 一實施方式。第3A圖顯示前板21〇具有軟盤陣列22〇, 軟盤陣列2 2 0與縱向臂3 1 〇及橫向臂3 1 5形成網結構,該 等臂310,315構成固定陣列形式。請參閱第3B圖及第4 圖’背板205有縱向通道320及橫向通道325,用以在板 205,210接合成體時收納縱向及橫向臂31〇, 315。軟盤220 位於其對應洞2 1 5中央,並因水平間隙2 3 5及圓環形入口 160而與背板205相隔,並因此不接觸背板205。背板205 及前板210間接觸存在於縱向及橫向臂310,315方向上, 其中縱向及横向臂3 1 〇,3 1 5緊貼於對應縱向及橫向通道 320,325内;該等接觸表面即為兩板205,2 10接合成體之 處。如前所述,若兩板為碳化矽材,那麼接合為標準碳化 石夕接合技術完成。 第5圖所示實施例為一單一孔2 3 5 a對一群鄰近圓環 形氣體入口 160a,16 0b,16 0c之氣體供應,其中單一孔235a 直接經由水平間隙235b送至中間圓環形氣體入口 160b, 並經由内部通道505,510送入鄰近圓環形入口 160a,160c, 10 其中内部通道505,510連接鄰近圓環形入口 16 0a,160c與 中間圓環形入口 1 6 0 b。該實施例之一大優點在於需鑽製 在背板205上之細微孔235的數量可大大減少。 第6圖所示實施例中,一背板600有平行橫向槽605, 一前板610有一洞615及軟盤620的陣列;該等圓形洞615 及圓柱軟盤620同心設置,因此構成對應圓環形氣體埠 6 1 6,該等槽6 0 5則向洞6 1 5及軟盤6 2 0之相對列對位。 每一槽605之寬度小於每一洞615之直徑(如可為小於後 者之一半)。板600,610接合成體,每一槽605位於洞陣 列61 5列中心處。請參閱第7圖,與每一洞61 5對位之氣 體通道由一對拱形槽630a,630b組成,該等槽630a,630b 在第7圖中以實線表示。處理氣體由一單一細微孔635經 由背板600送至每一槽605中,其中孔63 5直徑選定成得 提供必須氣流速率者。 第6圖及第7圖之實施例較易製成,因其軟盤620及 背板600間並無水平間隙(如第2圖之水平間隙235),反 而於板600,610間形成接合,即在其整個接合表面上接 合。軟盤620在其整個上表面上以類似方式接合至板600 之底表面。軟盤620之上表面唯一未以此方式接合之區域 為面向窄槽605之區域。 在上述實施例中,軟盤620當作氣流轉向元件,用以 轉變前板及後板6 1 0,600間之氣流,即對背板600中氣流 模型轉變成前板6 1 〇之圓環形氣流模型。氣流模型對應於 一第一半徑(即上部孔63 5之半徑),圓環形模型則對應於 1220277 一第二半徑(即每一圓環形開口 660半徑),其中第二半徑 大於第一半徑。氣流轉向元件620產生一快速氣流改變(a) 即由每一孔6 3 5中之氣流模型的一垂直氣流)改變成一 水平氣流’且該氣流由第一半徑(孔635之半徑)轉變成第 二半徑(相對圓環型開口 660之半徑)接著(c)再轉變成每一 對應圓環形開口 6 6 0之一垂直氣流。The processing gas is introduced therein to provide a gas distribution with maximum uniformity on the upper surface of the wafer 130, which is provided by injecting gas into a plurality of uniformly spaced gas injection inlets 16 in the top 110; therefore, the top 110 is a Gas diffuser. A gas source or supply 165 is coupled to one of the gas manifolds 170 in the top gas diffuser 110, and the gas manifold 170 sends gas to each of the inlets 160. As shown in Figures 2A and 2B, the inlet 160 of the gas diffuser plate 1 10 is composed of two parallel flat plates, namely a back plate 205 and a front plate 210; the two 205, 210 are made independently (Figure 2A) , And then joined the complex (Figure 2B). The back plate 205 is located above and the front plate 210 is located on the bottom and faces the plasma inside the processing chamber. The bottom surface of the back plate 2 05 is composed of a relatively large array of cylindrical openings 2 1 5, and the front plate 2 10 is composed of a cylindrical floppy disk array 220, wherein the cylindrical floppy disk array 220 matches the opening array 215. As shown in FIG. 2B, the floppy disk 220 of the front plate 210 is connected to the opening 2 1 5 of the back plate 205, and a circular gap is formed between each opening 2 15 and the matching floppy disk 220, wherein the circular gap is It is the gas inlet 160. The size of the gas supply hole 230 in the back plate 205 is designed to enable the correct required airflow from the gas manifold assembly 170 (located above the back plate 20 5) to the annular inlet 160. Since the gas diffuser plate 11 is composed of a hundred or 7 1220277 thousands of circular ring-shaped inlets 160 arrays, so that the gas distribution on the entire wafer surface is spatially uniform, the inlets 160 can pass through The airflow is excessive in most cases; therefore, the tightly set holes 230 can provide the required airflow control. Obviously, each hole 230 faces a horizontal gap 23 5 between the corresponding floppy disk 220 and the back plate 205, so the gas must be forced to make a large turn into the gap 23 5 and then enter the circular inlet 160 after a large turn. . In order to prevent these turns from disappearing due to collision with the surface of the gas diffuser plate in the circular inlet 160 and the horizontal gap 23 5, the plasma in the surface treatment chamber of the gas diffuser plate should go up to the circular inlet 1 60 In China, this action is difficult to achieve, if not impossible. One of the consequences is that the precisely sized hole 230 is protected from plasma sputtering, so only the circular ring-shaped entrance 160 is distorted by plasma sputtering or attack. However, the area of each circular annular inlet 160 is large enough that plasma sputtering only causes a small area difference between the inlet and the inlet, and the uniformity of gas distribution on the wafer surface is hardly affected by such changes. Furthermore, in the embodiments of FIGS. 2A and 2B, the uniformity of the airflow is determined only by the uniformity of the holes 230, and the change in the size of each circular ring inlet has little effect on the uniformity of the airflow. Therefore, the performance of the gas diffusion plate 110 is not substantially changed by plasma sputtering or attack, which is a great advantage. In one embodiment, the back plate 205 and the front plate 210 are formed of silicon carbide, and are combined with the existing technology of silicon carbide manufacturing. The advantage of using silicon carbide as the gas diffuser plate 1 10 is that this material is not actually penetrated by certain processing gases and plasma substances, among which the plasma substance can be a halogen-containing processing gas and plasma substance. In addition, silicon carbide is relatively suitable for use in silicon half-body and 9-round processing. Therefore, the plasma sputtering contamination of this material is not as harmful as its dagger and other materials. Another advantage of the circular gas inlet 160 is that each floppy disk 220 can keep the electric poly ions and gas outside the center of each opening 2 15, and the middle and outer openings 2 15 are the strongest electric fields; this characteristic is Avoid arcing or plasma ignition phenomenon. The two-plate structure of the gas diffuser 110, 205, 21, enables hundreds or thousands of openings 2 15 and the center of the floppy disk 22 to have low manufacturing costs. Therefore, the present invention can provide a low-cost gas diffuser plate with sufficient airflow distribution uniformity, and can process components with very small characteristic structures (such as 0 micron; located on a large wafer (10 inches to 20 inches in diameter)), the smallest The plasma arc phenomenon can be avoided, and it can avoid the long-term damage of plasma sputtering. Another advantage is that the relatively large circular opening i6o provides a lower gas injection rate. Although each minute hole 23 generates a very high velocity gas and enters it into the corresponding horizontal gap 2 3 5, the flow in the horizontal gap 2 3 5 and the large circular ring inlet 16 0 makes it Slow down. Therefore, the uniformity of the airflow from the bottom of the front plate 210 is much better, and it can avoid high-speed narrow airflow and feather plasma. In short, when the gas diffuser 0 is used, the gap between the small wafer and the top will not cause uneven gas distribution at the wafer surface, which is a significant advantage. Many of the above advantages are adequate to solve the problems encountered in high-power plasma reactors that produce high plasma ion density. In order to limit the range of plasma, the high plasma ion density on the wafer surface is determined by the small gap at the top of the wafer. It is obtained in some reactors, which is one of these problems. As described above, the gas diffuser plate i i 〇 can achieve a uniform gas distribution in this small gap, which is due to the large circular annular inlet 9 1220277 160. The other of these problems is the arcing phenomenon in the gas inlet when the high plasma ion density is provided by the plasma source power to the top or above gas diffuser plate and formed in the reactor. As mentioned above, the gas loose money contains a floppy disk 220 for confining the gas to the area closer to each opening 215; this is where the electric field is minimized to suppress or avoid arcing. Therefore, the gas diffusion plate 110 itself is suitable for use in a high-density plasma reactor. Figures 3A, 3B and 4 show one embodiment of the example of Figures 2A and 2B. Fig. 3A shows that the front plate 21o has a floppy disk array 22o, the floppy disk array 220 and the longitudinal arms 3 10 and the lateral arms 3 15 form a net structure, and the arms 310,315 constitute a fixed array. Please refer to FIG. 3B and FIG. 4 'The back plate 205 has a longitudinal channel 320 and a transverse channel 325 for receiving the longitudinal and transverse arms 31, 315 when the plates 205, 210 are connected to the composite. The floppy disk 220 is located in the center of its corresponding hole 2 1 5 and is separated from the back plate 205 by the horizontal gap 2 3 5 and the circular ring-shaped entrance 160 and therefore does not contact the back plate 205. The contact between the back plate 205 and the front plate 210 exists in the direction of the longitudinal and transverse arms 310,315, wherein the longitudinal and transverse arms 3 1 0, 3 1 5 are closely attached to the corresponding longitudinal and transverse channels 320, 325; these contact surfaces are the two plates 205,2 10 Where the complex is connected. As mentioned earlier, if the two plates are made of silicon carbide, then the joining is done using standard carbide cementing technology. The embodiment shown in FIG. 5 is a single hole 2 3 5 a for a group of gas supply near a circular gas inlet 160a, 160b, 160c, wherein a single hole 235a is directly sent to the middle circular gas through the horizontal gap 235b. The inlet 160b is sent to the adjacent circular inlets 160a, 160c, 10 through the internal passages 505, 510. The internal channels 505, 510 connect the adjacent circular inlets 160a, 160c and the intermediate circular inlet 160b. A great advantage of this embodiment is that the number of fine holes 235 to be drilled in the back plate 205 can be greatly reduced. In the embodiment shown in FIG. 6, a back plate 600 has parallel transverse grooves 605, and a front plate 610 has an array of holes 615 and floppy disks 620. The circular holes 615 and cylindrical floppy disks 620 are arranged concentrically, thus forming a corresponding ring. The shaped gas port 6 1 6, the grooves 6 0 5 are aligned with the opposite rows of the holes 6 1 5 and the floppy disk 6 2 0. The width of each slot 605 is smaller than the diameter of each hole 615 (if it can be less than half of the latter). The plates 600, 610 are connected to the complex, and each slot 605 is located at the center of the column array 615. Referring to FIG. 7, the gas passage opposite to each hole 6 15 is composed of a pair of arched grooves 630a, 630b, and the grooves 630a, 630b are indicated by solid lines in FIG. 7. The process gas is fed into each tank 605 through a single fine hole 635 through the back plate 600, where the diameter of the hole 635 is selected to provide the necessary airflow rate. The embodiments of FIGS. 6 and 7 are easier to make, because there is no horizontal gap between the floppy disk 620 and the back plate 600 (such as the horizontal gap 235 in FIG. 2), but a joint is formed between the plates 600, 610, that is, between them. Join over the entire joining surface. The floppy disk 620 is bonded to the bottom surface of the board 600 in a similar manner over its entire upper surface. The only area on the upper surface of the floppy disk 620 that is not joined in this manner is the area facing the narrow slot 605. In the above embodiment, the floppy disk 620 is used as an airflow turning element for changing the air flow between the front and rear plates 6 1 0,600, that is, the air flow model in the back plate 600 is transformed into a circular air flow model in the front plate 6 1 0 . The airflow model corresponds to a first radius (the radius of the upper hole 63 5), and the circular ring model corresponds to a 1220277 second radius (that is, the radius of each circular ring opening 660), where the second radius is greater than the first radius . The airflow turning element 620 generates a rapid airflow change (a) that is, a vertical airflow from the airflow model in each hole 6 3 5 is changed to a horizontal airflow 'and the airflow is changed from the first radius (the radius of the hole 635) to the first The two radii (the radius relative to the ring-shaped opening 660) then (c) are transformed into a vertical airflow of 6 6 0 for each corresponding ring-shaped opening.

第8 A-8D圖說明一種將第6圖及第7圖之氣體散流 板製造成一單石碳化矽體之方法。第8A圖中,背板600 由經燒結碳化矽製成,槽605則銑於板600中,在第8B 圖中,石墨插入件805置於槽605中。在第8C圖中,前 板6 1 〇係由碳化矽以化學氣相沉積方式形成於背板6 0 0下 表面600a之上而製得;接著,在石墨材料燒去後,每一 石墨插入件皆以對整個組件加熱的方式移除,最後形成空 槽605,如第8D圖所示。第8D圖中,圓環形開口陣列660 銑於整個前板610厚度方向上,此對應於第6圖之洞615 及軟盤620。此外,第8D圖中亦顯示孔63 5,其得在前 述步驟之任一者進行時銑製而成。 第9A-9D圖說明另一種將第6圖及第7圖之氣體散 流板製造成一單石碳化矽體之方法。在第9A圖中,背板 6 0 〇由經燒結碳化石夕製成,槽6 〇 5銑製於板6 0 0中。此外, 一寬淺通道810形成於背板6〇〇中,該背板位於每一槽605 中央’並與之平行。在第8B圖中,碳化石夕插入件815置 於寬淺通遒810中。在第8 C圖中,前板61 〇以將碳化矽 化學氣相沉積於背板600下表面600a上。在第8D圖中, 12 1220277 圓環形開口陣列銑製於整個前板6丨0及碳化矽插入件8 1 5 厚度方向上,此對應於第6圖之洞615及軟盤620。 第1〇圖說明另一實施例,此時背板600及前板610 皆由陽極化銘製成,其中陽極化處理得於背板600上製得 鋁土薄膜600-1,並得於前板61〇上製得鋁土薄膜610-1, 此陽極化層用以使紹板不受電漿破壞。 本發明已由上述實施例說明完成,其中頂部氣體散流 板必須作為電極(因此至少包含導電材料),不過本發明之 氣體散流板亦適於氣體散流板不作為電極之應用上。 在該等以頂部氣體散流板當作一上方電極之實施例 中,其可由碳化石夕製成,正如前文所述。但在希望氣體散 流板之阻抗小於碳化矽者(〇.〇〇5-l.〇〇hm-cm)時,每一碳 化矽板600,610之製造得以使一薄高導電石墨層91〇,920 佈於板中央上、並與對應板共平面為原則,如第丨丨圖所 示。此得由將每一板600,610當作一石墨板之方式達成, 每一石墨板並加工處理而形成第6圖及第7圖所述之結構 特徵。接著’每一石墨板600,610以傳統方式加以矽化。Figures 8A-8D illustrate a method for manufacturing the monolithic silicon carbide body from the gas diffuser plates of Figures 6 and 7. In FIG. 8A, the back plate 600 is made of sintered silicon carbide, and the groove 605 is milled in the plate 600. In FIG. 8B, the graphite insert 805 is placed in the groove 605. In FIG. 8C, the front plate 6 10 is made of silicon carbide by chemical vapor deposition on the lower surface 600a of the back plate 600; then, after the graphite material is burned, each graphite is inserted The parts are removed by heating the entire assembly, and finally an empty slot 605 is formed, as shown in FIG. 8D. In FIG. 8D, the circular opening array 660 is milled in the thickness direction of the entire front plate 610, which corresponds to the hole 615 and the floppy disk 620 in FIG. In addition, the hole 63 5 is also shown in Fig. 8D, which may be milled during any of the previous steps. Figures 9A-9D illustrate another method for manufacturing the monolithic silicon carbide body of the gas diffuser of Figures 6 and 7. In Fig. 9A, the backing plate 600 is made of sintered carbide, and the groove 605 is milled in the plate 600. In addition, a wide shallow channel 810 is formed in the back plate 600, which is located at the center of each slot 605 and parallel to it. In Fig. 8B, the carbide insert 815 is placed in the wide and shallow through hole 810. In FIG. 8C, the front plate 61 is chemically vapor-deposited with silicon carbide on the lower surface 600a of the back plate 600. In FIG. 8D, the 12 1220277 circular opening array is milled in the thickness direction of the entire front plate 6 and 0 and the silicon carbide insert 8 1 5, which corresponds to the hole 615 and the floppy disk 620 in FIG. 6. FIG. 10 illustrates another embodiment. At this time, the back plate 600 and the front plate 610 are both made of anodized inscriptions, and an anodizing process is performed on the back plate 600 to obtain an alumina film 600-1, which is obtained from the front plate. Alumina film 610-1 was prepared on 61 °, and this anodized layer was used to protect the plate from plasma damage. The present invention has been described by the above embodiments, in which the top gas diffusion plate must be used as an electrode (and therefore at least contain a conductive material), but the gas diffusion plate of the present invention is also suitable for applications where the gas diffusion plate is not used as an electrode. In the embodiments where the top gas diffuser plate is used as an upper electrode, it may be made of carbonized carbide, as described above. But when it is desired that the impedance of the gas diffusion plate is smaller than that of silicon carbide (0.005-0.5.00hm-cm), the manufacture of each silicon carbide plate 600,610 enables a thin highly conductive graphite layer 91,920 The principle is to lay on the center of the board and co-planar with the corresponding board, as shown in the figure. This is achieved by treating each plate 600,610 as a graphite plate, and processing each graphite plate to form the structural features described in Figs. 6 and 7. Then each of the graphite plates 600, 610 is siliconized in a conventional manner.

600,610超出石墨外表面之限定深度上 如此得使石墨内 物不受矽化’即碳化矽板600,6 1 0包圍之石墨層91〇,92〇。 該等石墨層910,920的阻抗約較碳化矽者小一級。由於石 因此可免於電漿之破 墨層91 0,920完全為碳化矽所密閉, 壞0600,610 beyond the limited depth of the outer surface of graphite so that the graphite contents are not silicified ', that is, the graphite layer 91,92, surrounded by silicon carbide plate 600,6 10. The graphite layers 910,920 have a resistance that is about one step lower than that of silicon carbide. Because of the stone, the plasma layer can be prevented from being broken. The ink layer 91 0,920 is completely sealed by silicon carbide.

雖然第2A圖及第2B ®之氣體散流板已說明為由碳 13 1220277 化矽製成者,但其實可以矽製成。 本發明之較佳實施例已詳述如上,其各種變體很明顯 亦得由上述推知,該等變體皆不脫離本發明之真正精神範 圍0 第第 的 例 施 〇 實 圖一 視第 側板 面流 剖散 化體 簡氣 的之 器 器mΜ 反反 漿漿 ^B^a 1 之圖 明明 1 說發第 單本為 簡為圖 式圖 A 圖 1 2 第Although the gas diffuser in Figure 2A and 2B ® has been described as made of carbon 13 1220277 siliconized silicon, it can actually be made of silicon. The preferred embodiment of the present invention has been described in detail above, and its various variants are obvious and inferred from the above. These variants do not depart from the true spirit of the present invention. The device for surface flow disintegration and simpleness of the device mM Anti-reverse pulp ^ B ^ a 1 The picture is clearly 1 The first book is a simplified diagram A A 1 2

B 爆 0 Γ第3 份 圖 為 部 視 圖 側 面 剖 圖 侗 的 板 流 散 體 氣 合 組 之 器 應 反 漿 電 中 圖 第3A圖為第2B圖之氣體散流板前板的一實施方式平面 圖。 第3B圖本實施方式中與背板相揍之前板的平面圖。 第4圖為由第3B圖之線4-4所截得之組件剖面側視圖。 第5圖為第1圖電漿反應器氣體散流板之第二實施例的剖 面側視圖& 第6圖為第1圖電漿反應器氣體散流板之第三實施例的部 份爆炸立體圖。 第7圖為第6圖之線7-7所得之剖面視圖。 第8A、8B、8C及8D圖為第6圖氣體散流板之一部份的 連續部份側視圖,其中說明製造第6圖之氣體散 流板的第一方法。 第9A、9B、9C及9D圖為第6圖氣體散流板之一部份的 14 1220277 連續部份側視圖。 第1 0圖為第1圖電漿反應氣氣體散流板之第三實施例的 剖面側視圖。 第11圖為第1 0圖之不同氣體散流板的剖面側視圖。 【元件代表符號簡單說明】 100 真空處理室 105 圓柱狀側壁 110 頂部 115 底部 120 真空幫浦 125 晶圓支撐座檯 130 晶圓(工件) 135 射頻產生器 140 射頻阻抗匹配電路 145 射頻產生器 150 射頻阻抗匹配電路 160 入口 160a 入口 160b 入口 160c 入口 165 氣體供應器 170 氣體岐管 205 背板 210 前板 215 開口 220 軟盤 230 氣體供應孔 235 水平間隙 23 5a ?L 23 5b 水平間隙 310 縱向臂 315 橫向臂 320 縱向通道 325 橫向通道 600 背板 600a 下表面 600-1 鋁土薄膜 605 橫向槽 610 前板 615 洞 616 圓環形氣體埠 15 1220277 620 軟盤 630a 拱形槽 63 0b 拱形槽 635 ?L 660 圓環形開口 805 石墨插入件 810 通道 815 碳化矽插入件 910 石墨層 920 石墨層 16The third picture of B burst 0 Γ is a partial cross-sectional side view 侗 of the plate flow dispersive gas-gathering device. Figure 3A is a plan view of an embodiment of the front plate of the gas flow plate shown in FIG. 2B. FIG. 3B is a plan view of the front plate facing the back plate in this embodiment. Fig. 4 is a sectional side view of the component taken from line 4-4 of Fig. 3B. Fig. 5 is a sectional side view of the second embodiment of the plasma reactor gas diffuser plate of Fig. 1 & Fig. 6 is a partial explosion of the third embodiment of the plasma reactor gas diffuser plate of Fig. 1 Perspective view. Figure 7 is a sectional view taken along line 7-7 of Figure 6. Figures 8A, 8B, 8C, and 8D are side views of a continuous portion of a portion of the gas diffuser plate of Figure 6, which illustrates the first method of manufacturing the gas diffuser plate of Figure 6. Figures 9A, 9B, 9C, and 9D are side views of 14 1220277 continuous portions of the gas diffuser plate of Figure 6. Fig. 10 is a sectional side view of the third embodiment of the plasma reaction gas gas diffusion plate of Fig. 1. FIG. 11 is a cross-sectional side view of the different gas diffusion plates of FIG. 10. [Simple description of component representative symbols] 100 Vacuum processing chamber 105 Cylindrical sidewall 110 Top 115 Bottom 120 Vacuum pump 125 Wafer support base 130 Wafer (workpiece) 135 RF generator 140 RF impedance matching circuit 145 RF generator 150 RF Impedance matching circuit 160 inlet 160a inlet 160b inlet 160c inlet 165 gas supply 170 gas manifold 205 back plate 210 front plate 215 opening 220 floppy disk 230 gas supply hole 235 horizontal gap 23 5a? L 23 5b horizontal gap 310 longitudinal arm 315 transverse arm 320 longitudinal channel 325 transverse channel 600 back plate 600a lower surface 600-1 alumina film 605 transverse groove 610 front plate 615 hole 616 circular ring gas port 15 1220277 620 floppy disk 630a arched groove 63 0b arched groove 635? L 660 round Circular opening 805 Graphite insert 810 Channel 815 Silicon carbide insert 910 Graphite layer 920 Graphite layer 16

Claims (1)

1220277 _—圍 1. 一種電漿反應器,用以處理一半導體晶圓 該 反應器 至少包含: 一真空處理室側壁; 一晶圓支撐座檯,用以在該處理室内支撐該半 圓; 一射頻電源,耦接至該晶圓支撐座檯; 一處理氣體源;及 一氣體散流板,位在該處理室之一頂部處,該 流板至少包含: 一前板,位於該處理室内;一背板,位於 之一外側上,該氣體散流板至少包含一氣體 該氣體歧管鄰近於該背板,該背板及前板 體,並構成一組件,該組件至少包含: (a) —洞陣列,穿設於該前板中,並 理室相通; (b) 至少一氣流控制孔,貫穿該背板 在該歧管及該洞之至少一者間相 孔具有一直徑,該直徑用以決定 少一洞之氣流速率;及 (c) 一軟盤陣列,至少大致與該洞陣列 並位於該等洞之相對者中,用以 該前板至該處理室之氣流流通用 形通道,該等圓環形氣體通道之 導體晶 氣體散 該前板 歧管, 接合成 與該處 ,並可 通;該 通至至 相符, 界定由 之圓環 每一者 17 1220277 皆不向該孔對位。 2.如申請專利範圍第1項所述之反應器,其中該組件更 包含一氣流控制孔陣列,貫穿於該背板中,並與該歧管 及該洞陣列之相對洞相通。 3·如申請專利範圍第2項所述之反應器,其中該等孔之 每一者皆面向該軟盤之對應一者,該組件更包含一平面 間隙,該平面間隙介於該軟盤之每一者及該背板之一面 向表面間,每一平面間隙使該對應孔及該對應圓環形氣 體通道得以相通。 4.如申請專利範圍第1項所述之反應器,其中該組件更 包含: 一氣流控制孔,貫穿該背板,為每一預定鄰近洞群所 用,該孔大致面對該對應鄰近洞群之諸洞的中央一者; 及 内部氣體通道,連接該等洞之中央一者與該鄰近洞群 之其它洞。 5 ·如申請專利範圍第3項所述之反應器,其中該背板至 少包含該洞陣列,而該前板至少包含該軟盤陣列。 6 ·如申請專利範圍第5項所述之反應器,其中該前板及 18 1220277 背板至少包含碳化矽。 7.如申請專利範圍第6項所述之反應器,其中該板之至 少一者更包含一密閉平面石墨層,平行於該板之一平面 延伸。 8. 如申請專利範圍第5項所述之反應器,其中該前板及 背板至少包含陽極化鋁。 9. 如申請專利範圍第5項所述之反應器,其中該氣體散 流板至少包含該反應器之一頂部電極,該反應器更包含 一第二射頻電源,該第二射頻電源耦接至該氣體散流 板。 1 0 ·如申請專利範圍第5項所述之反應器,其中該氣體散 流板至少包含一與該晶圓支撐座檯反向之反向電極,該 射頻電源在該晶圓支撐座檯及該氣體散流板上連接。 1 1.如申請專利範圍第1項所述之反應器,其中該洞陣列 係以平行洞列設置,該組件更包含: 複數個長槽,位於該背板中,位於該對應洞列之洞上, 並在該等洞端為開放者,該等槽之每一者使該孔及該對 應洞列之洞間獲得溝通。 19 1220277 1 2.如申請專利範圍第11項所述之反應器,其中該組件更 包含複數個氣流控制孔,該等氣流控制孔對應於該複數 個洞列,該槽之每一者使該等孔之對應一者與該對應洞 列獲得溝通。 1 3 .如申請專利範圍第11項所述之反應器,其中該孔不與 該軟盤及該等洞間之圓環形間隙對位。 1 4.如申請專利範圍第11項所述之反應器,其中該長形槽 之每一者皆有一寬度,該寬度小於該等洞之每一者的直 徑,且其中該等軟盤之每一者接觸該前板之一面向表 面。 1 5 ·如申請專利範圍第8項所述之反應器,其中該洞圓環 形氣體·通道之每一者被分作一對部份拱形圓環部份。 1 6.如申請專利範圍第1 5項所述之反應器,其中該部份拱 形部份對應於一該圓環形間隙及該對應槽間,其中該圓 環形間隙由該對應洞中之每一軟盤所構成。 1 7·如申請專利範圍第11項所述之反應器,其中該等洞及 軟盤形成於該前板中,該等槽及孔形成於該背板中。 1 8 ·如申請專利範圍第1 7項所述之反應器,其中該前板及 20 1220277 背板至少包含碳化矽β 19.如申請專利範圍第18項所述之反應器,其中該等板之 至少一者更包含一密閉石墨層,該石墨層與該板之一平 面平行。1220277 _ — Wai 1. A plasma reactor for processing a semiconductor wafer, the reactor includes at least: a side wall of a vacuum processing chamber; a wafer support stand for supporting the semicircle in the processing chamber; a radio frequency A power source is coupled to the wafer support base; a processing gas source; and a gas diffusion plate located at the top of one of the processing chambers, the flow plate includes at least: a front plate located in the processing chamber; The back plate is located on one of the outer sides. The gas diffuser plate contains at least one gas. The gas manifold is adjacent to the back plate, the back plate and the front plate body, and forms a component. The component includes at least: (a) — An array of holes is arranged in the front plate and communicates with the chamber; (b) at least one air flow control hole, the phase hole passing through the back plate has a diameter between the manifold and at least one of the holes, and the diameter is used for To determine the airflow rate of one less hole; and (c) a floppy disk array, at least approximately in the hole array and located in the opposite of the holes, for a general-purpose channel for the airflow from the front plate to the processing chamber, the Of a circular gas channel The conductor crystal gas diffuses the front plate manifold, connects to it, and can communicate with it; the lead to coincides with, defines the ring defined by each 17 1220277 is not aligned with the hole. 2. The reactor according to item 1 of the scope of patent application, wherein the component further comprises an array of airflow control holes, which penetrates through the back plate and communicates with the manifold and the opposite holes of the hole array. 3. The reactor as described in item 2 of the scope of the patent application, wherein each of the holes faces a corresponding one of the floppy disk, and the assembly further includes a planar gap that is between each of the floppy disks. One of the back plates faces the surface, and each plane gap allows the corresponding hole and the corresponding annular gas passage to communicate with each other. 4. The reactor according to item 1 of the patent application scope, wherein the component further comprises: a gas flow control hole penetrating the back plate for each predetermined adjacent hole group, the hole substantially facing the corresponding adjacent hole group The central one of the caves; and an internal gas channel connecting the central one of the caves to the other caves of the adjacent cave group. 5. The reactor according to item 3 of the scope of patent application, wherein the back plate contains at least the array of holes, and the front plate contains at least the floppy disk array. 6. The reactor according to item 5 of the scope of patent application, wherein the front plate and the 18 1220277 back plate contain at least silicon carbide. 7. The reactor according to item 6 of the scope of patent application, wherein at least one of the plates further comprises a closed plane graphite layer extending parallel to a plane of the plate. 8. The reactor according to item 5 of the scope of patent application, wherein the front plate and the back plate include at least anodized aluminum. 9. The reactor according to item 5 of the scope of patent application, wherein the gas diffusion plate includes at least one top electrode of the reactor, the reactor further includes a second radio frequency power source, and the second radio frequency power source is coupled to The gas diffuser. 10 The reactor according to item 5 of the scope of patent application, wherein the gas diffusion plate includes at least a reverse electrode opposite to the wafer support base, and the radio frequency power supply is provided on the wafer support base and The gas diffuser is connected. 1 1. The reactor according to item 1 of the scope of patent application, wherein the hole array is arranged in a parallel hole row, and the assembly further comprises: a plurality of long grooves, which are located in the back plate and located in the corresponding hole row. And are open at the ends of the holes, each of the slots enables communication between the hole and the hole in the corresponding hole row. 19 1220277 1 2. The reactor described in item 11 of the scope of patent application, wherein the assembly further includes a plurality of airflow control holes, the airflow control holes corresponding to the plurality of hole rows, and each of the grooves makes the The corresponding one of the holes is communicated with the corresponding hole column. 13. The reactor according to item 11 of the scope of patent application, wherein the hole is not aligned with the circular gap between the floppy disk and the holes. 14. The reactor according to item 11 of the scope of patent application, wherein each of the elongated grooves has a width that is smaller than the diameter of each of the holes, and each of the floppy disks A person touches one of the front plates facing the surface. 15 · The reactor as described in item 8 of the scope of the patent application, wherein each of the hole-ring-shaped gas · channel is divided into a pair of partially arched ring-shaped portions. 16. The reactor according to item 15 of the scope of the patent application, wherein the arched part of the part corresponds to a circular gap and a corresponding groove, wherein the circular gap is in the corresponding hole. Each floppy disk. 17. The reactor according to item 11 of the scope of patent application, wherein the holes and floppy disks are formed in the front plate, and the grooves and holes are formed in the back plate. 1 8 · The reactor described in item 17 of the scope of patent application, wherein the front plate and the 20 1220277 back plate contain at least silicon carbide β 19. The reactor described in item 18 of the scope of patent application, wherein the plates At least one of them further comprises a closed graphite layer, and the graphite layer is parallel to a plane of the plate. 20.如申請專利範圍第17項所述之反應器,其中該前板及 背板至少包含陽極化鋁。 21.如申請專利範圍第17項所述之反應器,其中該氣體散 流板至少包含一該反應器之頂部電極,該反應器更包含 一第二射頻電源,該第二射頻電源耦接至該氣體散流 板。20. The reactor according to item 17 of the scope of patent application, wherein the front plate and the back plate include at least anodized aluminum. 21. The reactor according to item 17 of the scope of patent application, wherein the gas diffusion plate includes at least a top electrode of the reactor, the reactor further comprises a second radio frequency power source, and the second radio frequency power source is coupled to The gas diffuser. 22.如申請專利範圍第17項所述之反應器,其中該氣體散 流板至少包含一反向於該晶圓支撐座檯之反向電極,該 射頻電源在該晶圓支撐座檯及該氣體散流板上連接。 23. —種安裝在一電漿反應器之一頂部位置之氣體散流 板,用以處理一半導體晶圓,並具有一真空處理室側壁、 一用以支撐該半導體晶圓於該處理室中之晶圓支撐座 檯、一耦接至該晶圓之撐座檯之射頻電源、及一處理氣 體源: 該氣體散流板,至少包含: 21 1220277 一前板,位於該處理室中;一背板,位於該前板 之一外側上,該氣體散流板至少包含一氣體歧管, 該氣體歧管鄰近於該背板,該背板及前板接合成 體,並構成一組件,該組件至少包含: (a) —洞陣列,穿設於該前板中,並與該處 理室相通; (b) 至少一氣流控制孔,貫穿該背板,並可 在該歧管及該洞之至少一者間相通;該孔具 有一直徑,該直徑用以決定通至至少一洞之 氣流速率;及 (c) 一軟盤陣列,至少大致與該洞陣列相符, 並位於該等洞之相對者中,用以界定由該前 板至該處理室之氣流流通用之圓環形通道, 該等圓環形氣體通道之每一者皆不向該孔對 位。 24. 如申請專利範圍第23項所述之反應器,其中該組件更 包含一氣流控制孔陣列,貫穿於該背板中,並與該歧管 及該洞陣列之相對洞相通。 25. 如申請專利範圍第24項所述之反應器,其中該等孔之 每一者皆面向該軟盤之對應一者,該組件更包含一平面 間隙,該平面間隙介於該軟盤之每一者及該背板之一面 向表面間,每一平面間隙使該對應孔及該對應圓環形氣 22 1220277 體通道得以相通。 2 6.如申請專利範圍第23項所述之反應器,其中該組件更 包含: 一氣流控制孔,貫穿該背板,為每一預定鄰近洞群 所用,該孔大致面對該對應鄰近洞群之諸洞的中央一 者;及 内部氣體通道,連接該等洞之中央一者與該鄰近洞 群之其它洞。 2 7.如申請專利範圍第25項所述之反應器,其中該背板至 少包含該洞陣列,而該前板至少包含該軟盤陣列。 2 8.如申請專利範圍第27項所述之反應器,其中該前板及 背板至少包含碳化矽。 29.如申請專利範圍第28項所述之反應器,其中該板之至 少一者更包含一密閉平面石墨層,該石墨層平行於該板 之一平面延伸。 3 0.如申請專利範圍第27項所述之反應器,其中該前板及 背板至少包含陽極化鋁。 3 1.如申請專利範圍第2 3項所述之反應器,其中該洞陣列 23 1220277 係以平行洞列設置,該組件更包含: 複數個長槽,位於該背板中,位於該對應洞列之洞上, 並在該等洞端為開放者,該等槽之每一者使該孔及該對 應洞列之洞間獲得溝通。 3 2.如申請專利範圍第3 1項所述之反應器,其中該組件更 包含複數個氣流控制孔,該等氣流控制孔對應於該複數 個洞列,該槽之每一者使該等孔之對應一者與該對應洞 列獲得溝通。 3 3 ·如申請專利範圍第31項所述之反應器,其中該孔不與 該軟盤及該等洞間之圓環形間隙對位。 34·如申請專利範圍第31項所述之反應器,其中該長形槽 之每一者皆有一寬度,該寬度小於該等洞之每一者的直 徑,且其中該等軟盤之每一者接觸該前板之一面向表 面。 3 5.如申請專利範圍第3 4項所述之反應器,其中該圓環形 氣體通道之每一者被分作一對部份拱形圓環部份。 3 6 ·如申請專利範圍第3 5項所述之反應器,其中該部份拱 形圓環部分對應於一該圓環形間隙及該對應槽間,其中 該圓環形間隙由該對應洞中之每一軟盤所構成。 24 1220277 3 7 ·如申請專利範圍第31項所述之反應器,其中該等洞及 軟盤形成於該前板中,該等槽及孔形成於該背板中。 3 8.如申請專利範圍第3 7項所述之反應器,其中該前板及 背板至少包含碳化矽。 3 9.如申請專利範圍第38項所述之反應器,其中該等板之 至少一者更包含一密閉石墨層,該石墨層與該板之一平 面平行。 40.如申請專利範圍第3 1項所述之反應器,其中該前板及 背板至少包含陽極化鋁。 4 1 ·如申請專利範圍第2 3項所述之反應器,其中該圓環形 氣體通道為圓形。 42 ·如申請專利範圍第2 3項所述之反應器,其中該圓環形 氣體通道為非圓形。 43 · —種建構一氣體散流板之方法,該氣體散流板用以在 一電漿反應器中處理半導體晶圓,該氣體散流板至少包 括碳化矽且具有複數個平行槽,平行槽向上與各氣流控 制孔連通且向下與各列圓環形氣體通道連通,該方法至 少包含以下步驟: 25 A^U277 形成平行槽於一碳化矽板之一表面; 將石墨插入件置入平行槽中; 沉積一碳化矽層於該碳化矽板之該表面; 加熱該碳化矽板以移除該石墨插入件; 銑出各列圓環形開口穿過沉積之碳化矽層而與各 槽連通。 44· 一種建構一氣體散流板之方法,該氣體散流板用 一電漿反應器中處理半導體晶圓,該氣體散流板至 括碳化矽且具有複數個平行槽,平行槽向上與各氣 制孔連通且向下與各列圓環形氣體通道連通,該方 少包含以下步驟: 形成平行槽於一碳化矽板之一表面; 以碳化矽插入件置入平行槽中; 沉積一碳化矽層於該嗯碳化矽板之該表面; 銑出各列圓環形開口穿過沉積之碳化矽層且穿過 化矽插入件而與各平行槽連通β 45· 一種電漿反應器,用以處理一半導體晶圓,該反 至少包含: 一真空處理室側壁; 一晶圓支撐座檯,用以在該處理室内支撐該半導 圓; 一射頻電源,耦接至該晶圓支撐座檯; 平行 以在 少包 流控 法至 該碳 應器 體晶 26 1220277 一處理氣體源;及 一氣體散流板,位在該處理室之一頂部處,該氣體散 流板至少包含: 一前板,位於該處理室内;一背板,位於該前板 之一外側上,該氣體散流板至少包含一氣體歧管, 該氣體歧管鄰近於該背板,該背板及前板接合成 體,並構成一組件,該組件至少包含: (a) —洞陣列,穿設於該前板中,並與該處 理室相通, (b) 至少一氣流控制孔,貫穿該背板,並可 在該歧管及該洞之至少一者間相通;該 孔具有一直徑,該直徑用以決定通至至 少一洞之氣流速率;及 (c) 一氣流轉向元件,用以轉變該前板及後 板間之氣流,即對背板中氣流模型轉變 成前板之圓環形氣流模型。 46.如申請專利範圍第45項所述之反應器,其中: 該前板之氣流模型對應於一第一半徑,該圓環形模型 則對應於一第二半徑,第二半徑大於第一半徑; 該氣流轉向元件產生一快速氣流改變(a)由每一氣流 模型中之一垂直氣流(b)改變成一水平氣流,且該氣流 由第一半徑轉變成第二半徑接著(c)再轉變成每一對應 圓環形開口之一垂直氣流。 2722. The reactor according to item 17 of the scope of the patent application, wherein the gas diffusion plate includes at least a reverse electrode opposite to the wafer support base, and the radio frequency power source is located on the wafer support base and the Connected on the gas diffuser. 23. A gas diffusion plate installed at the top of one of the plasma reactors for processing a semiconductor wafer and having a vacuum processing chamber side wall for supporting the semiconductor wafer in the processing chamber A wafer support base, an RF power source coupled to the wafer support base, and a processing gas source: the gas diffuser plate includes at least: 21 1220277 a front plate located in the processing chamber; a The back plate is located on the outer side of one of the front plates. The gas diffuser plate includes at least a gas manifold. The gas manifold is adjacent to the back plate. The back plate and the front plate are connected to a composite body and constitute a component. The assembly includes at least: (a) an array of holes that pass through the front plate and communicate with the processing chamber; (b) at least one airflow control hole that penetrates the back plate and can be located in the manifold and the holes At least one is in communication; the hole has a diameter that determines the airflow rate to at least one hole; and (c) a floppy disk array that at least roughly matches the hole array and is located opposite the holes , Used to define from the front plate to the processing chamber The gas flow is generally a circular ring channel, and each of these circular ring gas channels is not aligned with the hole. 24. The reactor according to item 23 of the scope of patent application, wherein the component further comprises an array of airflow control holes, which penetrates through the back plate and communicates with the manifold and the opposite holes of the array of holes. 25. The reactor as described in item 24 of the scope of patent application, wherein each of the holes faces a corresponding one of the floppy disk, and the assembly further includes a planar gap that is between each of the floppy disks. Between one of the back plates and the facing surface, each plane gap allows the corresponding hole to communicate with the corresponding annular gas channel. 2 6. The reactor according to item 23 of the scope of patent application, wherein the component further comprises: a gas flow control hole penetrating the back plate for each predetermined adjacent hole group, the hole substantially facing the corresponding adjacent hole The central one of the caves of the group; and an internal gas channel connecting the central one of the holes to the other holes of the adjacent cave group. 2 7. The reactor according to item 25 of the patent application scope, wherein the back plate contains at least the array of holes, and the front plate contains at least the floppy disk array. 2 8. The reactor according to item 27 of the scope of patent application, wherein the front plate and the back plate contain at least silicon carbide. 29. The reactor according to item 28 of the scope of patent application, wherein at least one of the plates further comprises a closed planar graphite layer, the graphite layer extending parallel to a plane of the plate. 30. The reactor according to item 27 of the scope of patent application, wherein the front plate and the back plate include at least anodized aluminum. 3 1. The reactor as described in item 23 of the scope of patent application, wherein the hole array 23 1220277 is arranged in a parallel hole row, and the assembly further includes: a plurality of long slots located in the back plate and located in the corresponding hole The holes in the rows are open at the ends of the holes, and each of the grooves enables communication between the hole and the holes in the corresponding hole row. 3 2. The reactor as described in item 31 of the scope of patent application, wherein the component further includes a plurality of airflow control holes, and the airflow control holes correspond to the plurality of hole rows, and each of the grooves makes the The corresponding one of the holes communicates with the corresponding hole column. 3 3 · The reactor according to item 31 of the scope of patent application, wherein the hole is not aligned with the circular gap between the floppy disk and the holes. 34. The reactor according to item 31 of the scope of patent application, wherein each of the elongated grooves has a width that is smaller than the diameter of each of the holes, and each of the floppy disks Touch one of the front plates facing the surface. 35. The reactor according to item 34 of the scope of the patent application, wherein each of the annular gas passages is divided into a pair of partially arched annular portions. 36. The reactor according to item 35 of the scope of patent application, wherein the part of the arched ring portion corresponds to a circular gap and a corresponding groove, wherein the circular gap is formed by the corresponding hole. Each of the floppy disks. 24 1220277 3 7 · The reactor according to item 31 of the scope of patent application, wherein the holes and floppy disks are formed in the front plate, and the grooves and holes are formed in the back plate. 38. The reactor according to item 37 of the scope of patent application, wherein the front plate and the back plate include at least silicon carbide. 39. The reactor according to item 38 of the scope of patent application, wherein at least one of the plates further comprises a closed graphite layer, and the graphite layer is parallel to one plane of the plate. 40. The reactor according to item 31 of the scope of patent application, wherein the front plate and the back plate include at least anodized aluminum. 4 1 · The reactor according to item 23 of the scope of patent application, wherein the annular gas passage is circular. 42. The reactor according to item 23 of the scope of patent application, wherein the annular gas passage is non-circular. 43. A method for constructing a gas diffuser plate for processing semiconductor wafers in a plasma reactor. The gas diffuser plate includes at least silicon carbide and has a plurality of parallel grooves. It communicates upward with each airflow control hole and downward with each row of circular gas channels. The method includes at least the following steps: 25 A ^ U277 forming a parallel groove on one surface of a silicon carbide plate; placing a graphite insert in parallel Depositing a silicon carbide layer on the surface of the silicon carbide plate; heating the silicon carbide plate to remove the graphite insert; milling out a series of circular openings through the deposited silicon carbide layer to communicate with each groove . 44 · A method for constructing a gas diffuser plate for processing semiconductor wafers in a plasma reactor, the gas diffuser plate includes silicon carbide and has a plurality of parallel grooves, and the parallel grooves are upwardly connected to each The gas holes communicate with and downwardly communicate with each row of circular gas channels. The method includes the following steps: forming a parallel groove on a surface of a silicon carbide plate; placing a silicon carbide insert into the parallel groove; depositing a carbide The silicon layer is on the surface of the silicon carbide plate; each column of circular openings is milled to pass through the deposited silicon carbide layer and through the silicon carbide insert to communicate with the parallel grooves. Β 45 · A plasma reactor is used To process a semiconductor wafer, the reactor at least includes: a vacuum processing chamber side wall; a wafer support base for supporting the semi-circular circle in the processing chamber; an RF power source coupled to the wafer support base A parallel processing flow source to the carbon reactor body crystal 26 1220277 a processing gas source; and a gas diffusion plate located at the top of one of the processing chambers, the gas diffusion plate includes at least: a front Board, bit In the processing chamber; a back plate located on the outside of one of the front plates, the gas diffuser plate comprising at least a gas manifold, the gas manifold being adjacent to the back plate, the back plate and the front plate being connected to a composite body, And constitute a component, the component includes at least: (a) an array of holes, which is penetrated in the front plate and communicates with the processing chamber; (b) at least one airflow control hole passes through the back plate and can be located in the back plate; The manifold communicates with at least one of the holes; the hole has a diameter that is used to determine the airflow rate to at least one hole; and (c) an airflow deflection element to change the front and rear plates The inter-air flow, that is, the air flow model in the back plate is transformed into the circular air flow model in the front plate. 46. The reactor according to item 45 of the scope of patent application, wherein: the airflow model of the front plate corresponds to a first radius, and the circular ring model corresponds to a second radius, and the second radius is larger than the first radius The airflow turning element generates a rapid airflow change (a) from a vertical airflow in each airflow model (b) to a horizontal airflow, and the airflow is changed from a first radius to a second radius and then (c) to One vertical airflow for each corresponding circular opening. 27
TW091135109A 2001-12-19 2002-12-03 Gas distribution plate electrode for a plasma reactor TWI220277B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/027,732 US6586886B1 (en) 2001-12-19 2001-12-19 Gas distribution plate electrode for a plasma reactor

Publications (2)

Publication Number Publication Date
TW200306624A TW200306624A (en) 2003-11-16
TWI220277B true TWI220277B (en) 2004-08-11

Family

ID=21839448

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091135109A TWI220277B (en) 2001-12-19 2002-12-03 Gas distribution plate electrode for a plasma reactor

Country Status (7)

Country Link
US (2) US6586886B1 (en)
JP (1) JP3114739U (en)
KR (1) KR100628014B1 (en)
CN (1) CN100338721C (en)
MY (1) MY128024A (en)
TW (1) TWI220277B (en)
WO (1) WO2003054913A2 (en)

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6576981B1 (en) * 2001-07-03 2003-06-10 Lsi Logic Corporation Reduced particulate etching
US8202621B2 (en) * 2001-09-22 2012-06-19 Rohm And Haas Company Opaque low resistivity silicon carbide
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050223983A1 (en) * 2004-04-08 2005-10-13 Venkat Selvamanickam Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
EP1860680A1 (en) * 2006-05-22 2007-11-28 New Power Plasma Co., Ltd. Inductively coupled plasma reactor
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8435379B2 (en) 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
DE102007026349A1 (en) * 2007-06-06 2008-12-11 Aixtron Ag From a large number of diffusion-welded panes of existing gas distributors
JP5008478B2 (en) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 Substrate processing apparatus and shower head
WO2009078921A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
KR101432562B1 (en) * 2007-12-31 2014-08-21 (주)소슬 Apparatus for processing substrate and method for processing substrate
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
TWI399820B (en) * 2009-05-06 2013-06-21 Au Optronics Corp Plasma processing device and insulating cover plate thereof
WO2011005808A2 (en) * 2009-07-07 2011-01-13 Morgan Advanced Materials And Technology Inc. Hard non-oxide or oxide ceramic / hard non-oxide or oxide ceramic composite hybrid article
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
JP3160877U (en) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation End-clamping and machine-fixed inner electrode of showerhead electrode assembly
TWI430714B (en) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc Showerhead assembly for plasma processing chamber and method for fabricating gas ionization plate thereof
EP2507860B1 (en) * 2009-12-04 2017-10-18 Kolon Industries, Inc. Humidifier for fuel cell
TWI417984B (en) 2009-12-10 2013-12-01 Orbotech Lt Solar Llc Auto-sequencing multi-directional inline processing apparatus
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
WO2011159690A2 (en) * 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
TWI534291B (en) 2011-03-18 2016-05-21 應用材料股份有限公司 Showerhead assembly
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
JP2013191593A (en) * 2012-03-12 2013-09-26 Tokyo Electron Ltd Plasma processing apparatus
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN104183450A (en) * 2013-05-22 2014-12-03 中微半导体设备(上海)有限公司 Gas distribution plate and manufacturing method thereof
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
JP6127276B2 (en) * 2014-02-04 2017-05-17 パナソニックIpマネジメント株式会社 Plasma processing apparatus and method
USD732093S1 (en) * 2014-03-19 2015-06-16 Veeco Ald Inc. Gas tube assembly
USD732092S1 (en) * 2014-03-19 2015-06-16 Veeco Ald Inc. Gas injection plate
US9905400B2 (en) 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10978332B2 (en) * 2016-10-05 2021-04-13 Prilit Optronics, Inc. Vacuum suction apparatus
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
CN111333065A (en) * 2020-04-05 2020-06-26 黑龙江工业学院 Plasma injection type high-purity graphite purification device

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2967926A (en) 1958-03-10 1961-01-10 Union Carbide Corp Testing process and apparatus
US2951960A (en) 1959-03-24 1960-09-06 Tung Sol Electric Inc Gaseous discharge device
FR1402020A (en) 1964-04-27 1965-06-11 Csf Improvements to ion sources
US3610986A (en) 1970-05-01 1971-10-05 Union Carbide Corp Electron beam source including a pilot nonthermionic, electron source
US4570106A (en) 1982-02-18 1986-02-11 Elscint, Inc. Plasma electron source for cold-cathode discharge device or the like
US4458180A (en) 1982-02-18 1984-07-03 Elscint Ltd. Plasma electron source for cold-cathode discharge device or the like
US4888518A (en) 1987-11-16 1989-12-19 Itt Corporation Gas circulation apparatus for ceramic electron tubes
EP0334184B1 (en) 1988-03-16 1996-08-14 Hitachi, Ltd. Microwave ion source
EP0463408A3 (en) 1990-06-22 1992-07-08 Hauzer Techno Coating Europe Bv Plasma accelerator with closed electron drift
FR2667980A1 (en) 1990-10-12 1992-04-17 Sodern ELECTRON SOURCE HAVING A MATERIAL RETENTION DEVICE.
KR100276093B1 (en) * 1992-10-19 2000-12-15 히가시 데쓰로 Plasma etching system
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5576600A (en) 1994-12-23 1996-11-19 Dynatenn, Inc. Broad high current ion source
JP3208044B2 (en) 1995-06-07 2001-09-10 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5942074A (en) 1996-03-29 1999-08-24 Lam Research Corporation Single-piece gas director for plasma reactors
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6075321A (en) 1998-06-30 2000-06-13 Busek, Co., Inc. Hall field plasma accelerator with an inner and outer anode
JP2000290777A (en) * 1999-04-07 2000-10-17 Tokyo Electron Ltd Gas treating device, buffle member, and gas treating method
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber

Also Published As

Publication number Publication date
TW200306624A (en) 2003-11-16
US20030111961A1 (en) 2003-06-19
CN100338721C (en) 2007-09-19
MY128024A (en) 2007-01-31
JP3114739U (en) 2005-10-27
KR100628014B1 (en) 2006-09-26
WO2003054913A3 (en) 2003-10-30
WO2003054913A2 (en) 2003-07-03
KR20040054619A (en) 2004-06-25
CN1515019A (en) 2004-07-21
US6586886B1 (en) 2003-07-01
US20030201723A1 (en) 2003-10-30
US6677712B2 (en) 2004-01-13

Similar Documents

Publication Publication Date Title
TWI220277B (en) Gas distribution plate electrode for a plasma reactor
TWI720010B (en) Plasma etching systems and methods with secondary plasma injection
KR101118003B1 (en) Stepped upper electrode for plasma processing uniformity
KR100440658B1 (en) Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
JP4216243B2 (en) Helical resonator type plasma processing equipment
TWI343593B (en) Gas baffle and distributor for semiconductor processing chamber
US8826855B2 (en) C-shaped confinement ring for a plasma processing chamber
US6963043B2 (en) Asymmetrical focus ring
US6572814B2 (en) Method of fabricating a semiconductor wafer support chuck apparatus having small diameter gas distribution ports for distributing a heat transfer gas
TWI479597B (en) Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
JP2002246368A (en) System for processing a wafer using radially uniform plasma over wafer surface
TW202046826A (en) Gas showerhead, manufacturing method, and plasma apparatus including the gas showerhead
JPH07335630A (en) Vacuum processing device
CN100514571C (en) Plasma etching system
JPH0817748A (en) Plasma processing device
TWI337761B (en)
JP2001118915A (en) Multilayer ceramic electrostatic chuck having internal channel
KR102224586B1 (en) Coating material for processing chambers
US20040261714A1 (en) Plasma processing apparatus
US11830755B2 (en) Electrostatic chuck and semiconductor manufacturing apparatus
KR20000026696A (en) Lower electrodes of etching equipment
US11450546B2 (en) Semiconductor substrate support with internal channels
JP2000208424A (en) Treatment device and its method
KR20210147553A (en) Apparatus for processing substrate
JP2000096244A (en) Substrate placing stand and film forming device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees