TW535234B - Plasma processing method and apparatus using dynamic sensing of a plasma environment - Google Patents

Plasma processing method and apparatus using dynamic sensing of a plasma environment Download PDF

Info

Publication number
TW535234B
TW535234B TW090104809A TW90104809A TW535234B TW 535234 B TW535234 B TW 535234B TW 090104809 A TW090104809 A TW 090104809A TW 90104809 A TW90104809 A TW 90104809A TW 535234 B TW535234 B TW 535234B
Authority
TW
Taiwan
Prior art keywords
plasma
plasma processing
wafer
processing
control
Prior art date
Application number
TW090104809A
Other languages
English (en)
Inventor
Manabu Edamura
Hideyuki Yamamoto
Kazuyuki Ikenaga
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Application granted granted Critical
Publication of TW535234B publication Critical patent/TW535234B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

535234 » A7 __ B7 五、發明說明(1 ) 【發明領域】 本發明係關於在半導體、液晶顯示用基板等的製造中 有效的電漿處理裝置以及處理方法,特別是關於用以控制 這些製程參數之有用的裝置製程監控技術。 【發明背景】 【習知技藝之說明】 對應半導體裝置(Device )的微細化,在電漿製程( Plasma process )中於晶圓(Wafer )內可實現均勻的處理 結果之製程條件的範圍,即製程裕度窗(Process wmdow ) 年年變窄,今後的電漿製程裝置被要求更完全的製程狀態 的控制。爲了實現此目的,需要可極高精度地控制電漿的 分布或製程氣體的解離或反應器(Reactor )內的表面反應 之裝置。 爲了實現這種高精度的電漿處理之控制,近年的電漿 處理裝置係裝備幾個電漿處理中的現象之監控(Monitor ) 裝置,利用這些裝置的訊號,進行電漿處理的控制。若以 電漿蝕刻裝置爲例,最一般的製程監控裝置爲發光監控, 例如如1 9 9 7年6月2 7日發行的斤-:6-2666768、1?-八-8-2982 59揭示藉由使用由發光監控所產生的訊號,控制R F 功率、處理壓力、氣體流量等的各種參數來控制蝕刻狀態 的方法。而且,在現在市場上出售的大部分的電漿蝕刻裝 置中,係利用特定的波長之發光強度的變化或複數個波長 的發光強度比等,進行判斷完成所希望的膜厚的蝕刻處理 (請先閱讀背面之注意事項再填寫本頁) 裝: · 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -4 - i 535234 A7 ______ B7 五、發明說明(2 ) (請先閱讀背面之注意事項再填寫本頁) 之蝕刻終點判定。而且,爲了更高度的電漿處理或裝置的 管理’除了發光監控外,測定包含生成電漿的高頻電路之 電流、電壓、電漿之負荷的阻抗(Impedance )之阻抗監控 ’或質量分析器等各種製程監控的有用性被檢討。雖然在 實際的製造線所使用的例子很少,惟在實驗室水平則有使 用雷射(Laser )或紅外線的吸收之製程監控。 【發明槪要】 這些製程監控雖然在各種局面有用,但因處理室( Chamber )內的電漿所引起的現象極爲複雜,故由這些監控 所獲得的資訊量並不充分。例如,發光監控的情形、同一 波長由複數個解離種所產生的發光重疊的情形,要判斷該 變化是由哪一解離種所引起有時很困難。雖然也有藉由利 用複數個波長的運算處理等來解決的情形,但未必所有的 情況都可藉由好的運算處理方法來解決這種問題。 現在,裝備於實際上半導體製造線上所使用的電漿處 理裝置之大部分的製程監控的共通點都是被動的監控( 經濟部智慧財產局員工消費合作社印製
Passive monitor )。主動的監控(Active monitoring )方法 例如可舉出前述的雷射吸收法等。雷射吸收方法其藉由照 射雷射之主動的行爲所獲得的資訊量會增加。但是由成本 (C 〇 s ί )面、裝置的構造限制等要實現是極爲困難。 本發明的目的爲提供不受裝置的構造上之限制,用以 獲得主動監控對控制參數的結果之電漿處理用的製程監控 方法及裝置。 -5- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) 535234 y A7 ___ B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(3 ) 爲了實現目的,如果依照本發明的一個樣態,令投入 電漿的功率、處理壓力、氣體流量、施加於晶圓的高頻偏 壓(Bias )功率之至少一個電漿製程控制參數,在不影響 晶圓的電漿處理結果的範圍,與電漿處理時間比較非常短 的時間變動,監控此時所引起的電漿狀態之非穩定性。透 過藉由令電漿狀態變化之主動的行爲,檢測所引起的電黎 狀態的非穩定性,即使利用與習知相同的製程監控,資訊 對時間軸也會增加,包含所得到的資料之資訊量也迅速地 增大。 【圖面之簡單說明】 圖1係顯示本發明的一實施例之圖。 圖2係顯示習知技術的圖。 圖3係顯示本發明的一實施例之圖。 圖4係顯示功率關閉時的發光強度的變化之模式圖。 圖5係顯示本發明的一實施例之圖。 圖6係顯示本發明的一實施例之圖。 圖7係顯示本發明的一實施例之圖。 【符號說明】 1 · 反應室 2 : 蝴蝶閥 3 ·· 泵 4 : 電漿來源 (請先閱讀背面之注意事項再填寫本頁) ·裝 »-訂· ·% 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公f ) 535234 A7
五、發明說明(4 ) 5 · 台電極 6 : 觀察窗口 3 ' 電漿發光監控 7 A : 感測器 8、1 2 : 電流電壓阻抗監控 9: 高頻電源 1〇· 整合器 11: 生成電漿 13: 整合器 14: 高頻電源 15: 半導體晶圓 16: 觸發訊號 17: 資料解析裝置 18: 裝置控制系(裝置管理電腦) 【較佳實施例之詳細說明】 以下參照添附圖示來說明本發明的實施例。 本發明並非限定於半導體裝置的製造領域,可適用於 液晶顯示的製造或各種電漿表面處理等各種領域。此處, 以半導體裝置製造用的電漿鈾刻裝置爲例來顯示實施例。 圖1係顯示本發明的一實施例。圖中的處理反應室1 例如爲真空谷器’經由蝴蝶閥(Butterfly valve) 2與栗3 連接。在處理反應室1中設置用以載置半導體晶圓15的 台(Stage )電極5,藉由此電極晶圓被施加高頻,據此’ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 褒·_ 訂· 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 535234 » A7 B7 五、發明說明(5 ) 控制射入晶圓的離子能量(Ιόη energy )。另一*方面,在與 晶圓對向的位置有中介電流、電壓、阻抗監控1 2與整合 器1 3與高頻電源1 4連接的電漿來源4,生成電漿1 1 。此高頻電源1 4被脈衝調變,可間歇地關閉重複功率。 與此脈衝調變同步的觸發(Trigger )訊號1 6被輸入到設 置於透過觀察窗口( Viewport ) 6取入電獎的發光之包含 檢測器即感測器(Sensor ) 7 A的電漿發光監控7、電漿 來源電源或偏壓的線之電流電壓阻抗監控1 2、8。這些 監控可與脈衝的定時(Timing )同步來測定。上述偏壓線 包含局頻電源9與整合器1 〇,連接於台電極5。由這些 監控所測定的訊號,係利用資料解析裝置1 7來解析其時 間響應特性,反饋給裝置控制系1 8 ,或以資料傳送到半 導體工廠內的各半導體製造裝置的管理用電腦系統1 8等 ’變成裝置診斷的資料源。此實施例雖然以發光監控與電 流電壓阻抗監控爲電漿製程監控的例子來顯示,惟包含其 他光學的、電性的、磁性的、機械的、熱的、壓力、溫度 或其他物理的、化學的感測器之監控手段也包含在本發明 〇 圖2係顯示利用習知方法的電漿蝕刻中的電漿來源電 源的投入功率的變化。通常使電漿發生到蝕刻完成切斷爲 止’功率保持於一定。圖3係顯示藉由本發明之一實施例 所產生的電漿蝕刻中的運轉方法之模式圖。投入電漿的功 率例如每隔一秒以i 〇〜1 〇 〇 V s的時間中,被脈衝地 切斷。触刻時間大致當作1 〇 〇秒的話,有約1 〇 〇次的 本紙張尺度剌中關家標準(CNS)A4規格咖χ撕公髮) (請先閱讀背面之注意事項再填寫本頁) · n -ϋ n s« I* I I I I 篇 535234 A7 B7 五、發明說明(ί)) 關閉時間,發光等的製程監控感測器,係以與此關閉時間 同步的定時來進行測定。切斷時間1 0 # S時’打開時間 與關閉時間的比爲1〇〇0 0〇、1〇〇V S時爲10000, 爲十分大的値。因此,可說關閉電漿的影響對製品的蝕刻 結果並無那麼大。 圖4係顯示投入電漿的功率之關閉時間中的電漿之發 光強度的變化之波形特性。由此特性得知藉由不同的波長 ,關閉功率後的衰減樣子即衰減圖案(Pattern )不同。通 常這種程度的時間因解離種的密度自身實質上並未那樣地 減少,故功率關閉後的發光強度之衰減對應電漿中的電子 密度以及能量的減少。例如原子的發光因一般激勵能量高 ,故衰減早。但是分子的發光激勵能量低、衰減緩慢。因 此,藉由觀看這些發光強度的響應(Response ),可判別 解離種。而且,由這些變化可知道電子的損失的推移。當 製程氣體並不那樣地呈電陰性時,電子的損失因反應室的 壁表面狀態而造成之處大,故也有可以得知反應室的壁表 面狀態之優點。圖5係顯示利用本發明的一實施例之運轉 方法的一例。利用電漿生成方法若完全關閉投入功率的話 ,則生成電漿的不穩定性會增加。以此對策雖然製程監控 側的感度會降低,惟如圖5所示,即使不完全關閉投入功 率僅令功率變化,也具有同樣的效果。此外,在圖3以及 圖5中,取代電漿來源電源的投入功率,令偏壓電源的功 率變化也可以。 即使在習知的運轉方法中,也有在電漿點火時以及切 (請先閱讀背面之注意事項再填寫本頁) 訂--------- 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 一 g 一 535234 A7 B7 五、發明說明(7 ) 斷時的製程監控資料,包含比電漿穩定地產生的時間中的 製程監控資料還多的資訊。圖6係顯示以此點爲目標的監 控方法。此方法雖然有只取兩點的資料之難點,但特別是 藉由關閉電漿的定時中的發光或電漿來源的電流電壓的變 化’可檢驗(Check )反應器特別是表面狀態的穩定性。 圖7係顯示本發明的一實施例,令壓力或流量、流量 比所謂的響應(Response )慢的參數變動的例子。這種情 形’因無法像來源功率般施加短的脈衝,故在不影響蝕刻 結果的範圍使施加訊號振動,藉由製程監控,透過測定起 因於此振動的測定量的變化,可較習知的方法還高感度地 得知反應器內的電漿狀態。 其次,關於依照本發明的具體的感測器輸出與其處理 控制方法,取介電層蝕刻爲例來說明。在介電層触刻製程 中,以A r氣體爲主體添加C4F8氣體。C4F8係用以獲 得蝕刻以及沉積性而添加。在介電層蝕刻中,得知因爲此 c 4 F 8氣體而沉積聚合物(Polymer )的反應室壁的狀態 ’強烈地影響電漿蝕刻,得知反應室壁的狀態對於許多晶 圓處理,爲了進行穩定的電漿蝕刻處理是必要。 因此,藉由前述圖6的實施例的運轉、監控方法,檢 測電漿遮斷時的A r的發光的衰減曲線。此發光的衰減其 主要的起因爲電子的消失,遮斷電漿時因電子主要係碰撞 反應室的壁而消失,故此衰減曲線深受壁的狀態影響。圖 1中的資料解析裝置1 7係令A r的發光強度I爲I = Ι〇· exp (― kt)來進行裝配(Fitting ),以此 k 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------1---.1¾ 裝—— (請先閱讀背面之注意事項再填寫本頁) . 經濟部智慧財產局員工消費合作社印製 -10- 經濟部智慧財產局員工消費合作社印製 535234 , A7 B7 五、發明說明(8 ) 値是否爲預先設定的適當範圍來判斷晶圓處理的開工開始 或反應室的淸洗的時機(Timing ),對裝置控制系或裝置 管理電腦1 8發出指令。即當k大時,因反應室壁爲過度 淸洗的狀態,故需老化(Aging ),當k太小時,判斷爲反 應室壁爲過度污染需要淸洗。依照此判斷結果來進行對反 應室的對應處理。 而且,其他的具體例在介電層蝕刻中,C F 2原子團( Radical )主要是進行蝕刻最重要的解離種。C F 2的發光 在2 0 0到3 0 0 n m的紫外區域以多數個尖峰(peak ) 顯現,惟爲了獲得此尖峰,需要高分解能的分光器( Spectroscope )。高分解能的分光器爲大型,裝備於普通製 造裝置的分光器爲小型分解能低,無法判別這種C F 2的尖 峰,無法判別這附近出現的例如S i的尖峰等。因此,利 用依照本發明的圖3之實施例的運轉方法,使用發光監控 7,檢測C F 2與S jl的重疊之發光衰減曲線與當作基準( Reference)如A r的尖峰可單獨地測定的原子的發光。如前 述因原子的發光其衰減比分子的發光快,故由這些衰減曲 線解析資料解析裝置1 7對C F 2的發光量之貢獻。並且, 對控制裝置1 8發出指令使解析所得到的C F 2的量爲一定 ,例如控制C 4 F 8的流量。其結果C F 2的解離狀態被保 持於一定,對許多晶圓可持續良好的蝕刻結果。 以上,如果依照本發明,令投入電漿的功率、處理壓 力、氣體流量、施加於晶圓的高頻偏壓功率之所謂的控制 參數,在不影響晶圓的電漿處理結果的範圍’與全體的電 -------------丨 裝---- (請先閱讀背面之注意事項再填寫本頁) -I I 訂·-------- %_
本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 7]]Z 535234 , A7 B7 五、發明說明(9 ) 漿處理時間比較非常短的時間變動,藉由監控此時所引起 的電漿狀態之非穩定性,即使利用與習知相同的製程監控 ,資訊對時間軸也會增加,包含所得到的資料之資訊量也 迅速地增大。透過利用藉由此監控法所獲得的訊號來進行 電漿處理的控制,使微細的蝕刻加工或高品質的形成膜的 加工、表面處理等變成可能。 (請先閱讀背面之注意事項再填寫本頁) -----1 ----訂-------- 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -12-

Claims (1)

  1. 535234 A8 B8 C8 D8 申請專利範圍 第901 04809號專利申請案 中文申請專利範圍修正本 (請先閱讀背面之注意事項再填寫本頁) 民國92年2月21日修正 種電漿處理裝置,包含: 控制裝置,令控制投入電漿的功率、處理壓力、氣體 流量、施加於晶圓的高頻偏壓功率之至少一個製程的參數 ,在不影響晶圓的電漿處理結果的範圍變動;以及 光學監控裝置,檢測顯示此時所引起的電漿狀態之非 穩定性的訊號,其中 使用該檢測訊號來進行電漿處理的控制、裝置的診斷。 2. —種電漿處理裝置,包含: 控制裝置,令控制投入電漿的功率、處理壓力、氣體 流量、施加於晶圓的高頻偏壓功率之至少一個製程的參數 ,在不影響晶圓的電漿處理結果的範圍變動; 操作裝置,間歇地重複切斷同時運轉投入電漿的功率 或施加於該晶圓的高頻偏壓;以及 經濟部智慧財產局員工消費合作社印製 監控裝置,用以檢測顯示該剛切斷之後的電漿狀態·的. 時間變化的訊號,其中 使用該檢測訊號來進行電漿處理的控制、裝置的診斷 〇 . · 3 . —種電漿處理裝置,包含: 控制裝置,令控制投入電漿的功率、處理壓力、氣體 流量、施加於晶圓的高頻偏壓功率之至少一個製程的參數 ,在不影響晶圓的電漿處理結果的範圍變動; 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 535234 A8 B8 C8 D8 六、申請專利範圍 (請先閱讀背面之注意事項再填寫本頁) 監控裝置,用以光學的、電性的、磁性的、機械的、 熱的、壓力、溫度或其他物理的、化學的檢測顯示電漿處 理裝置的電漿剛著火後,或剛切斷後的電漿狀態的時間變 化之訊號;以及 診斷裝置,使用該檢測訊號來進行電漿處理的控制、 裝置的診斷。 4. 一種電漿處理裝置,包含: 觸發裝置,令控制投入電漿的功率、處理壓力、氣體 流量、施加於晶圓的高頻偏壓功率之至少一個製程之參數 ,在不影響晶圓的電漿處理結果的範圍變動;_ 監控裝置,響應該觸發,檢測顯示所引起的電漿的發 光狀態之非穩定性的訊號;以及 解析裝置,依照該檢測的訊號,利用電漿發光的時間 變化,解析電漿狀態、製程狀態,其中 使用該解析裝置的解析結果的資料來進行電漿處理的 控制、裝置的診斷。 5 . —種電漿處理方法,包含以下的步驟: 經濟部智慧財產局員工消費合作社印製 令控制投入電漿的功率、處理壓力、氣體流量、施加 於晶圓的高頻偏壓功率之至少一個製程的參數,在不影響 晶圓的電漿處理結果的範圍變動;‘ . 利用光學的、電性的、磁性的、機械的、熱的、壓力 、溫度或其他物理的、化學的監控手段檢測顯示此時所引 起的電漿狀態之非穩定性的訊號;以及 ‘ 使用該檢測訊號來進行電漿處理的控制、裝置的診斷 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -2 - 535234 A8 B8 C8 D8 六、申請專利範圍 〇 6 . —種電漿處理方法,包含以下的步驟: (請先閱讀背面之注意事項再填寫本頁) 間歇地重複切斷同時運轉投入電漿的功率; 利用光學的、電性的、磁性的、機械的、熱的、壓力 、溫度或其他物理的、化學的監控手段檢測顯示剛切斷後 的電漿狀態的時間變化之訊號;以及 使用該檢測的訊號來進行電漿處理的控制、裝置的診 斷。 7 . —種電漿處理方法,包含以下的步驟: 利用監控檢測器檢測顯示電漿剛著火後,或剛切斷後 的電漿狀態的時間變化之訊號;以及 使用該檢測訊號來進行電漿處理的控制、裝置的診斷 〇 8 . —種電漿處理方法,包含以下的步驟: 令控制投入電漿的功率、處理壓力、氣體流量、施加 於晶圓的高頻偏壓功率之至少一個製程的參數,在不影響 晶圓的電漿處理結果的範圍變動; 經濟部智慧財產局員工消費合作社印製 響應該步驟的的實行,檢測顯示所引起的電漿的發光 狀態之非穩定性的訊號;以及 利用該檢測訊號的電漿發光的時間變化,·解析電漿狀 態、製程狀態,利用顯示其解析結果的資料來進行電漿處 理的控制、裝置的診斷。 -3- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)
TW090104809A 2000-09-12 2001-02-27 Plasma processing method and apparatus using dynamic sensing of a plasma environment TW535234B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000276668A JP3565774B2 (ja) 2000-09-12 2000-09-12 プラズマ処理装置及び処理方法

Publications (1)

Publication Number Publication Date
TW535234B true TW535234B (en) 2003-06-01

Family

ID=18762095

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090104809A TW535234B (en) 2000-09-12 2001-02-27 Plasma processing method and apparatus using dynamic sensing of a plasma environment

Country Status (4)

Country Link
US (2) US20020029851A1 (zh)
JP (1) JP3565774B2 (zh)
KR (1) KR100531454B1 (zh)
TW (1) TW535234B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7632377B2 (en) 2006-01-24 2009-12-15 United Microelectronics Corp. Dry etching apparatus capable of monitoring motion of WAP ring thereof
TWI416998B (zh) * 2008-12-26 2013-11-21 Hitachi High Tech Corp Plasma processing device

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6903826B2 (en) 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
KR100458328B1 (ko) * 2002-03-27 2004-11-26 주성엔지니어링(주) 플라즈마 감지장치
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US20050011611A1 (en) * 2002-07-12 2005-01-20 Mahoney Leonard J. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
DE10234867A1 (de) * 2002-07-31 2004-02-12 Hydraulik-Ring Gmbh Schwenkmotor für eine Nockenwellenverstelleinrichtung
JP2004095663A (ja) * 2002-08-29 2004-03-25 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US6967305B2 (en) * 2003-08-18 2005-11-22 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
JP4359521B2 (ja) * 2004-02-20 2009-11-04 東京エレクトロン株式会社 プラズマ処理装置及びその制御方法
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
JP2006128380A (ja) 2004-10-28 2006-05-18 Toshiba Corp 半導体装置の製造方法および製造装置
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
JP4620524B2 (ja) * 2005-05-17 2011-01-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20080029484A1 (en) * 2006-07-25 2008-02-07 Applied Materials, Inc. In-situ process diagnostics of in-film aluminum during plasma deposition
JP4101280B2 (ja) * 2006-07-28 2008-06-18 住友精密工業株式会社 終点検出可能なプラズマエッチング方法及びプラズマエッチング装置
US8192576B2 (en) * 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
EP2185909A4 (en) * 2007-08-07 2015-08-05 Pivotal Systems Corp METHOD AND APPARATUS FOR IDENTIFYING THE CHEMICAL COMPOSITION OF A GAS
JP2009229387A (ja) * 2008-03-25 2009-10-08 Kobelco Kaken:Kk 非定常発光体の分光解析方法およびその装置
US8344318B2 (en) * 2008-09-11 2013-01-01 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process with an ion mobility spectrometer
US8382999B2 (en) * 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
KR101572104B1 (ko) 2009-07-09 2015-11-26 위순임 반응 가스 발생기 및 이를 구비한 플라즈마 처리 시스템
JP5667382B2 (ja) * 2010-06-03 2015-02-12 学校法人中部大学 半導体基材の状態測定方法及び状態測定装置
US8501499B2 (en) * 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
JP5740246B2 (ja) * 2011-08-15 2015-06-24 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9267605B2 (en) 2011-11-07 2016-02-23 Lam Research Corporation Pressure control valve assembly of plasma processing chamber and rapid alternating process
CN102903625B (zh) * 2012-10-18 2015-11-04 北京大学 锗基衬底表面钝化方法
JP5883769B2 (ja) * 2012-11-15 2016-03-15 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
CA2920619A1 (en) 2013-08-07 2015-02-12 Xagenic Inc. Precision bipolar current-mode digital-to-analog converter
JP6158111B2 (ja) * 2014-02-12 2017-07-05 東京エレクトロン株式会社 ガス供給方法及び半導体製造装置
US9336901B2 (en) * 2014-03-17 2016-05-10 Lam Research Corporation Track and hold feedback control of pulsed RF
JP6295119B2 (ja) 2014-03-25 2018-03-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
KR101700391B1 (ko) * 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
KR20180073700A (ko) 2015-11-16 2018-07-02 도쿄엘렉트론가부시키가이샤 진보된 광학 센서 및 플라즈마 챔버용 방법
CN105578696B (zh) * 2015-12-23 2018-03-16 哈尔滨工业大学 一种测量空心阴极节流孔区等离子体密度的方法
KR102304823B1 (ko) 2016-03-31 2021-09-23 도쿄엘렉트론가부시키가이샤 웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10436717B2 (en) 2016-11-18 2019-10-08 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
JP6837886B2 (ja) * 2017-03-21 2021-03-03 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
TW202225438A (zh) * 2020-11-25 2022-07-01 美商應用材料股份有限公司 脈衝pvd功率之波形形狀因子
TW202309969A (zh) * 2021-05-06 2023-03-01 日商東京威力科創股份有限公司 電漿處理裝置及終點檢測方法
US20240094056A1 (en) * 2022-09-20 2024-03-21 Tokyo Electron Limited Optical Emission Spectroscopy for Advanced Process Characterization
WO2024091318A1 (en) * 2022-10-25 2024-05-02 Tokyo Electron Limited Time-resolved oes data collection

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
US5405488A (en) * 1993-09-13 1995-04-11 Vlsi Technology, Inc. System and method for plasma etching endpoint detection
JP2666768B2 (ja) * 1995-04-27 1997-10-22 日本電気株式会社 ドライエッチング方法及び装置
US6060329A (en) * 1997-03-27 2000-05-09 Fujitsu Limited Method for plasma treatment and apparatus for plasma treatment
JP2001516963A (ja) * 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7632377B2 (en) 2006-01-24 2009-12-15 United Microelectronics Corp. Dry etching apparatus capable of monitoring motion of WAP ring thereof
TWI416998B (zh) * 2008-12-26 2013-11-21 Hitachi High Tech Corp Plasma processing device

Also Published As

Publication number Publication date
JP2002093781A (ja) 2002-03-29
KR20020020979A (ko) 2002-03-18
US6911157B2 (en) 2005-06-28
KR100531454B1 (ko) 2005-11-28
US20030132195A1 (en) 2003-07-17
JP3565774B2 (ja) 2004-09-15
US20020029851A1 (en) 2002-03-14

Similar Documents

Publication Publication Date Title
TW535234B (en) Plasma processing method and apparatus using dynamic sensing of a plasma environment
KR920010726B1 (ko) 반도체 제조장치의 크리닝 종점 판정방법
US5458732A (en) Method and system for identifying process conditions
US5683538A (en) Control of etch selectivity
KR101606736B1 (ko) 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
US7413672B1 (en) Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
JP2003318115A (ja) 窓型プローブ、プラズマ監視装置、及び、プラズマ処理装置
US7334477B1 (en) Apparatus and methods for the detection of an arc in a plasma processing system
US6447691B1 (en) Method for detecting end point of plasma etching, and plasma etching apparatus
US8242789B2 (en) Plasma system and measurement method
JP2011527521A (ja) プラズマ処理チャンバ内のストライクステップを検出するための容量結合静電(cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
US5284547A (en) Plasma-process system with batch scheme
TW559974B (en) Monitoring and controlling method of semiconductor manufacturing apparatus
JP2004179669A (ja) プラズマ処理装置及び処理方法
JPH07258853A (ja) プロセスの状態を識別する方法および装置
CN101207000A (zh) 一种反应腔室内部工艺状态监控方法
US20030186549A1 (en) Endpoint control for small open area by RF source parameter Vdc
US20240203713A1 (en) In-situ diagnosis of plasma system
KR100478503B1 (ko) 식각종점 제어장치 및 그를 이용한 식각종점 제어방법
KR20020060817A (ko) 플라즈마 공정 제어 장치 및 그 방법
JP2000124198A (ja) プラズマエッチング装置及びプラズマエッチング方法
JPH0775230B2 (ja) プラズマエッチング終点モニタリング方法
JPS62282435A (ja) エツチングの終点検出方法
JPH0750289A (ja) プラズマエッチング装置
JPH11238723A (ja) プラズマ処理のモニタリング方法及び装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees