TW475912B - Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system - Google Patents

Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system Download PDF

Info

Publication number
TW475912B
TW475912B TW088105887A TW88105887A TW475912B TW 475912 B TW475912 B TW 475912B TW 088105887 A TW088105887 A TW 088105887A TW 88105887 A TW88105887 A TW 88105887A TW 475912 B TW475912 B TW 475912B
Authority
TW
Taiwan
Prior art keywords
patent application
scope
item
workpiece
cooling plate
Prior art date
Application number
TW088105887A
Other languages
English (en)
Inventor
Allen Flanigan
Michael N Sugarman
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW475912B publication Critical patent/TW475912B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Description

475912 A7 B7 五、發明説明( 曼J月領域」- 本發明大致上係關於一工件’如—半導體晶圓,之電 漿強化處理,&更特定地’係關於在工件處理系統中用以 改善工件之偏壓與抓持一晶圓於一工件支撐件上之設 備。 發明背景: 要 電漿強化的處理對於半導體工業而言已愈來愈重 因其可提供受到精確地控制的薄膜沉積。例如,在一 高溫物理氣相沉積(PVD)半導體晶圓處 q、戌系統中炙電漿反 應器通常包括-用來容納一反應物氣體的反應室,—對間 隔開米的電極(陰極與陽極)其是由一黑&曰η 问此T DC電壓所驅 經濟部智慧財產^員工消費合作社印製 動用以在該室内產生—電場,及一工件支撐件用以支撐— 工件於該室中。該陰極為一塗覆了—層將被滅射或沉積於 該工件上之靶材之導電板,而該陽極典型地為一接地的室 構件。該產生一反應區的電場補捉電子藉以將反應物氣二 離子化成一電聚。該電漿’其特徵為—可見的輝光,為鄭 電及負電反應物氣體離子及電子的混合物。來自於該電衆 之離子轟擊該被負極地偏壓的標靶而釋放出電中性的、,冗 積材料。因此,一導電的沉積膜層形成於該工件上,該工 件是被支撐且夾抓持於該工件支撐件的表面上。在此一電 漿反應器中,工件支撐件是由一介電材料,如陶瓷,所= 成的。然而,在晶圓處理溫度(典型地是在200-600t的範 圍内)1¾陶瓷的電阻被降低及該工件支撐件變得更加導 第5頁 本紙張又度適用中國國家標準(CNS ) A4規格(210 X 297公釐) 475912 A7 B7 五、發明説明( 電。此特性被利用來靜電地夾持一工件,如一半導體晶 圓,該工件藉由Johnson-Rahbek效應而被夾持於該工件支 撐件的表面上。該J 〇 h n s ο η - R a h b e k效應的一詳細說明及利 用此特性之靜電夾頭被描述於i 995年十月3 1日授予 Mundt之美國專利第5,463,526號中,其藉由此參照而被 併於本文中。此專利揭示一種被一薄的絕緣層所覆蓋之半 導體層。當該半導體層被偏壓時,電荷會堆積於該絶緣層 底下。因為該半導體層及該絕緣層沒有一者是完全平順 的,所以該半導體層的一部分並沒有完全被該絕緣層所蓋 住。因此,某些電荷會通過該半導體層,穿過該絕緣層的 間隙到達被此靜電夾頭所固持的工件上。此電荷遷移現象 大大地提高了施加於該工件上之吸引力。 經濟部智慧財產局Μ工消費合作社印製 為了要進一步加強在一離子金屬化系統,一特定的 PVD系統,中之沉積,相對於該電漿而言該基材及該托盤 被負極地加以偏壓。這是藉由提供RF能量給該托盤來達 成的。一負的D C偏壓累積於該托盤上,與該電漿中之正 離子比較起來,其可獲得一較高的電子速度的結果。在某 些處理中,當中性的靶材從該標靶上被濺射出並進入到該 電漿中時’該靶材被正電地離子化。因為該托盤上之負的 DC偏壓的關係’所以與沒有被偏壓的托盤比起來,該被 正電地離子化的革巴材能夠更輕易地被吸引至該基材且沉 積於其上。通常,一 400KHZ的AC電源被用來偏壓該托 盤’但其它頻率的電源,如1 3.5 6MHz電源,亦可被使用。 很不幸地,陶瓷工件支撐件(亦被成為一靜電夾頭)不 第6頁 衣紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公慶) A7 ___B7 五、發明説明() 月色被用於RF萷化的PVD反應室中。陶瓷:在晶圓處理條件 下之導電的本質對於靜電夾持是有利的,但對於在該反應 區中建立一有效的RF感應電場則是不利的。因此,其它 種類的靜電夾頭被使用於RF強化室中。例如,一包各了 由一上及下絕緣層,如聚合物,所包覆之導電層,如銅, 的靜電爽頭被附著於一托盤的支撐表面上。此種靜電夫頭 被稱為庫侖夬頭,因為將該工件吸附於該支撐表面上的機 制為一庫侖力。庫侖力通常較弱且無法如應用J〇hns〇n_ Rahbek效應之靜電夾頭般地固持一工件。 因此,對於可以一陶瓷夾頭來靜電地固持一工件用以 完全地利用該Johnson-Rahbek效應之設備存在著啟切的 需求。此外,該設備必需在不影響相關的RF電場或在該 處理室中之電漿的一致性下有效地用RF能量偏壓該工 因此,在此技藝中,對於能夠將RF能量最佳化地導 引通過一陶瓷夾頭的設備存在著需求。 發_明目的及概怵: 與先前技藝相關連之缺點被一用來偏壓及抓持一晶 圓於一半導體晶圓處理系統中之設備所克服。本發明的設 備包括一軸,一設置於該軸之上的粍盤基座,一設置於該 托盤基座底之上的圓盤,及一設置於該圓盤底下的電極, 該電及連接至一偏壓源。該軸,托盤接底及圓盤一起界定 了一外殼其内容納了該電極及其它的托盤構件。每一構 (請先閲讀背面之注音筆項再填頁) -裝 訂, 經濟部智慧財產局員工消費合作社印製 11 9 5 7 經濟部智慧財產局8工消費合作社印製 A7 B7 五、發明説明() 都彼此絕緣且與該電極絕緣用以界定一特定的電子通 路。詳言之,RF能量從一電源導引至一在該外殼内的一 棱媒管上的導體上。該電極為一與該冷媒管及該圓盤接觸 的冷卻板。 該冷卻板藉由一絕緣體環來與該托盤基座電氣地隔 離,該絕緣體環環繞在該外殼的一上内壁上。該環是由一 絕緣材料,如陶瓷,所製成且被提供有一唇部用來置放該 板。該冷卻板藉由設在該絕緣體環中的彈簧而被保持著與 該圓盤的底面緊密的接觸,該等彈簧施加一力量頂抵該外 殼的底層板及該冷卻板。該冷卻板的上表面可設有凹槽用 以降低該上表面之表面積用以在該圓盤與該冷卻板之間 實施適當的熱交換條件。此外,該冷卻板在其底側上設有 一通道。該冷媒管被設置於該通道中且被附著,如硬焊, 於該冷卻板上用以建立一實體接觸。 在上述的架構中,一工件,如一半導體晶圓,被置於 該圓盤的上表面及被靜電夾頭所夾持以進行處理。由該電 源所施加之RF能量通過該電子通路並經由該靜電夾頭之 介電材料電容地耦合。藉此,該晶圓被電氣地偏壓至一程 度,程度對於沒有托盤偏壓及在該外殼内之任何構件沒有 偏壓之晶圓處理而言是合適的。將該等托盤構件絕緣可降 低RF能量損失的可能性。將該托盤絕緣降低了在該托盤 與在一晶圓處理室中之其它構件.之間之電弧產生的可能 性0 (請先閱讀背面之注意事項再读^^4.頁) 裝· 訂·, 線 第8頁 本纸張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 475912 幾 A7 B7 第狄/C7饮Sf號專利案初年月修正 五、發明說明() 圖式簡軍說明: 本發明的教導可藉由下文中參照附圖之詳細說明可 被輕易地瞭解,其中: 第1圖顯示本發明之一工件處理室外殼的一部分剖面圖; 第2圖顯示了本發明之一上部的部分立體剖面圖; 第3圖顯示本發明一下部之剖面圖; 第4圖顯不由本發明所建立之一電路的示意圖;及 第5圖顯示本發明内之各式元件相互連接的詳細圖。 圖號對照說明: 100 PVD處理室 102 工件 104 托盤總成 103 支撐表面 105 圓盤 126 軸 106 托盤基座 122,124 遠端電源 142 氣體導管 148 處理腔穴 112 平台 110 舉升銷 116 標靶 108 廢料環 138 蓋環 150 遮罩 140 下室區域 206 抓持環 250 上内壁 202 底面 222 加熱器電極 224 夾持電極 208 外殼 230 下内壁 254 底板 502 上端 504 底單 506 過渡管 508 上端 510 有凸緣的過渡段 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) ---------------------------- Mr (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 475912 經濟部智慧財產局員工消費合作社印製 A7 B7五、發明説明() 210 開口 1 44 流量調節器 214,216 熱電耦 512,514 相對孔 234 冷卻板 2 2 6 伸縮囊絕緣件 232 唇部 23 6 凹槽 240 通道 244 凹部 3 02 冷媒管路 發明詳細說明: 第1圖顯示用來處理一工件1 02,如在一工件處理系 統中之一半導體晶圓,的PVD處理室1 00。為了要詳細地 瞭解該PVD處理室1 00及其在處理一晶圓時的操作’讀 者應參照於 1 993年七月20日所授予之美國專利第 5,22 8,5 0 1號專利的圖式及詳細說明,其藉由此參照而被 併於本文中。該專利揭示一種被使用於由美國加州 S a n t a Clara市的Applied Materials公司所製造的物理氣相沉積 室中之晶圓支撐總成。 晶圓1 02被置於一用來將該晶圓固持於一工件支撐件 上且提供RF偏壓至該晶圓之新穎的設備1 04上,該RF 偏壓是經由一在該設備内部中之一被完整地界定及絕緣 __ 第 10頁 ___ 本紙張尺度適用中國國家標準(CNS ) Μ規格(21 297公釐] 1 3 0,1 34 遠端氣體源 212 絕緣件 258 備份環 2 1 8,220 絕緣件 238 冷媒管 228 伸縮囊熱電耦安裝件 235 上表面 256 底部 242 絕緣件 246 彈簧 304 RF電源 請 先 閲 讀 背 面 之 注 意 ΐ 項 再 I» 頁 475912 A7 B7
的路徑而被提供的。詳言之,晶圓1 02躺在一托盤總成1 〇4 的支撐表面103上。該托盤總成104具有一由一托盤基座 1 〇 6及一軸1 2 6所支撐的圓盤1 0 5,如一靜電夾頭’該轴 包含了將DC及RF能量從遠端電源122及124導通至該 乾盤總成1 〇4所需要的電線。雖然圓盤1 0 5可以是一靜電 夾頭,但其並不一定是此裝置。或者,該圓盤可以是一被 使用於化學氣相沉積(CVD)處理系統中之加熱器(即’不具 有靜電夾持的能力)或在一需要RF偏壓該工件的系統中之 其它種類之多功能的工件支撐件。此外,該軸1 2 6是有一 氣體導管1 42用以給送氣體通過該托盤總成1 〇4到達一位 在該托盤總成104正上方之處理腔穴148中。安装在一與 〜垂直軸114相連接的平台112上之舉升銷11〇是用來在 處理完後將晶圓舉離該支撐表面1 0 3。 經濟部智慧財4¾員工消費合汴、社印t 一濺射或沉積材料的標靶1 1 6被置於該托盤總成1 〇4 之上。該標I巴Π 6通常是鋁或鈥且與該室1 〇電氣地絕緣。 該遠端電源1 2 2最好是一高壓D C電源且電氣地連接於該 標|巴1 1 6興該托盤總成1 〇 4之間用以磁控錢射一晶圓。此 外’一 RF(射頻)電壓源124被耦合至該托盤總成1〇4,其 將於下文中詳細說明。廢料環1〇8 5蓋環138及遮罩15〇 包圍住該托盤總成1 04以防止不想要的沉積進入到該下室 區域1 4 0中。 第2圖顯示一托盤總成1〇4的部分立體剖面圖。詳言 之,該托盤總成1 04具有一設在該托盤基座丨〇6上之靜電 夾頭105。該托盤基座106通常是由一不易損傷的材料, — —--—--__第 11貫 、,氏、尺度適用中國國家標準(ϋΤ^4規格(210x7^^7 --— -- 、發明説明( 經濟部智慧財產局員工消費合作社印製 j鈦,一合金或類似的金屬 佳的實施例中,兮乾般其… 成。在本發明的-較 邊托盤基厓是K〇VAR®。該K〇VAR@ 由西屋電子公司所製造畈基的 κ疋 ^^^ ,It汲Η的一種鐵/鎳/鈷合金的商標。 ㈣電夹頭105可用—介電材 氮化矽,青玉h 陶是,像疋乳化矽, 、或類此者,來製成且被作成-薄的圓盤狀。 琢夾頭105早、夫、 上匕一固持環206而附著於該托盤基座106 二列如’固持環206為-陶资環其被硬焊至該靜電爽頭 及該托盤基座1〇6的一上内壁25〇 士、龙 壁2 5 0及該靜兩戈 忒上内 ^㈣。 的—底面2G2被硬焊在—起以加 "又 可被應用於本發明中之陶瓷的靜電夾頭的例 t為揭示於在1 997年八月12日授予blchart之美國專利 弟),656,G93 ?虎中,其藉由此參照而被併於本文中。詳言 之:該專利肖示-中陶资靜冑夾頭其具有-設置於該夹: 表面上之金屬材料的晶圓間隔罩。 在琢靜電夾頭1 05的本體中有多個導電元件其有助於 牛勺處里例如,一加熱器電極222可被埋設於該靜電 夾顽1 〇5的多個層中。該加熱器電極222是由一導電材料 (如鎢)所製成且被連接至一遠端的電源(未示出)。以此方 式泫加熱态電極2 2 2可產生熱,該熱會被傳遞至躺在該 靜電夾頭1 05上的工件用以將該工件保持在適當的處理溫 度。此外’該靜電夾頭丨〇 5可被提供一或多個夾持電及 224。該等夾持電極224亦是由一導電材料,(如鎢),所製 成’但並不一定要於加熱器電極222的材料相同。該等爽 持電極224被設置的與該靜電夾頭105的上表面103相當 (請先閱讀背面之注意事項再 裝— 訂--- 線 Μ氏張尺度適用中國國家標準(CNS ) A4規格( 第12頁 210X 297 公釐) 五 、發明説明( A7 B7 的靠近。該等失持電極224亦連接至一遠端電源,即第! 圖中之高請電源⑴。以此方式,該等夹持電極224 楗供所需要《靜電力至該工件的背側用以將其固持於該 #電夾頭105上。該等夹持電極224可以是將該工件固持 於該圓盤上所需要之任何的結構。例如’該等夾持電極以 可以是單極結構’雙極結構,區域爽持結構或類此者。此 外,供給能T给孩等夾持電極224的電源供應亦可以是供 給能量給加熱器電222的電源供應。 ’ 托盤總成104界定一外殼2〇8,許多的構件被容納於 其内用以幫助處理—姑罢、人二、p a 被置於这逆电爽頭1 〇 5的上表面1 〇 3 上的半導體晶圓(未示出)。詳言之,該外殼208是由該軸 12(>的一下内堃230,托盤基座106的底板254,該托般基 座⑽的上内壁250及該靜電夹頭1〇5的—底面2〇2:該 氣體導管1 42從嗜彳乂 A、、 -軸1 2 6的辰邵垂直地延伸至該靜電夾頭 105的底面202以今4 4 ^ 、、 、 L许一扁傳遞氣肖豆在處理期間被抽泵於 該晶圓底下。該氧两曲μ , j η μ 虱咳導官M2與該靜電夾頭的底面2〇2之 間的界面的一詳細說明可見於第5圖且讀者應同時參照第 經濟部智慧財產^7R工消費合作社印製 2及5圖以7^整地瞭解。該氣體導管142的一上端5〇2係 ?接至一過霞f 506的-底端504。該過渡管5〇6的一上 端5〇8係連接至―有凸緣的過度段51〇其與設在該靜電夾 頭105中的一開口 21〇相聯通。最好是,該過渡管506曰 0.2 5央忖長的金屋忠 、、 屬管5被焊接至該氣體導管142且被硬俨 至遠有凸纟豕的過於取 < ,,, 又丰又5 1 0。琢有凸緣的過渡段5丨〇最 曰 K〇VAR、被硬焊至該靜電爽頭1。5的底面202上及4 本紙張尺細㈣ τ,jyi2 Α7 Β7 五、 發明説明( 體導管備份環25 8(將於稍後加以說明)上。該有凸緣的過 渡段5 1 0防止違熱傳遞氣體漏到該外殼2 〇 §中,因其會降 低對於晶圓溫度的控制。此外,該有凸緣的過渡段5丨〇房 止氛圍氣體及壓力梯度被引入到該晶圓的背側,這會造成 處理的不正常。該熱傳遞氣體從一或多個第1圖中之遠端 來源1 3 0及1 3 4被抽泵出,該等來源經由一流量調節器1料 及一或多個第1圖中的閥132及136而連接至該氣體導管 142的一第二端。此外,該氣體導管142設有—絕緣件 2 1 2。該絕緣件2 1 2是由一絕緣材料所製成。最好是,該 絕緣件2 1 2為一陶瓷套筒,其可防止氣體導管丨42與在該 外殼208内之任何其它的構件相接觸。 孩外殼2 0 8進一步設有熱電耦2丨4及2 1 6用以監視該 托盤的内部,即該夹持表面,的溫度。詳言之,熱電輕2 ^ 4 及216分別被容納於在該氣體導管備份環25 8上之相對孔 5 1 2及5 1 4中(見第5圖)。熱電耦從該處垂直地延伸至咳 軸1 2 6的底部’它們在該處被連接至溫度測量設備(未示 出)。熱電搞2 1 4及2 1 6分別設有絕緣件2 1 8及2 2 0。b , u。瑕好 經濟部智慧財產局員工消費合作社印製 疋’絕緣件2 1 8及2 2 0為陶瓷套筒其可防止熱電轉2 1 *及 2 1 6與在該外殼208内之任何其它的構件相接觸。因此, 氣體導管142及熱電輕214及216與所有的導電構件 加熱器電極222,夾持電極224及rf導通構件,士 、 冷 卻板2 3 4及冷媒管2 :> 8 ’都相絕緣。自本發明的另 —、 例中,熱電耦係直接安裝於該托盤1 〇5的底面2〇2之中 為了要進一步加強托盤總成丨04的電氣絶緣,托般笑 第14頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) A7 五、 發明説明( B7 座 1 0 6 及轴 1 2 6 μ μ /λ 0被接地用以防止電漿遷移至下室區域1 4 Ο 這二構件亦進一步設有一伸縮囊絕緣件226及伸縮囊 ^ %鶴女裝件228。該伸縮囊絕緣件226最好是由一絕緣 才料如陶瓷’所製成。再者,該伸縮囊絕緣件2 2 6為一 套筒形狀其將該軸1 2 6的下内側壁2 3 0與該外殼2 0 8内之 所有其它的構件隔絕開來。該伸縮囊絕緣件226從該軸 1 26的底邵垂直地延伸至該外殼2〇8並在該底板254形成 一唇部232用以支撐該伸縮囊熱電耦安裝件228。該伸縮 囊熱電輕安裝件228提供該熱電耦2 1 4及2 1 6及在該外殼 足内之導管1 42額外的電氣絕緣。雖然該伸縮囊熱電耦安 裝件2 2 8被顯示具有兩個熱電耦安裝件,但熱電耦安裝件 經濟部智慧財4局員工消費合作社印製 2 2 8可被建構成接受任何數目的熱電耦,如至少一個,的 結構。此外,熱電耦安裝件2 2 8,伸縮囊絕緣件2 2 6及/ 或用來安裝一熱電耦之相似的整合結構可被使用於不同 的托盤及靜電夾頭架構中。該外殼進一步包含可幫助偏磬 該工件(晶圓)1 02及被固持於該靜電夾頭1 05上之晶圓的 溫度控制之構件。一電極234被設置於該靜電夾頭1 05與 該托盤104之間。詳言之,電極234被直接設置於該靜電 夾頭1 0 5的正下方,在該外殼2 0 8之内。最好是,電極2 3 4 是由一種RF能量的高導體所製成的。在一較佳的實施例 中,該電極具有一由銅塊或不銹鋼塊所製成的冷卻板2 3 4 的形狀,其被加工至一高度的精金度使得其能夠與其它的 構件相配合且與與它們聯通。該冷卻板2 3 4具有一上表面 23 5。該上表面具有多個凹槽23 6。凹槽236降低該冷卻板 第15頁 本紙張尺度適用中國國家標準(CNS ) a4規格(21〇 X 297公釐) 475912 A7 _ B7_ 五、發明説明() 234之上表面之與該靜電夾頭105接觸的表面積,使得從 該夾頭(及晶圓)被熱交換出的量被降低。凹槽2 3 6的大小 及數目可以是任何的尺吋,數目或結構用以達到冷卻板 2 3 4之所想要的冷卻效果。此外,冷卻板2 3 4可被電鍍用 以防止冷卻板材料的氧化。最好是,該電鍍材料為鎳。 一冷媒管238被設置於在該板234的底部上的一通道 240中。該冷媒管23 8環繞著幾乎是該冷卻板234的整個 底部2 5 6並沿著轴1 2 6向下延伸於該伸縮嚢絕緣件2 2 6 中。在本發明的一較佳實施例中,冷媒管2 3 8是由一 RF 導通材料,如不銹鋼,所製成。此外,該冷媒管23 8具有 多個塗層或電鍍。例如,一銅的電鍍改善了該冷媒管的 導通性及一鎳的電鍍可防止不銹鋼或銅氧化。冷媒管2 3 8 是藉由任何習知的方式而被固定於該通道2 4 〇上,用以建 立並保持冷媒管23 8與冷卻板234之間的實體接觸。詳言 之,該冷媒管23 8是被義焊於該通道240上,但這並不排 除用其它的方法讓冷媒管固定於該冷卻板2 3 4上。 經濟部智慧財產局員工消費合作社印製 冷卻板234經由一絕緣件242而與該靜電夾頭1〇5的 底部202保持著緊密的實體接觸。該絕緣件242最好是一 由一介電材料,如陶瓷,所製成的絕緣環並環繞,但不一 定接觸,該上内壁250。絕緣環242進一步被提供有一唇 部252,該冷卻板234即躺在其上。為了要讓該冷卻板234 而與該靜電夾頭1 05的底部202保持著緊密的實體接觸, 該絕緣環242被拉緊。詳言之,該絕緣環242界定一或多 個凹部244。在每一凹部244中設有一彈簧246。彈簧246 本成’張又用中國國家標準(CNS ) A4規格(21〇Χ297公爱)~" 斗/5912 五、 發明説明( 經濟部智慧財產局員工消費合作社印製 頂抵著該外殼208的底板254及該絕緣環242。來自於彈 資246的合力讓該冷卻板234保持著與該靜電夾頭1〇5的 底部202緊密的實體接觸。因為絕緣環242是由一介電材 料所製成’其並沒有在該冷卻板234,托盤基座1〇6及外 殼208内之其它的構件之間形成一高度導電性的路徑。如 果電極2 3 4只是作為一電極且沒有被用作其它的用途(如 冷卻)的話’則其可藉由任何其它標準的機械接觸形式, 如硬焊,而被附著於該靜電夾頭1 〇 5的底部上。 第3圖顯示該袖1 2 6的底邵的一示意剖面圖。冷媒管 2 3 8向下延伸通過該外殼2 0 8及軸1 2 6且設有倒鈞端(未示 出)。倒鉤端輕易地抓持且被連接至電氣絕緣材料(如橡膠) 之冷媒管路302。該等冷媒管路302進一步連接至一遠端 冷卻源(未示出)用以提供冷媒(如水)到達該冷卻板2 3 4 — 前進及迴轉路徑。此外,該冷媒管2 3 8經由導體3 0 6而被 電氣地連接至一 RF能量來源304。托盤基座1〇6及軸126 被電氣地接地。如果電極2 3 4只是作為一電極且沒有被用 作其它的用途(如冷卻)的話,則其可經由任何標準的連 接,如引線,有遮蔽的纜線及類此者,而被電氣地連接至 該RF電源304。 在操作時,一晶圓1 02被置於該靜電夾頭1 05的支撐 表面103上。空氣是藉由一真2幫浦128而被抽吸出該室 100以產生一低壓環境(即lmT-5Tor〇。一反應物氣體,如 氬氣’從一或多個遠端氣體源130或134被引入該室ι〇〇 中。電源供應1 2 2被充能其靜電計將該晶圓1 0 2夾持於該 第17頁 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇x 297公釐) (請先閱讀背面之注意事項再 裝· 百〇 訂 線 A7 B7 五、 發明説明() 支撐表面1 〇 3上。詳言之,該電源供應1 2 2施加一 D C偏 愿至該夾持電極224。由該電源供應122所產生之高電壓 水平亦將該氣體激發成一電漿並偏壓該標靶1 1 6,藉此造 成該靶材濺射至該晶圓1 02上。 上述的設備藉由電感地及電容地將RF能量經由不同 的托盤構件而將置於該靜電夾頭1 05上的晶圓RF偏壓來 提供強化的處理。詳言之’由RF電源304所提供的RF 能量通過該冷媒管23 8。因為冷媒管23 8上電鍍了銅,所 以很容易經由該實體接觸(如硬焊接點或類此者)將RF能 I導至冷去板2 3 4。由一 RF能量導通材料(如銅或不銹鋼) 所製成之電極234(即冷卻板)能夠很容易地將RF能量從該 冷媒管23 8導至該靜電夾頭105的底面3 02。因為靜電夾 頭1 〇5是由一介電材料,或高電阻材料所製成,所以該rf 能量不會輕易地導通至該靜電夾頭1〇5的本體。然而,埋 設於該圓盤本體内中之電極,即加熱器電極222及夾持電 極224讓能量電容地耦合通過該靜電夾頭1 。 經濟部智慧財產局員工消f·合泎社印製 第2圖顯示將該RF能量傳遞至該工件的電路的示意 圖。詳言之,RF電源304經由導體3〇6及冷媒管…而 連接至電極(冷卻板)234。一第一電容存在於該冷卻板234 與该加熱器電極222之間。相同地,_ +六 呆一電谷存在於孩 加為咨電極222與該夾持電極224 一# 一 寸包?丄以4足間。以相同的方師, 一弟三電容存在於該夾持電極224盥— ,n. L '并 躺在孩靜電夾頭 105上的工件1〇2 斧做入 及弟二电谷為圓盤本體材料的厚 度入;丨電常數及存在該支撐砉 文知表面1 03與工件背侧之間的空 表紙張尺度適用 第'18頁 (CNS ) A4規格(2l〇X 297公釐 475912
、發明説明( 隙的函數。該工件然後被電氣地接地以完成該電路。該接 地的連接可以有許多種形式,包括有與一電漿接觸,與電 、漆接觸接地,或類此者。 建立一完整的RF能量路徑對於使用一電漿來處理一 工件的系統而言是特別有利的。詳言之,因為有該絕緣環 242在該外殼208内,所以該rf能量經由該冷卻板234 直接耦合’而不需經由其它的托盤構件。因此,該RF電 源的短路或遭遇不必要的能量損失,如寄生電容,的可能 性就被大大地降低。此外,因為托盤丨〇4是與該rF能量 相絕緣且被接地’所以在下室區中激發電漿是不可能的。 本设備可以在不需對該托盤1 〇4或其内部構件增加不必要 的複雜性下達到更加的RF耦合及冷卻。或者,如果該設 加疋要被使用於冷卻能力並不是一重要的考量之一低溫 處理環境中的話,則吾人可輕易地瞭解到以金屬本體硬焊 或其它的形式附著於該靜電夾頭上之電極可如所示及所 描述之冷卻板的實施例般地達到相同的偏壓及耦人。 因此,本發明的範圍不應被局限於一冷卻板的架構,而是 應包括所有與一陶瓷基材接觸用以偏壓一曰 ^ 日日圆 < 外部偏 壓件在内。 雖然結合了本發明的教導之不同的奋> J只她例已於本文 中被詳細的展示及描述,但熟悉此技藝者 J罕里易的作出應 用本發明的教導之許多其它不同的實施例。 第19頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項再填頁) -裝· 線 經濟部智慧財產.¾員工消費合作社印製

Claims (1)

  1. 475912 六 ___ 經濟部中央標隼局員工消費合作社印製 A8 B8 C8 D8 申請專利範圍 1. 一種將一工件偏壓及抓持於一工件支撐件上的設備,其 至少包含: 一托盤基座; 一圓盤,其設置於該托盤基座之上用以支撐及抓持 該工件;及 一電極,其設置於該圓盤底下用以電氣地偏壓該工 件。 2.如申請專利範圍第1項所述之設備,其進一步包含一 軸,其設置於該托盤基座底下。 3 .如申請專利範圍第2項所述之設備,其更包括一由該軸 的下内壁,該托盤基座的底板,該托盤基座的上内壁及 該圓盤的底面所共同界定的外殼。 4. 如申請專利範圍第3項所述之設備,其中該外殼設有一 絕緣件用以電器地將該電極與該托盤基座隔離。 5. 如申請專利範圍第4項所述之設備,其中該絕緣件為一 由一介電材料所製成的絕緣環並環繞該托盤基座的上 内壁 0 6. 如申請專利範圍第5項所述之設備,其中該電極是設置 於該絕緣環的一唇部之上。 第20頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 請 先 閱 讀 背 ιέ 之 注 意 事 項 再 475912 A8 B8 C8 D8 申請專利乾圍 7. 如申請專利範圍第6項所述之設備,其中該絕緣環進一 請 閲 讀 背 ιέ 之 注 意 事 項 再 步界定一或多個凹部,每一凹部具有一彈簧設置於其 内,用來在該電極與該圓盤之間保持一張緊的接觸。 8. 如申請專利範圍第7項所述之設備,其絕緣環為陶瓷。 9. 如申請專利範圍第4項所述之設備,其更包括一或多個 絕緣件,其中每一絕緣件包容一位在該外殼内之熱電耦 或管子。 1 0.如申請專利範圍第4項所述之設備,其更包含由一絕緣 材料所製成之一伸縮嚢絕緣件及一伸縮嚢熱電耦安裝 件。 1 1.如申請專利範圍第1 0項所述之設備,其中該伸縮囊絕 緣件為一圓筒形套筒頂抵該軸之下内壁及該伸縮囊熱 電耦安裝件為一環形的形裝並被設置於該伸縮囊絕緣 件之上。 經濟部中央標嗥局員工消費合作社印製 1 2.如申請專利範圍第1 1項所述之設備,其中該伸縮囊絕 緣件及該伸縮囊熱電耦安裝件為陶瓷。 1 3.如申請專利範圍第4項所述之設備,其中該電極經由連 接至一 RF電源而電氣地偏壓該工件。 第21頁 本紙張尺度適用中國國家標準(CNS ) Α4規格(2i〇X297公釐) 475912 經濟部中央標隼局員工消費合作社印製 六、申請專利範圍 14.如申請專利範圍第13項所 冷卻板及該冷卻板是經由 置於該冷卻板的底部中之 述之設備,其中該電極為一 由RF導通材料所製成且設 冷媒管而連接至該RF電源。 15.如申請專利範圍第14項所 不銹鋼。 述之設備,其中孩冷媒管為 之設備,其中該冷媒管進 16.如申請專利範圍第15項所^ 一步具有多層電鍍。 17•如申請專利範圍帛16項所述之設備,其中該等電鍍中 的一者為銅及其它的電鍍為鍊。 1 8 .如申請專利範圍第1 4項所述义設備,其中孩冷媒管幾 乎環繞該冷卻板的整個底部,延伸至該托盤基座的底邵 且經由電氣地絕緣的冷媒管路而連接至一冷媒源。 19.如申請專利範圍第3項所述之設備,其中該電極被硬焊 至該圓盤上。 20·如申請專’利範圍第1 8項所述之設備,其中該冷卻板在 其上表面上具有多條凹槽用以從該圓盤抽取熱量。 2 1 ·如申請專利範圍第20項所述之設備,其中該多條是三 第22頁 本纸張尺度適用中國國家榇準(CNS ) Α4規格(2丨0><297公釐) ----Ί — J—----裝-- (請先閎讀背面之注意事項再填ιϋ頁) 8 8 8 8 ABCD 475912 ττ、申請專利乾圍 條。 2 2.如申請專利範圍第4項所述之設備,其中該圓盤為一靜 電夾頭。 23.—種在工件處理系統中用來偏壓與抓持一工件於一工 件支撐件上之設備,其至少包含: 一轴; 一托盤基座,其設置於該軸上; 一靜電夾頭,其設置於該托盤基座之上; 一冷卻板,其設置於該夾頭底下,耦合至一偏壓源, 在其上表面上具有三條凹槽及在其底部内具有一通 道,該適道中具有一不鎮鋼冷媒管,該冷媒管具有銅及 鎳的電鍍層,該冷媒管幾乎環繞整個冷卻板的底部,延 伸至該托盤基座的底部並在該托盤基座的底部具有電 氣絕緣安中件;及 一外殼,其由該軸的下内壁,該托盤基座的底板, 該托盤基座的上内壁及該靜電夾頭的底面所界定; 一陶瓷絕緣環,其環繞該托盤基座的上内壁用以將 該冷卻板與該基盤基座絕緣,該絕緣環具有一唇部並設 有一或多個凹部,該冷卻板躺在該唇部上,每一凹部具 有一彈簧設置於其内用以在該冷卻板與該靜電夾頭之 間保持張緊接觸; 一或多個絕緣件,每一絕緣件包容一熱電耦或位在 第23頁 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) (請先閱讀背面之注意事項再填m頁) 訂“ 經濟部中央標隼局員工消費合作社印製 475912 A8 B8 C8 D8 六、申請專利範圍 該外殼内的一管子; 一陶瓷圓筒形套筒伸縮囊絕緣件,其頂抵著該軸的 下内壁被設置;及 一陶瓷環,其被作成伸縮熱電耦安裝件的形狀且被 設置於該伸縮囊絕緣件之上。 ---i---*-----裝-- (請先閲讀背面之注意事項再填頁) 經濟部中央標隼局員工消費合作社印^ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29<7公釐)
TW088105887A 1998-05-01 1999-04-13 Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system TW475912B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/071,784 US6081414A (en) 1998-05-01 1998-05-01 Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system

Publications (1)

Publication Number Publication Date
TW475912B true TW475912B (en) 2002-02-11

Family

ID=22103578

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088105887A TW475912B (en) 1998-05-01 1999-04-13 Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system

Country Status (6)

Country Link
US (1) US6081414A (zh)
EP (1) EP1075707A1 (zh)
JP (1) JP2002514010A (zh)
KR (1) KR20010043180A (zh)
TW (1) TW475912B (zh)
WO (1) WO1999057753A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI463597B (zh) * 2010-12-16 2014-12-01 Applied Materials Inc 用於半導體晶圓處理的高效率靜電夾盤組件

Families Citing this family (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US6397775B1 (en) * 1998-10-16 2002-06-04 Canon Kabushiki Kaisha Deposited film forming system and process
US6920915B1 (en) * 1999-10-02 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for cooling a semiconductor substrate
JP4209057B2 (ja) * 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
US6426282B1 (en) 2000-05-04 2002-07-30 Applied Materials, Inc. Method of forming solder bumps on a semiconductor wafer
US6922324B1 (en) * 2000-07-10 2005-07-26 Christopher M. Horwitz Remote powering of electrostatic chucks
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6967177B1 (en) * 2000-09-27 2005-11-22 Lsi Logic Corporation Temperature control system
US6439244B1 (en) * 2000-10-13 2002-08-27 Promos Technologies, Inc. Pedestal design for a sputter clean chamber to improve aluminum gap filling ability
TWI246873B (en) * 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
JP4115155B2 (ja) * 2002-04-11 2008-07-09 東京エレクトロン株式会社 プラズマ処理装置の真空処理室内部品の帯電抑制方法
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
WO2004068541A2 (en) * 2003-01-17 2004-08-12 General Electric Company Wafer handling apparatus
JP2004306191A (ja) * 2003-04-07 2004-11-04 Seiko Epson Corp テーブル装置、成膜装置、光学素子、半導体素子及び電子機器
US7846254B2 (en) * 2003-05-16 2010-12-07 Applied Materials, Inc. Heat transfer assembly
KR100532322B1 (ko) * 2003-06-04 2005-11-29 삼성전자주식회사 웨이퍼 베이킹 플레이트의 냉각 장치
DE10339997B4 (de) * 2003-08-29 2007-07-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Träger für einen Wafer, Verfahren zum Herstellen eines Trägers und Verfahren zum Handhaben eines Wafers
JP2005079539A (ja) * 2003-09-03 2005-03-24 Hitachi Ltd プラズマ処理装置
US7198276B2 (en) * 2003-10-24 2007-04-03 International Business Machines Corporation Adaptive electrostatic pin chuck
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP2006179693A (ja) * 2004-12-22 2006-07-06 Shin Etsu Chem Co Ltd ヒータ付き静電チャック
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US7981262B2 (en) * 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US8269510B2 (en) * 2007-10-05 2012-09-18 Lam Research Corporation Apparatus for measuring dielectric properties of parts
US7911213B2 (en) 2007-10-05 2011-03-22 Lam Research Corporation Methods for measuring dielectric properties of parts
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20100013626A1 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Substrate lift pin sensor
WO2010019430A2 (en) * 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US8064185B2 (en) * 2008-09-05 2011-11-22 Applied Materials, Inc. Electrostatic chuck electrical balancing circuit repair
US8139340B2 (en) * 2009-01-20 2012-03-20 Plasma-Therm Llc Conductive seal ring electrostatic chuck
WO2010095540A1 (ja) * 2009-02-18 2010-08-26 株式会社アルバック ウェハ搬送用トレイ及びこのトレイ上にウェハを固定する方法
JP5262878B2 (ja) 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
US8531814B2 (en) * 2009-04-16 2013-09-10 Varian Semiconductor Equipment Associates, Inc. Removal of charge between a substrate and an electrostatic clamp
EP2430654B1 (en) 2009-05-15 2019-12-25 Entegris, Inc. Electrostatic chuck with polymer protrusions
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101731136B1 (ko) 2010-05-28 2017-04-27 엔테그리스, 아이엔씨. 표면저항이 높은 정전 척
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US20120160807A1 (en) * 2010-12-28 2012-06-28 Spansion Llc System, method and apparatus for reducing plasma noise on power path of electrostatic chuck
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9337067B2 (en) * 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
CN103843129B (zh) * 2011-09-30 2017-03-01 应用材料公司 具有温度控制的静电夹具
JP5942380B2 (ja) * 2011-10-20 2016-06-29 住友電気工業株式会社 半導体製造装置用ウエハ保持体
US8809875B2 (en) 2011-11-18 2014-08-19 LuxVue Technology Corporation Micro light emitting diode
US8349116B1 (en) 2011-11-18 2013-01-08 LuxVue Technology Corporation Micro device transfer head heater assembly and method of transferring a micro device
US8573469B2 (en) 2011-11-18 2013-11-05 LuxVue Technology Corporation Method of forming a micro LED structure and array of micro LED structures with an electrically insulating layer
US8333860B1 (en) 2011-11-18 2012-12-18 LuxVue Technology Corporation Method of transferring a micro device
US9548332B2 (en) 2012-04-27 2017-01-17 Apple Inc. Method of forming a micro LED device with self-aligned metallization stack
JP5977592B2 (ja) 2012-06-20 2016-08-24 東京応化工業株式会社 貼付装置
US9267739B2 (en) * 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6100564B2 (ja) * 2013-01-24 2017-03-22 東京エレクトロン株式会社 基板処理装置及び載置台
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9668373B2 (en) 2013-03-15 2017-05-30 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR101784227B1 (ko) * 2013-03-15 2017-10-11 어플라이드 머티어리얼스, 인코포레이티드 정전 척의 수리 및 복원을 위한 방법 및 장치
US20160329173A1 (en) 2013-06-12 2016-11-10 Rohinni, LLC Keyboard backlighting with deposited light-generating sources
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9367094B2 (en) 2013-12-17 2016-06-14 Apple Inc. Display module and system applications
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9583466B2 (en) 2013-12-27 2017-02-28 Apple Inc. Etch removal of current distribution layer for LED current confinement
US9450147B2 (en) 2013-12-27 2016-09-20 Apple Inc. LED with internally confined current injection area
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9583533B2 (en) 2014-03-13 2017-02-28 Apple Inc. LED device with embedded nanowire LEDs
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9522468B2 (en) 2014-05-08 2016-12-20 Apple Inc. Mass transfer tool manipulator assembly with remote center of compliance
US9318475B2 (en) 2014-05-15 2016-04-19 LuxVue Technology Corporation Flexible display and method of formation with sacrificial release layer
US20150332942A1 (en) * 2014-05-16 2015-11-19 Eng Sheng Peh Pedestal fluid-based thermal control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9741286B2 (en) 2014-06-03 2017-08-22 Apple Inc. Interactive display panel with emitting and sensing diodes
US9624100B2 (en) 2014-06-12 2017-04-18 Apple Inc. Micro pick up array pivot mount with integrated strain sensing elements
US9425151B2 (en) 2014-06-17 2016-08-23 Apple Inc. Compliant electrostatic transfer head with spring support layer
US9570002B2 (en) 2014-06-17 2017-02-14 Apple Inc. Interactive display panel with IR diodes
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US10431435B2 (en) 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10883168B2 (en) * 2014-09-11 2021-01-05 Massachusetts Institute Of Technology Processing system for small substrates
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9828244B2 (en) 2014-09-30 2017-11-28 Apple Inc. Compliant electrostatic transfer head with defined cavity
US9705432B2 (en) 2014-09-30 2017-07-11 Apple Inc. Micro pick up array pivot mount design for strain amplification
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9478583B2 (en) 2014-12-08 2016-10-25 Apple Inc. Wearable display having an array of LEDs on a conformable silicon substrate
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10497606B2 (en) * 2015-02-09 2019-12-03 Applied Materials, Inc. Dual-zone heater for plasma processing
CN107534002A (zh) 2015-02-25 2018-01-02 康宁股份有限公司 用于将衬底静电地卡紧到移动载体的装置和方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
KR102298484B1 (ko) 2016-01-15 2021-09-03 로히니, 엘엘씨. 장치 상의 커버를 통해 후면 발광하는 장치 및 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10410900B2 (en) * 2016-08-05 2019-09-10 Applied Materials, Inc. Precision screen printing with sub-micron uniformity of metallization materials on green sheet ceramic
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10784139B2 (en) * 2016-12-16 2020-09-22 Applied Materials, Inc. Rotatable electrostatic chuck having backside gas supply
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11011355B2 (en) * 2017-05-12 2021-05-18 Lam Research Corporation Temperature-tuned substrate support for substrate processing systems
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US11149345B2 (en) 2017-12-11 2021-10-19 Applied Materials, Inc. Cryogenically cooled rotatable electrostatic chuck
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
KR102673739B1 (ko) 2018-02-09 2024-06-07 어플라이드 머티어리얼스, 인코포레이티드 개선된 온도 제어를 갖는 반도체 프로세싱 장치
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11515130B2 (en) 2018-03-05 2022-11-29 Applied Materials, Inc. Fast response pedestal assembly for selective preclean
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CZ307842B6 (cs) * 2018-05-02 2019-06-12 Fyzikální Ústav Av Čr, V. V. I. Způsob generování nízkoteplotního plazmatu, způsob povlakování vnitřního povrchu dutých elektricky vodivých nebo feromagnetických trubic a zařízení pro provádění těchto způsobů
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7481823B2 (ja) * 2018-11-05 2024-05-13 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11056372B2 (en) * 2019-04-30 2021-07-06 Applied Materials, Inc. Low temperature biasable substrate support
CN111987031B (zh) * 2019-05-23 2024-07-23 北京北方华创微电子装备有限公司 卡盘装置及半导体设备
CN113053713B (zh) * 2019-12-26 2023-03-24 中微半导体设备(上海)股份有限公司 等离子体处理设备
CN111477569B (zh) * 2020-04-10 2024-02-27 北京北方华创微电子装备有限公司 一种半导体设备中的加热装置及半导体设备
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US20220319896A1 (en) * 2021-04-02 2022-10-06 Applied Materials, Inc. Rotating biasable pedestal and electrostatic chuck in semiconductor process chamber
US20220349050A1 (en) * 2021-04-30 2022-11-03 Applied Materials, Inc. Method and apparatus with high conductance components for chamber cleaning

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
JP2892787B2 (ja) * 1990-07-20 1999-05-17 東京エレクトロン株式会社 電気信号の抽出方法
JPH0478133A (ja) * 1990-07-20 1992-03-12 Tokyo Electron Ltd プラズマ処理装置
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
GB2256084A (en) * 1991-05-13 1992-11-25 Integrated Plasma Ltd Plasma deposition and etching of substrates.
US5310453A (en) * 1992-02-13 1994-05-10 Tokyo Electron Yamanashi Limited Plasma process method using an electrostatic chuck
KR100238626B1 (ko) * 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
KR100260587B1 (ko) * 1993-06-01 2000-08-01 히가시 데쓰로 정전척 및 그의 제조방법
TW262566B (zh) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5463526A (en) * 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
KR100430643B1 (ko) * 1994-01-31 2004-05-12 어플라이드 머티어리얼스, 인코포레이티드 두께가 균일한 절연체 막을 갖는 정전기 척
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
US5592358A (en) * 1994-07-18 1997-01-07 Applied Materials, Inc. Electrostatic chuck for magnetic flux processing
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
JPH10116887A (ja) * 1996-08-26 1998-05-06 Applied Materials Inc ワークピースの冷却装置及び方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI463597B (zh) * 2010-12-16 2014-12-01 Applied Materials Inc 用於半導體晶圓處理的高效率靜電夾盤組件

Also Published As

Publication number Publication date
KR20010043180A (ko) 2001-05-25
WO1999057753A1 (en) 1999-11-11
EP1075707A1 (en) 2001-02-14
JP2002514010A (ja) 2002-05-14
US6081414A (en) 2000-06-27

Similar Documents

Publication Publication Date Title
TW475912B (en) Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6219219B1 (en) Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
CN100437968C (zh) 高温静电夹盘
US6781812B2 (en) Chuck equipment
US6074488A (en) Plasma chamber support having an electrically coupled collar ring
US6104596A (en) Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same
US6786175B2 (en) Showerhead electrode design for semiconductor processing reactor
TW552310B (en) Sputtering chamber shield promoting reliable plasma ignition
CN101802998B (zh) 静电夹具
TW552663B (en) Substrate support pedestal
TW552664B (en) Electrostatic chuck with dielectric coating
KR102092623B1 (ko) 플라스마 처리 장치
US6022418A (en) Vacuum processing method
KR19980063671A (ko) 기판의 균일 가열을 위한 기판 지지부재
CN107710398A (zh) 具有射频耦合的高功率静电夹盘设计
JP2006518930A (ja) 基板処理設備
JP2011091361A (ja) 静電チャック
US20120138450A1 (en) Immersible Plasma Coil Assembly and Method for Operating the Same
JP2024001248A (ja) 静電チャック(esc)ペデスタル電圧分離
US11410869B1 (en) Electrostatic chuck with differentiated ceramics
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber
WO2002073654A1 (en) Plasma chamber support having dual electrodes

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees