TW468262B - Copper damascene process to enhance the reliability of electrical characteristics - Google Patents

Copper damascene process to enhance the reliability of electrical characteristics Download PDF

Info

Publication number
TW468262B
TW468262B TW90100792A TW90100792A TW468262B TW 468262 B TW468262 B TW 468262B TW 90100792 A TW90100792 A TW 90100792A TW 90100792 A TW90100792 A TW 90100792A TW 468262 B TW468262 B TW 468262B
Authority
TW
Taiwan
Prior art keywords
layer
copper
stop
stop layer
semiconductor substrate
Prior art date
Application number
TW90100792A
Other languages
Chinese (zh)
Inventor
Sheng-Shiung Chen
Shuen-Lung Chen
Hung-Tze Lin
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW90100792A priority Critical patent/TW468262B/en
Application granted granted Critical
Publication of TW468262B publication Critical patent/TW468262B/en

Links

Abstract

A copper damascene process to enhance the reliability of electrical characteristics is hereby disclosed. The present patent describes the method to enhance the reliability of electrical characteristics effectively during the fabrication of the metal interconnect of single damascene or dual damascene structure. Firstly, there are formed a dielectric layer on the semiconductor substrate, and a first stop layer on the surface of the dielectric layer. Then the first stop layer and the dielectric layer are etched to form an opening inside for exposing the upper surface of the semiconductor substrate. Then there is formed a copper layer on the semiconductor substrate by proceeding electrical chemical plating (ECP) and filling the opening. Thereafter, the chemical mechanical polishing process is proceeded to the semiconductor substrate to remove part of the copper layer on the upper surface of the first stop layer, and part of the first stop layer is removed, and the copper damascene structure is defined in the opening. A second stop layer is formed on the upper surface of the remaining first stop layer and the copper damascene structure, wherein the second stop layer and the first stop layer are formed of the same material, and the copper process is improved by utilizing two characteristics: using part of the residue of the stop layer and using the same material. The dielectric material can be completely sealed at the junction no matter it has the single damascene or dual damascene structure, so that the electrical characteristics and the reliability can be improved.

Description

4 6 8 26 2 五、發明說明(l) 發明領域: 本發明與一種半導體製程中的銅鑲嵌結構有關’特別 是一種在製作銅鑲嵌結構程序中,使用相同材料的同質結 構(homogenous structure)堆疊層,來提高銅鑲嵌結構週 圍絕緣材料的密合特性,而降低銅原子電致躍遷機率之相 關製作方法。 發明背景: 隨 (ULSI) 趨勢, 不斷的 了前所 言,積 計的元 執行所 含元件 連線, 體電路 重金屬 著半導 的開發 各式元 縮小, 未有之 體電路件,以 需之特 之性能 以便能 尺寸持 内連線 體工業持續 與設計中, 件之尺寸皆 也導致在進 難題,且製 包括在晶圓 及用來連接 定功能。因 及可靠度外 有效傳遞元 續的縮小, 發展。 的進展 為了符 降至次 行相關 程複雜 上某特 這些元 此積體 ,更需 件間的 當前的 ,在超大型積 合高密度積體 微米以下。並 半導體製程時 程度亦不斷提 定區域中,形 件的電子連結 電路的性能, 要無數精密細 電子訊號。特 積體電路設計 體電路 電路之設計 且由於元件 ,往往遭遇 咼 般而 成數以百萬 結構,以便 除了依靠所 微的金屬内 別是隨著積 ,已朝著多 並且,為了解決在多重層中製作金屬内連線 之困難4 6 8 26 2 V. Description of the invention (l) Field of the invention: The present invention relates to a copper damascene structure in a semiconductor process, particularly a homogenous structure stack using the same material in the process of manufacturing a copper damascene structure. Layer to improve the adhesion characteristics of the insulation material around the copper mosaic structure and reduce the related transition method of copper atom electro-induced transition. Background of the Invention: In accordance with the (ULSI) trend, the former mentioned above, the component wiring of the cumulative implementation of the elements, the development of heavy metals and semiconductors in the body circuit are shrinking, and there is no such body circuit component as necessary. The special performance in order to be able to maintain the size of the interconnect industry. In the industrial continuity and design, the size of the components has also led to difficulties, and manufacturing includes wafers and used to connect fixed functions. Due to the continuous reduction and development of effective transmission beyond reliability. In order to reduce the complexity of the related process to a certain special element, the current needs to be more than one micrometer in the super large-scale integrated high-density product. In the semiconductor manufacturing process, the performance of the electronic connection circuits of the components in the area is constantly being specified. Countless precise electronic signals are required. Special product circuit design The design of the body circuit circuit and the components often encounter millions of structures, so that in addition to relying on the metal inside, but also with the product, it has been moving toward the multi-layer and in order to solve the problem in multiple layers Difficulties in making metal interconnects

第5頁 468262 五、發明說明(2) 鑲嵌製程(damascene process)的相關技術,受到廣泛的 研究與發展’以便有效的克服微影解析度的限制、曝光聚 焦(Focus)的誤差、影像傳遞的精確度與解析度 (Resolution)不足等問題。其中,藉著運用單一鑲欲製程 (single damascene)或是雙重鑲嵌製程(duai damascene) 的技術’可以在半導體底材上,精準的定義出多重金屬内 連線。 請參照第一圖,此圖顯示了當前製作銅連線結構之方 法。其中,首先提供一半導體底材10,且在半導體底材1〇 上’已事先製作了各種材料層與各式功能元件(未顯示於 圖中)。接著’形成氧化層12於半導體底材10上,且形成 一薄氮化矽層1 4於氧化層1 2的上表面。再使用微影蝕刻製 程’依序在氮化矽層14與介電層12上形成開口,以曝露出 半導體底材10上之連接區域(未顯示於圊中)^然後,藉著 使用化學電鍵(electrical chemical plating; ECP)製 程’可沉積銅層16於半導體底材10、氧化層12、與氮化矽 層14上,且填充於上述開口中。 隨後,如第二圖所示,使用化學機械研磨(CMP )製 程’移除位於氧化層1 2上方的部份銅層1 6,以定義位於開 口中的銅鑲嵌結構1 8。在進行研磨程序時,位於氧化層i 2 上表面的氮化矽層14 ’可作為研磨停止層使用,以便可將 其上方的鋼層16完全移除。但值得注意的,在目前的研磨Page 5 468262 5. Description of the invention (2) The related technology of the damascene process has been extensively researched and developed in order to effectively overcome the limitations of lithographic resolution, the error of the focus and the image transmission. Insufficient accuracy and resolution. Among them, through the use of single damascene or duai damascene technology, multiple metal interconnects can be accurately defined on semiconductor substrates. Please refer to the first figure, which shows the current method of making copper wiring structures. Among them, a semiconductor substrate 10 is provided first, and various material layers and various functional elements have been previously prepared on the semiconductor substrate 10 (not shown in the figure). Next, an oxide layer 12 is formed on the semiconductor substrate 10, and a thin silicon nitride layer 14 is formed on the upper surface of the oxide layer 12. Then, a photolithographic etching process is used to sequentially form openings in the silicon nitride layer 14 and the dielectric layer 12 to expose the connection area (not shown in the figure) on the semiconductor substrate 10. Then, by using chemical bonding (Electrical chemical plating; ECP) process can deposit a copper layer 16 on the semiconductor substrate 10, the oxide layer 12, and the silicon nitride layer 14 and fill the openings. Subsequently, as shown in the second figure, a chemical mechanical polishing (CMP) process is used to remove a portion of the copper layer 16 above the oxide layer 12 to define a copper damascene structure 18 in the opening. During the polishing process, the silicon nitride layer 14 'on the upper surface of the oxide layer i 2 can be used as a polishing stop layer so that the steel layer 16 above it can be completely removed. But it is worth noting that in the current grinding

第6頁 4 6 8 26 2Page 6 4 6 8 26 2

程序中,除了移除不要的銅層 將氮化矽層1 4移除。然後再重^ ’、亦會持續研磨以順便 i — )2。於銅鑲嵌結構18與“ = = 說’由於此蓋層20在後續製程上表面。:般來 用,是以其材質在選擇上,為㈣停止層使 大餘刻選擇性的材料來構成彳:使用與氧化物間具有較 來作為此處的蓋層20使用例如,可選擇氮化刪’ 要特別指出的’由於蓋層2〇與氧化層12分別是由不同 的材料所構成,因此其接合面的特性往往較差。更者,由 於銅原子在氧化物中的入侵與擴散能力極強,是以經常造 成銅鎮嚴結構18中的銅原子,沿著蓋層2〇與氧化層12的接 合面22產生擴散現象。請參照第三圖,此圖顯示進行BTS 測試程序時之相關配置β其中,藉著連接一電壓源到所製 作的銅鑲嵌結構18,可對其施加不同程度的電壓,以便觀 察這些銅鑲嵌結構18的操作特性。此時,可發現當所施加 的電壓過高,或著是以逆偏壓方式進行時,銅鑲嵌結構18 往往會產生嚴重的電致躍遷(ΕΜ)。並且’擴散出來的銅原 子’會沿著箭頭方向侵入蓋層2〇與氧化層12的接面中。 請參照第四圖,此圖即顯示了上述電致躍遷之情形。 其中’銅鑲嵌結構30是形成於一金屬間介電層(IMD)32 内。並且,在對這些銅鑲嵌結構3 0進行電性測試後,可發 現銅原子確實會沿著蓋層34與金屬間介電層32的接面,產In the procedure, except for removing the unnecessary copper layer, the silicon nitride layer 14 is removed. Then it will be re-^^ ', and will continue to grind to drop by i —) 2. For the copper mosaic structure 18 and "= = say 'because this cap layer 20 is on the upper surface of subsequent processes .: Generally used, it is based on the selection of its material and the material for the ㈣stop layer to make it more selective. : It is used as the cap layer 20 compared with the oxide. For example, nitriding can be selected. 'Special mention' is made because the cap layer 20 and the oxide layer 12 are made of different materials. The characteristics of the joint surface are often poor. Furthermore, due to the extremely strong ability of copper atoms to invade and diffuse in the oxide, the copper atoms in the copper ballasting structure 18 are often caused along the cap layer 20 and the oxide layer 12 Diffusion occurs at the bonding surface 22. Please refer to the third figure, which shows the relevant configuration β during the BTS test procedure. Among them, by connecting a voltage source to the fabricated copper mosaic structure 18, different levels of voltage can be applied to it In order to observe the operating characteristics of these copper damascene structures 18. At this time, it can be found that when the applied voltage is too high, or when it is performed in a reverse bias manner, the copper damascene structure 18 tends to produce severe electrical transitions (EM). ). And the 'diffused copper atoms' will intrude into the interface between the capping layer 20 and the oxide layer 12 in the direction of the arrow. Please refer to the fourth figure, which shows the above-mentioned electro-transition situation. 30 is formed in an intermetal dielectric layer (IMD) 32. Moreover, after conducting electrical tests on these copper damascene structures 30, it can be found that copper atoms will indeed follow the cap layer 34 and the intermetal dielectric layer 32. Interface

4 6 8 26 2 五、發明說明(4) 生入侵與擴散’而造成圖中的突出物(extrusion)36。同 時,在損失了部份鋼原子的銅鑲嵌結構30中,亦會產生空 洞(void)般的缺陷38。如此一來,除了可能導致所定義的 積體電路發生短路外,過多的空洞亦會使銅鑲嵌結構3 〇的 導電特性受到嚴重的影響。 發明目的及概述: 半導 可有 機率 本發明之主要目的在提供一種製作銅鑲嵌結構於 體底材上之方法。 本發明之另一目的在提供一種在銅鑲嵌製程中, 效包覆、密封住銅鑲嵌結構,而降低其產生電致躍遷 之相關製程。 一禋在半導體底材上製造銅鑲嵌結 下列步驟。首先,形成介電層於半導體法包;了 層於介電層表面上。其中,第-停止層與介3第 有::的餘刻選擇比。接著,餘刻第—停止層與介, U形成開口於第一停止層與介電層中。其; 層, 、 阀口並會竭4 6 8 26 2 V. Explanation of the invention (4) Invasion and proliferation caused by the explosion 'cause the protrusion 36 in the figure. At the same time, void-like defects 38 may also be generated in the copper mosaic structure 30 in which some steel atoms are lost. In this way, in addition to possibly causing short circuits in the defined integrated circuit, too many voids will also seriously affect the conductive properties of the copper damascene structure 30. Object and Summary of the Invention: Semiconductor Probability The main object of the present invention is to provide a method for making a copper mosaic structure on a body substrate. Another object of the present invention is to provide a related process for effectively encapsulating and sealing the copper mosaic structure in the copper mosaic process, thereby reducing the electrical transition. To make a copper damascene junction on a semiconductor substrate, follow these steps. First, a dielectric layer is formed on the semiconductor package; a layer is formed on the surface of the dielectric layer. Among them, the third-stop layer and the third one are: the remaining time selection ratio. Then, in the rest of the first stop layer and the dielectric, U forms an opening in the first stop layer and the dielectric layer. Its; layers, valve ports and exhaust

第8頁 4 6 8 26 2 五、發明說明(5) 露出半導體底材上表面。然後,形成阻障層於開口側壁與 所曝露的半導體底材上表面。再形成銅晶種層於阻障層表 面’且進行化學電鍍(Ecp)反應,以形成銅層於銅晶種層 表面’並填充於開口中。接著’可對半導體底材進行化學 機械研磨程序,以移除位於第一停止層上表面的部份銅 層,且移除掉部份第一停止層,以定義銅鑲嵌結構於開口 中。然後’形成第二停止層於殘餘的第一停止層與銅鑲嵌 結構上表面。其中,第二停止層與第一停止層是使用相同 材料構成。如此,可藉著第二停止層與第一停止層間的同 質性,而達到包覆、密封住其下銅鑲嵌結構之目的。 發明詳細說明: 材先提供—具<100>晶向之單晶石夕底 (gaU— arSenide)、鍺(germanium 如二 …材(siliC〇"“一ator, 半導體底材50使用。另外,由 /身了作為此處的 本發…,並不會造成特體=== 擇<110〉或<111>。 尺从其晶向亦可選 接著可在半導體底材5〇 作用。此處要說明的是在形 50上已製作了積體電路所需 上形成介電層52,以產生絕緣 成介電層52以前,半導體底材 之各式主動元件、被動元件、Page 8 4 6 8 26 2 V. Description of the invention (5) Exposing the upper surface of the semiconductor substrate. Then, a barrier layer is formed on the sidewall of the opening and the upper surface of the exposed semiconductor substrate. Then, a copper seed layer is formed on the surface of the barrier layer and a chemical plating (Ecp) reaction is performed to form a copper layer on the surface of the copper seed layer and fill the opening. Then, a CMP process can be performed on the semiconductor substrate to remove a portion of the copper layer on the upper surface of the first stop layer and remove a portion of the first stop layer to define a copper damascene structure in the opening. Then, a second stop layer is formed on the remaining first stop layer and the upper surface of the copper damascene structure. The second stop layer and the first stop layer are made of the same material. In this way, by virtue of the homogeneity between the second stop layer and the first stop layer, the purpose of covering and sealing the underlying copper mosaic structure can be achieved. Detailed description of the invention: Materials are provided first-single crystal with crystalline orientation (gaU-arSenide), germanium (germanium such as two ... siliC0 " "aator, semiconductor substrate 50 is used. In addition As the hair here ..., it does not cause the special body === to choose < 110> or < 111 >. The ruler can also be selected from its crystal orientation and then can act on the semiconductor substrate 50. It should be explained here that before the integrated circuit required to form the integrated circuit 52 has been fabricated on the shape 50 to produce insulation into the dielectric layer 52, various active components, passive components,

第9頁 468262 五、發明說明(6) 與週圍電路等等。換言之’在此半導體底材50的表面上, 已具有各式所需的功能層與材料層。至於上述的介電層 52 ’在較佳的實施例中’可選擇氧化碎材料來構成。例 如了使用化學氣相沈積法(C VD)以四乙基石夕酸鹽(τEO S) 在溫度約,至8 00。c,壓力約〇1至1〇t〇rr間,來形成氧 化矽、。或著:也可利用四乙基矽酸鹽(TE0S)作為反應材 料,並加入氟原子,以化學氣相沉積法(LPCVD)形成氟矽 玻璃(FSG),來作為上述之介電層52。此外,當整體製程 需求時,亦可使用諸如BD、c〇RAL、Siu、FUre、HSQ、 tns〇^aSS等具有低介電值(K值)的材料’來構成上述的介 電屬5 2。 接著,可形成第一停止層54於介電層52上表面。其 :在製作此第-停止層54時,可選擇與介電層52間具有 蝕刻選擇比的材料來構成。例如,當介電層52的材料 ^ =物時,此第—停止層54可選擇以氮化矽(SU)或碳 & $ a 1c)來構成。當選擇氮化矽材料時,可以使用低壓 相沈積法(LPCVD),或電聚增強化學氣相沈積法 二ECVD},在溫度大約4〇〇一s〇〇t的環境中通入^、 ,j、M或是SiH2Cl2、NH3、N2、N2〇等反應氣體,而形 :所需的第—停止層54。在較佳的實施例中,成匕第一停止 脅54的厚度可控制在2〇〇至5〇()埃之間。 電 然後,可藉由傳統微影技術,對第一停止層54與介Page 9 468262 V. Description of the invention (6) and surrounding circuits and so on. In other words, on the surface of the semiconductor substrate 50, there are already various functional layers and material layers required. As for the above-mentioned dielectric layer 52 ', in a preferred embodiment, an oxide crushing material may be selected for construction. For example, using chemical vapor deposition (C VD) with tetraethyl oxalate (τEO S) at a temperature of about 800. c. The pressure is about 0.01 to 10 torr to form silicon oxide. Or: It is also possible to use tetraethyl silicate (TEOS) as a reaction material and add fluorine atoms to form fluorosilicon glass (FSG) by chemical vapor deposition (LPCVD) as the above-mentioned dielectric layer 52. In addition, when the overall process requirements, materials such as BD, copal, Siu, FUre, HSQ, tns〇 ^ aSS and other materials with low dielectric value (K value) can be used to constitute the above-mentioned dielectric gen 5 2 . Then, a first stop layer 54 may be formed on the upper surface of the dielectric layer 52. The first stop layer 54 may be formed by selecting a material having an etching selectivity ratio with the dielectric layer 52. For example, when the material of the dielectric layer 52 is a material, the first stop layer 54 may be formed of silicon nitride (SU) or carbon (a). When silicon nitride material is selected, low pressure phase deposition (LPCVD) or electro-enhanced chemical vapor deposition (ECVD) can be used, and the temperature is about 400 ~ s00t. j, M or SiH2Cl2, NH3, N2, N2O and other reaction gases, and the shape: the required first-stop layer 54. In a preferred embodiment, the thickness of the first stop threat 54 can be controlled between 200 and 50 angstroms. Then, the first stop layer 54 and the dielectric can be

mmmm

第10頁 4 6 8 26 2 五 '發明說明(7) " 層52進行蝕刻程序,而定義開口圖案56於其中。其中,開 口圖案56會曝露出半導體底材5〇的上表面。一般而言,在 定義上述開口圖案56時,可先在第一停止層54上形成光阻 層’並轉移光罩上開口圖案至光阻層中。接著,再利用光 阻層作為蝕刻罩冪,對第—停止層5 4與介電層5 2進行蝕刻 程序,而定義開口圖案於其中。另外,在定義圖案於第— 停止層54上以後,也可利用此第一停止層54作為蝕刻罩 幂’對介電層52進行蝕刻程序,而形成開口圖案於其中。 在較佳的實施例中,當第一停止層54是由氮化矽構成時, 其敍刻劑可選擇CF^H2、CHF3或CH3CHF2。另外,當介電層 是由氧化矽材料構成時,其蝕刻劑則可選擇cc 1 2 F2、 CHF3/CF4、CHF3/02、CH3CHF2、cf4/o2。 然後’請參照第六圖’形成阻障層5 8於開口圖案5 6侧 壁與所曝露的半導體底材50上表面,以防止後續製作之鋼 層與介電層52、半導體底材50間發生擴散現象,而產生尖 峰效應(spiking effect)。在較佳實施例中,形成阻障層 58之溫度約為250至400 °C,以便有效的降低其結構應力。 至於阻障層58的材質則可選擇鈦(π)、鈕(Ta)、氮化鈦 (TiN)、氮化钽(TaN)或其它適當的材料組合。此外,所製 作阻障層58其較佳厚度約在1〇〇至5〇〇埃。 在形成阻障層58後’可再形成銅晶種層(Cu seeding 1 a y e r) 6 0於阻障層5 8表面。在較佳實施例中,此銅晶種層Page 10 4 6 8 26 2 5 'Explanation (7) " The layer 52 is subjected to an etching process, and an opening pattern 56 is defined therein. Among them, the opening pattern 56 will expose the upper surface of the semiconductor substrate 50. In general, when defining the opening pattern 56 described above, a photoresist layer 'may be formed on the first stop layer 54 and the opening pattern on the photomask may be transferred to the photoresist layer. Next, the photoresist layer is used as an etching mask to perform an etching process on the first stop layer 54 and the dielectric layer 52 to define an opening pattern therein. In addition, after the pattern is defined on the first stop layer 54, the first stop layer 54 can also be used as an etching mask to perform an etching process on the dielectric layer 52 to form an opening pattern therein. In a preferred embodiment, when the first stop layer 54 is made of silicon nitride, the etch agent can be selected from CF ^ H2, CHF3, or CH3CHF2. In addition, when the dielectric layer is made of a silicon oxide material, cc 1 2 F2, CHF3 / CF4, CHF3 / 02, CH3CHF2, cf4 / o2 can be selected as an etchant. Then 'refer to the sixth figure' to form a barrier layer 5 8 on the opening pattern 5 6 side wall and the upper surface of the exposed semiconductor substrate 50 to prevent the subsequent manufacturing of the steel layer and the dielectric layer 52 and the semiconductor substrate 50 Diffusion occurs, resulting in a spike effect. In a preferred embodiment, the temperature at which the barrier layer 58 is formed is about 250 to 400 ° C, so as to effectively reduce its structural stress. As for the material of the barrier layer 58, titanium (π), button (Ta), titanium nitride (TiN), tantalum nitride (TaN), or other suitable material combinations can be selected. In addition, the barrier layer 58 is preferably formed to a thickness of about 100 to 500 angstroms. After the barrier layer 58 is formed, a copper seeding layer (Cu seeding 1 a y e r) 60 may be formed on the surface of the barrier layer 58. In a preferred embodiment, the copper seed layer

468 26 2 五、發明說明(8) 子目沉積法(Physical 法等類似製程而形成,且 ^接著,可將半導體底材 化學電鍍(ECP)反應,而 且填充於開口圖案5 6之 吐連接至一電源之陰極, 進行還原反應,並沉積於 進行電鍍程序,可使銅原 成所需的銅層62。一般而 個開口圖案56後,仍會持 第一停止層5 4。 6 0可使用熟知技術,諸如物理氣 vapor deposition; PVD)、減鍍 其厚度大約在500至1500埃之間, 5 0沉浸於硫酸銅溶液中,以進行 形成銅層62於銅晶種層60表面, 中。其中,藉著將銅晶種層60電 而使位於硫酸銅溶液中之銅離子 銅晶種層60之表面。亦即,藉著 子沉積於銅晶種層60表面,並形 言’所製作的銅層62在填充完整 續的沉穡,而覆蓋住介電層52與 然後,如第七圖所示,可對半導體底材5{)進行化學機 =磨程序(CMP) ’以移除位於第—停止層“上表面之 伤銅層62,並定義銅鑲鼓結構64於開口圖案56中。要㈣ 強調的,如同前面所述,在傳統的製程中,往往 :停止移⑨’以便後續直接製作蓋層於介電層以表面 ^但在本發明之實施例中,則可在移除部份第—停止層 54後,停止化學機械研磨程序β亦即,在移除第一停止層 54上表面的銅層62後,同時移除掉部份的第一停止層η。 在較佳實施例巾’剩餘的第-停止層54厚度大約在2〇〇埃 左右。此外,所形成的銅鑲嵌結構64除了可作為介電層間 銅連線(via)外,亦可作為銅導電插塞(plug)使用。468 26 2 V. Description of the invention (8) Subhead deposition method (Physical method and other similar processes), and then, the semiconductor substrate chemical plating (ECP) can be reacted and filled in the opening pattern 5 6 A cathode of a power source undergoes a reduction reaction and is deposited to perform a plating process, so that the copper can be formed into the required copper layer 62. Generally, after the opening pattern 56, the first stop layer 5 4 is still used. 60 can be used Well-known techniques, such as physical gas vapor deposition (PVD), reduce the thickness between about 500 and 1500 angstroms, and immerse 50 in a copper sulfate solution to form a copper layer 62 on the surface of the copper seed layer 60. Among them, the surface of the copper ion copper seed layer 60 which is located in the copper sulfate solution is electrically charged with the copper seed layer 60. That is, the copper layer 62 is deposited on the surface of the copper seed layer 60, and the produced copper layer 62 is filled with a complete sinker to cover the dielectric layer 52 and then, as shown in FIG. 7, The semiconductor substrate 5 {) can be subjected to a chemical machine = grinding process (CMP) 'to remove the damaged copper layer 62 on the upper surface of the first stop layer, and define a copper drum structure 64 in the opening pattern 56. Important It is emphasized that, as mentioned above, in the traditional manufacturing process, it is often: to stop the migration to make the capping layer directly on the surface of the dielectric layer in the subsequent process. However, in the embodiment of the present invention, -After the stop layer 54, stop the chemical mechanical polishing process β, that is, after removing the copper layer 62 on the upper surface of the first stop layer 54, remove a part of the first stop layer n at the same time. 'The thickness of the remaining -stop layer 54 is about 200 angstroms. In addition, the formed copper damascene structure 64 can be used not only as a dielectric interlayer copper connection (via), but also as a copper conductive plug (plug). use.

46 8 26 2 五、發明說明(9) 隨後,形 鑲嵌結構64上 除了可作為鋼 序中作為姓刻 二停止層66的 藉由相同材料 層54與第二停 當所使用的第 止層6 6的材料 製作的第·一停 停止層6 6的材 成第二停止層66於 表面。要特別指出 鑲嵌結構64的蓋層 停止層使用。並且 材料,與第一停止 間的同質性(homog 止層6 6間,產生較 一停止層54是由氮 ’亦選擇使用氮化 止層5 4是由破化梦 料亦要與其相同。 剩餘的第一停止層54與銅 的’此處的第二停止層66 外’也可在後續的蝕刻程 ’在本發明方法中,此第 層54相同。如此一來,可 eneous) ’而使第一停止 佳的接合特性。換言之, 化矽所構成時,則第二停 碎來構成。同樣的,當所 或其它適當材料時,第二 般而當氮化矽材料與同樣為氮化矽的膜層接觸 時,其膜層間的黏合力可高達43. 2 Mpa。相對的,當氮化 矽材料與氮氧化矽膜層接合時,其間的黏合力僅約32 Mpa。至於當氮化矽材料與氧化矽膜層接合時,其間的黏 合力更祇剩下1 2 Mpa左右。顯然,使用同樣材料的膜層來 進行接合時,其接觸面的黏合特性會較佳。因此,在形成 相同材料的第二停止層66於第一停止層54表面後,將可對 其下的銅鑲嵌結構64產生較佳的密封效果。換言之,由於 銅鑲嵌結構6 4其上端的邊角,正好被第二停止層66與第一 停止層54所完全包覆,因此可有效的降低銅鑲嵌結構64在 介電層52中發生入侵擴散之機會。如此一來,在對銅鑲嵌 結構64進行BTS測試時,即便施加逆偏壓或是高電壓於銅46 8 26 2 V. Description of the invention (9) Subsequently, the shaped mosaic structure 64 can be used as the first stop layer 66 and the second stop layer 66 in the steel sequence as the last stop layer 66 by the same material. 6 6 The material of the first stop stop layer 66 is made of the second stop layer 66 on the surface. In particular, the cover stop layer of the mosaic structure 64 is used. And the homogeneity of the material with the first stop (homog stop layer 6 to 6), a stop layer 54 is produced by nitrogen 'and also chooses to use a nitride stop layer 5 4 because the dream material should also be the same. Remaining The first stop layer 54 of copper and the “outside of the second stop layer 66 here” of copper may also be used in the subsequent etching process. In the method of the present invention, this second layer 54 is the same. In this way, it can be eneous) ' First stop with good bonding characteristics. In other words, when it is composed of silicon, it is constituted by the second stop. Similarly, when all or other suitable materials are used, the second and when the silicon nitride material is in contact with the same silicon nitride film, the adhesion between the film layers can be as high as 43.2 Mpa. In contrast, when the silicon nitride material is bonded to the silicon oxynitride layer, the adhesion force between them is only about 32 MPa. When the silicon nitride material is bonded to the silicon oxide film layer, the adhesion between them is only about 12 Mpa. Obviously, when the film layers of the same material are used for bonding, the adhesion characteristics of the contact surfaces will be better. Therefore, after the second stop layer 66 of the same material is formed on the surface of the first stop layer 54, a better sealing effect can be produced on the copper damascene structure 64 thereunder. In other words, since the upper corners of the copper damascene structure 64 are exactly covered by the second stop layer 66 and the first stop layer 54, the invasion and diffusion of the copper damascene structure 64 in the dielectric layer 52 can be effectively reduced. Opportunity. In this way, when performing a BTS test on the copper damascene structure 64, even if a reverse bias voltage or a high voltage is applied to the copper,

第13頁 4 6 8 26 2 五、發明說明(ίο) 鑲嵌結構64上,皆不致於造成銅原子的擴散。因此,將可 有效的防止銅鑲嵌結構64產生電致躍遷效應,而造成侵入 介電層52接合面的突出物(extrusion)。 本發明雖以一較佳實例闡明如上,然其並非用以限定 本發明精神與發明實體,僅止於此一實施例爾。對熟悉此 領域技藝者,在不脫離本發明之精神與範圍内所作之修 改,均應包含在下述之申請專利範圍内。Page 13 4 6 8 26 2 V. Description of the invention (ίο) None of the mosaic structures 64 will cause the diffusion of copper atoms. Therefore, it is possible to effectively prevent the copper mosaic structure 64 from generating an electro-transition effect, which can cause protrusions into the junction surface of the dielectric layer 52. Although the present invention is explained as above with a preferred example, it is not intended to limit the spirit and the inventive substance of the present invention, but only to this embodiment. For those skilled in the art, modifications made without departing from the spirit and scope of the present invention should be included in the scope of patent application described below.

第14頁 4 6 8 26 2 圖式簡單說明 藉由以下詳細之描述結合所附圖一 上述内容及此項發明之諸多優點,其將可輕易的了解 第一 半導體底 第二 半導體底 第三 銅鑲嵌結 驟; 第四 作的銅鑲 產生銅原 第五 開口於第 第六 銅層於開 第七 部份銅層 ®為半導體晶片之截 材上形成開口,且沉 ®為半導體晶片之截 材上形成銅鑲嵌結構 圖為半導體晶片之戴 構施加高電壓或逆向 面圓,顯千to & 々不根據傳統技術在 ;銅層於其中之步驟; f,顯示根據傳統技術在 之步驟; :’顯示根據傳統技術對 偏壓以測試其操作特性之步 圖為半 嵌結構 子突出 圖為半 停止 圖為半 口中之 圖為半 與部份 停止層上之步 導體晶片之截 會發生銅原子 物之情形; 導體晶片之截 層與介電層中 導體晶片之截 步驟;及 導體晶片之截 該第一停止層 驟。 面圖,顯示根據傳統技術製 擴散,而造成介電層接合面 面圖’顯示根據本發明形成 之步驟,- 面圖’顯示根據本發明形成 面圖,顯示根據本發明移除 後,再沉積第二停止層於第Page 14 4 6 8 26 2 Schematic description By combining the following detailed description with the above-mentioned contents and the many advantages of this invention, it will be easy to understand the first semiconductor substrate, the second semiconductor substrate, and the third copper. The inlaying step; the fourth copper inlay produces a copper original fifth opening in the sixth copper layer and opens the seventh part of the copper layer ® as a semiconductor wafer cutting material, and Shen ® is a semiconductor wafer cutting material The copper mosaic structure diagram is formed on the semiconductor wafer wearing structure to apply high voltage or reverse face circle, showing the steps of & 々 not according to the traditional technology; the copper layer in it; f, showing the steps according to the traditional technology ;: 'Shows the step diagram of the bias voltage to test its operating characteristics according to the traditional technology. The figure is a semi-embedded structure. The figure is a half stop. The figure is a half stop. The figure is a half and a step on the stop layer. The first stop layer step of the conductor wafer and the dielectric wafer; and the first stop step of the conductor wafer. A plan view showing the diffusion process according to the conventional technology, which results in the junction of the dielectric layer. The plan view shows the steps of forming according to the present invention, and the plan view shows the formation of the plan view according to the present invention. The second stop is at the

第15頁Page 15

Claims (1)

4 6 8 26 2 六,申請專利範圍 之方'二種方在二導體Λ材上製造綱鑲嵌結構 之方法,該方法至少包括下列步驟: 形成介電層於該半導體底材上; 形成第一停止層於該介電層表面上. 敍刻該第一停止層與該介雷屉 侔丨卜®叙兮八帝a a J丨¥屢’以形成開口於該第一 停止層與該介電層中,其中該開 ^ 上表面; ]並曝露出該半導體底材 進行化學電鍍(ECP)反應以形, 上,且填充於該開口中; 成鋼層於該半導體底材 對該半導體底材進行化學機械 該第一停止層上表面之部份該2研磨程序,以移除位於 停止層,以便定義銅鎮嵌結構^開=除日掉部份該第- 形成第二停止層於殘餘的續 , 構上表面,其中該第二停止層與:停止層與該銅鑲嵌結 材料構成的。 層與該第-停止層是使用相同 層二半如導申體請範圍第1項之方法,其中在形成該介電 :== 前1包括形成各式元件或财料層 於该+導體底材上之步驟。 3庙?申請專利範圍第!項之方法,其中在進行化學電 鍍反應剛,更包括下列步驟: 形成阻障層於該開口側壁與該半導體底材上表面;且 形成銅晶種層於該阻障層表面上。 1 第16頁 4 d 2 6 24 6 8 26 2 Six, the method of patent application, the two methods of manufacturing a mosaic structure on a two-conductor Λ material, the method includes at least the following steps: forming a dielectric layer on the semiconductor substrate; forming a first The stop layer is on the surface of the dielectric layer. The first stop layer and the dielectric stopper are sculpted. ® ® 帝 八 八 八 J J 屡 ¥ 'to form an opening in the first stop layer and the dielectric layer. In which, the upper surface is opened;] and the semiconductor substrate is exposed to perform a chemical plating (ECP) reaction to form, fill, and fill the opening; a steel layer is formed on the semiconductor substrate to perform the semiconductor substrate Chemical machinery: part of the upper surface of the first stop layer, the 2 grinding process to remove the stop layer, in order to define the copper embedded structure , Forming an upper surface, wherein the second stop layer is formed by the stop layer and the copper inlaid junction material. The layer and the -stop layer use the same layer two halves as the method of the first item in the scope, where in the formation of the dielectric: == the first 1 includes the formation of various components or material layers on the + conductor bottom Steps on the wood. 3 temples? No. of patent application scope! The method of item 1, wherein the chemical plating reaction is performed, further comprises the following steps: forming a barrier layer on the side wall of the opening and the upper surface of the semiconductor substrate; and forming a copper seed layer on the surface of the barrier layer. 1 page 16 4 d 2 6 2 4·如申請專利範圍第3項之方法,其中上述之化學電 哕是將該半導體底材沉浸於硫酸銅溶液中,並藉著將 =钿日a種層電性連接至陰極導線,以便位於硫酸銅溶液中 s離子,可還原並沉積於該銅晶種層表面。 θ ,5.如申請專利範圍第1項之方法’其中上述之介電層 疋由氧化矽材料所構成。 止展如申請專利範圍第1項之方法,其中上述之第一停 、该第二停止層是由氮化矽材料所構成。 止居7办如申請專利範圍第1項之方法,其中上述之第一停 與該第二停止層是由碳切材料所構成。 社Μ Υ如申凊專利範圍第1項之方法,其中上述之銅鑲嵌 ‘、°構為介電層間銅連線(via)。 处;® ^如申請專利範圍第1項之方法,其中上述之銅鑲嵌 m構為鋼導電插塞(plug)。 構 種在半導體底材上製造銅鑲喪(damascene)結 ,法’該方法至少包括下列步驟: 形成介電層於該半導體底材上;4. The method according to item 3 of the patent application, wherein the above-mentioned chemical electrode is immersed in the semiconductor substrate in a copper sulfate solution, and is electrically connected to the cathode wire by a layer of the next day so as to be located at The s ions in the copper sulfate solution can be reduced and deposited on the surface of the copper seed layer. θ, 5. The method according to item 1 of the scope of the patent application, wherein the above-mentioned dielectric layer 疋 is composed of a silicon oxide material. The method for stopping the exhibition is the method of applying for the first item of the patent scope, wherein the first stop and the second stop are made of silicon nitride material. The method of No. 7 Office is the method of applying for the first item of the patent scope, wherein the first stop and the second stop are made of carbon cutting material. The method described in item 1 of the patent scope of the company, wherein the above-mentioned copper inlay ′, ° constitutes a dielectric interlayer copper connection (via). ^ ^ The method according to item 1 of the scope of patent application, wherein the copper inlaid m structure is a steel conductive plug. A method for manufacturing a copper damascene junction on a semiconductor substrate is provided. The method includes at least the following steps: forming a dielectric layer on the semiconductor substrate; 第17頁 α 〇 d 26 2 六、申請專利範圍 形成第 與該介電層 蝕刻該 停止層與該 上表面; 形成阻 上表面; 形成銅 進行化學電 且填充於該 對該半 該第一停止 停止層,以 形成第 構上表面, #料構成的 一停止層於該介電層 具有蝕刻選擇比的差異’其中該第-停止層 第 停止層與該介雷爲 介電層中,其中該二以形成開口於該第- ^ 並曝露出該半導體底材 障層於該開口之相,丨辟也 與所曝露的該半導體底材 晶種層於該阻障層之矣 鍍(ECP)反應以形成鋼 ^ 開口中. 战幻層於該鋼晶種層表面, 導體底材進行化學機絲=Λ 靥八 械研磨程序’以移除位於 廣上表面之部份該銅a, 麻—装μ城山 』層,且移除掉部份該第一 便^義銅鑲嵌結構於該開口中;且 一停止層於殘餘的該篦 第一停止層與該銅鑲嵌結 其中該第一停止廢虫兮·® .j. 層興4第一停止層是使用相同 〇 其中在形成該介 於該半導體底材 11.如申請專利範圍第1 0項之方法, 電層之前,更包括升> 成各式元件或材料層 上之步驟。 12.如申請專利範圍第10項之方法,其中上述之化學 電較红序疋將該半導體底材沉浸於硫酸銅溶液中,並藉著 將4銅晶種層電性連接至陰極導線,以便位於硫酸銅溶液Page 17 α 〇d 26 2 Sixth, the scope of the patent application forms the first stop with the dielectric layer to etch the stop layer and the upper surface; the formation of the upper surface of the resistance; the formation of copper for chemical electricity and filling the first stop of the half A stop layer is formed to form the upper surface of the first structure. A stop layer composed of the material has a difference in etching selectivity ratio from the dielectric layer, where the first stop layer and the dielectric layer are dielectric layers. The second step is to form an opening in the first layer and expose the phase of the semiconductor substrate barrier layer in the opening, and also react with the exposed ECP of the semiconductor substrate seed layer on the barrier layer. In order to form a steel ^ opening. The war fantasy layer is on the surface of the steel seed layer, and the conductive substrate is subjected to chemical mechanical wire = Λ 靥 eight-machine grinding process' to remove a portion of the copper on the upper surface. μ 城 山 ”layer, and removed a portion of the first copper inlay structure in the opening; and a stop layer in the remaining first stop layer and the copper inlay of the first stop waste insect Xi · .j. Layer Xing 4 The first stop layer is used Wherein the square formed with the dielectric substrate 11 in the semiconductor before patentable scope of the method of the first 0, if the application layer, further comprising l > into a variety of elements or steps on the material layer. 12. The method according to item 10 of the patent application scope, wherein the above-mentioned chemical-electricity-red-sequence method immerses the semiconductor substrate in a copper sulfate solution, and electrically connects the 4 copper seed layer to the cathode wire so that Copper sulfate solution 第18頁 468262 六、申請專利範固 中之銅離子,可還原並沉積於該銅晶種層表面。 13·如申請專利範圍第1〇項之方法,其中上述之介電 層是由氧化矽材料所構成。 14.如申請專利範圍第1〇項之方法’其中上述之第一 停止層與該第二停止層是由氮化矽材料所構成。 俾丄如申請專利範圍第10項之方法,纟中上述之第- …與該第二停止層是由碳化石夕材料所構成。 項之方法,其中上述之 我結構為介電層間銅連線(v i a)。 17·如申請專利範圍第1〇項之方法,甘士 嵌結構為銅導電插塞(plug)。 、上 銅鑲 述之銅鑲Page 18 468262 6. The copper ions in the patent application Fan Gu can be reduced and deposited on the surface of the copper seed layer. 13. The method of claim 10, wherein the above-mentioned dielectric layer is made of a silicon oxide material. 14. The method according to item 10 of the scope of patent application, wherein the first stop layer and the second stop layer are made of a silicon nitride material.俾 丄 If the method of applying for the item 10 of the patent scope, the above-mentioned… and the second stop layer are made of carbonized carbide material. Method, wherein the above-mentioned self-structure is a dielectric interlayer copper connection (v i a). 17. According to the method of applying for item 10 of the patent scope, the Ganshi embedding structure is a copper conductive plug. , On the copper inlay
TW90100792A 2001-01-12 2001-01-12 Copper damascene process to enhance the reliability of electrical characteristics TW468262B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW90100792A TW468262B (en) 2001-01-12 2001-01-12 Copper damascene process to enhance the reliability of electrical characteristics

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW90100792A TW468262B (en) 2001-01-12 2001-01-12 Copper damascene process to enhance the reliability of electrical characteristics

Publications (1)

Publication Number Publication Date
TW468262B true TW468262B (en) 2001-12-11

Family

ID=21677037

Family Applications (1)

Application Number Title Priority Date Filing Date
TW90100792A TW468262B (en) 2001-01-12 2001-01-12 Copper damascene process to enhance the reliability of electrical characteristics

Country Status (1)

Country Link
TW (1) TW468262B (en)

Similar Documents

Publication Publication Date Title
US7871923B2 (en) Self-aligned air-gap in interconnect structures
KR100400037B1 (en) Semiconductor device with contact plug and method for manufacturing the same
US6057226A (en) Air gap based low dielectric constant interconnect structure and method of making same
KR100337580B1 (en) Semiconductor device and its manufacturing method
US7781892B2 (en) Interconnect structure and method of fabricating same
US6495448B1 (en) Dual damascene process
US10832946B1 (en) Recessed interconnet line having a low-oxygen cap for facilitating a robust planarization process and protecting the interconnect line from downstream etch operations
US9343435B2 (en) Semiconductor device and related manufacturing method
JP2005033164A (en) Method of forming copper wiring for semiconductor element
US7687392B2 (en) Semiconductor device having metal wiring and method for fabricating the same
TW468262B (en) Copper damascene process to enhance the reliability of electrical characteristics
KR100399909B1 (en) Method of forming inter-metal dielectric in a semiconductor device
TW202145482A (en) Circuit
US20010048162A1 (en) Semiconductor device having a structure of a multilayer interconnection unit and manufacturing method thereof
JP3087692B2 (en) Method for manufacturing semiconductor device
US6563221B1 (en) Connection structures for integrated circuits and processes for their formation
KR100546940B1 (en) Method of forming copper wiring in semiconductor device
US8242017B2 (en) Method and structure for copper gap fill plating of interconnect structures for semiconductor integrated circuits
US6709975B2 (en) Method of forming inter-metal dielectric
TW457683B (en) Cu damascene processes preventing hillock on the surface
US20070210406A1 (en) Semiconductor device and method of manufacturing the same
TW451412B (en) Manufacturing method for metal interconnection preventing the formation of void
TW522517B (en) Method of forming copper damascene structure on semiconductor substrate
KR101029105B1 (en) Metal wiring of semiconductor device and method for forming the same
KR20050116427A (en) Methood for forming insulate layer of semi-conductor device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent