TW457670B - Method for removing via poison in the copper conductor processing - Google Patents

Method for removing via poison in the copper conductor processing Download PDF

Info

Publication number
TW457670B
TW457670B TW89112046A TW89112046A TW457670B TW 457670 B TW457670 B TW 457670B TW 89112046 A TW89112046 A TW 89112046A TW 89112046 A TW89112046 A TW 89112046A TW 457670 B TW457670 B TW 457670B
Authority
TW
Taiwan
Prior art keywords
layer
copper
scope
solving
blocking
Prior art date
Application number
TW89112046A
Other languages
Chinese (zh)
Inventor
Wen Jang
Lian-Jung Li
Shuang-Ming Jeng
Shiun-Ming Jang
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW89112046A priority Critical patent/TW457670B/en
Application granted granted Critical
Publication of TW457670B publication Critical patent/TW457670B/en

Links

Abstract

The present invention discloses a method for removing via poison in the copper conductor processing. The method is applied in the conductor production in the multilevel interconnects. For the conventional processing of the via and the trench, the present invention has an additional UV exposing step for the via and trench so that the residual photoresist generated in the lithography and etching steps will not be attached on the sidewall of the dielectric and is easy to be removed. Therefore, the method can eliminate the via poison effect caused by the photoresist residual reacting with the interconnect dielectric so as to obtain a multilevel interconnect process with good electrical properties.

Description

457670 A7 ___... ........ B7 五、發明說明(/ ) 技術領域: 本發明係關於一種解決銅金屬導體製程中之介層孔阻塞 (Via Poison)之方法,特別是關於在消弭、低介電材料(Low k) /銅(Cu广之金屬雙層敌入式(Dual Damascene)的導體連線製 程中之介層孔阻塞的方法。 發明背景: 在超大型積體電路的金屬化製程中,係藉由金屬層連接 半導體元件的主動區’經由電性訊號操作半導體元件。金屬 化製程以沉積多層金屬層,作為金屬連接之用,稱作多層金 屬内連線(Multilevel Interconnects),除第一層金屬層是用作主 動區的金屬接觸,其他層金屬層是作為内連線之用。 在進行多層金屬内連線製程時,在各層之間必須以金屬 層間介電層(Inter-Metal Dielectric, IMD)加以隔離各金屬層, 且為了讓各層金屬内連線之間能互相連通,便需要以介層孔 (Via)來做為多層金屬内連線之間的導通接觸點。 經濟部智慧財產局員工消費合作社印製 ------------ M.--------訂· <請先閲讀背面之注意事項#-填寫本頁) 由於金屬内連線之間的間隔大幅縮小,導致其間耗合 (CouplingEffect)電冬的增加,而造成電阻_電容延遲時間(RC Time Delay)。為降低電阻-電容延遲時間,在愈微小線寬之半 導體元件中的嵌入式結構(Damascene Structure)中則以具低 電阻的金屬銅(Cu)為内連線金屬主要材料,因為金屬銅具有 優於傳統金屬鋁(A1)的特性,如低電阻特性、良好的抗電子 遷移性(Electromigration)以及良好的抗應力致空洞形成性質 等,不但加快傳輸速度,並具有較小的電阻-電容延遲時間· 2 度適用令國國家標季(CNSM4規格(21〇χ 297公f 一 457670 經濟部智慧財產局員工消費合作社印衆 A7 B7 五、發明說明(>) 而在最小線寬低於015Mm之銅導縛嵌入式結構中,則採用 介電常數(Dielectnc Constant,k)低於3.0之低介電常數(L〇w_k) 材料’如FLARE、Silk及黑鑽石(Black Diamond)等,作為金 屬層間介電層,用來降低電阻_電容延遲時間。 在定義銅金屬蝕刻的圖案則是以雙層嵌入S(Dual Damascene)取代傳統的電漿⑽疆你刻方式。請參考圖一 a 至圖一F,係習知以銅金屬之雙層嵌入式製法來完成多重金 屬内連線製程之示意圖。首先係在一完成下層結構4(通常是 下層金屬連線’也可以是其他電性元件)前段製程的半導體基 板2上’依序形成第一钮刻終止層(Etch-Stopper)6、第一金屬 層間介電層8、第二钮刻終止層1〇、第二金屬層間介電層12 及抗反射層(ARL)14,如圖一 A所示,接著覆蓋第一光阻 16a,進行微影形成介層孔(Via)的光阻圖案,再蝕刻,打開一 介層孔的窗n 18a ’如圖- B所示,然後再覆蓋第二光阻 16b,形成溝槽(Trench)的光阻圖案,進行蝕刻打開一溝槽的 窗口 18b ’如圖- C所示’接著去除光阻,再餘刻除去部份 第一蝕刻終止層6,打開與下層金屬連線4的窗口,如圖一 D,再沈積一阻障層(Barrier Layer) 20及一晶種銅(Seed_Cu) 層(圊中未示)’其中阻障層係為敛(Ti)、氤化鈦(TiN)或氮化叙 (TaN)等材質,可作為防止金屬銅擴散至介電層的屏障,並增 加對金屬銅之黏著性(adhesion),其f晶種銅係可增加金屬銅 之沈積完整性,如圖一 E所示;接著,以電鍍(ECD)方式沈 積上金屬銅22於介層孔及溝槽的窗口 μ中,再以化學機械 研磨(CMP)去除該金屬銅22、阻障層20及晶種銅層之多餘部 3 ^紙張^度適用中國國家標準<CNS)A4^格(2i0 X 297公餐)"---- -I ---------* 裝--------訂· (請先閲讀背面之注意事項再填寫本頁) 45^67〇 五、發明說明( 份,得到平坦化(Planarization)的平面。可再以同樣製程,繼 續次雙層嵌入式銅金屬的製作,以完成多重金屬内連線。 .而在進行銅金屬的雙層嵌入式導體連線製程,係利用微 影、蝕刻製程完成介層孔及溝槽的窗口 18,而在進行微影曝 光(Expose)後未被曝到之光阻(PR)係於顯影(Devel〇pment)過 程中去除。請參閱圖一 B ’係習知銅金屬的雙層嵌入式導體 連線製程中,製作出介層孔的窗口 18a之示意圖,光阻在經 過顯影、蝕刻後仍有一些光阻殘餘物5〇(PRResidues)存在於 所述介層孔窗口 18a底部或附著於該介層孔窗口 18a壁上, 因該介電層邊壁係為斥水性(Hydrophobic),該光阻殘餘物5〇 將因毛細現象(Capillarity)而無法被有效移除,因而使得介層 孔電路斷路(Via Opening),内連線的電性變差,稱之為介層 孔阻塞(Via Poison)現象。 故本發明係揭露一種新穎的雙層嵌入式銅金屬製程,可 消坪上述介層孔阻塞的現象,而達到良好電性。 發明概述: 本發明之主要㈣係提供-解決在銅金狀雙層鼓入式 導體連線製程t介層孔阻塞之方法。 本發明之另-目的係提供—具良好f性之多重金屬内連 線的製程。 本發明之又-目的係提供—積體電路_多重金屬内連線 技術。 為達上述之目的’本發㈣提供—在多重金屬内連線製 本紙張尺料針(CNS)A4題457670 A7 ___... .. B7 V. Description of the Invention (/) Technical Field: The present invention relates to a method for solving Via Poison in the process of copper metal conductors, especially A method for blocking the interstitial holes in the process of eliminating low-k / copper (Dual Damascene) conductor connection process. BACKGROUND OF THE INVENTION: In a very large volume In the metallization process of the circuit, the active area of the semiconductor element is connected by the metal layer to operate the semiconductor element through electrical signals. The metallization process is to deposit multiple metal layers for metal connection, which is called multilayer metal interconnects ( Multilevel Interconnects), except that the first metal layer is used as the metal contact of the active area, and the other metal layers are used for interconnections. In the multilayer metal interconnection process, metal layers must be used between the layers. An electrical layer (Inter-Metal Dielectric, IMD) isolates each metal layer, and in order to make the metal interconnections in each layer communicate with each other, it is necessary to use vias (vias) as the interconnections between the multilayer metal interconnections. Conduction contact Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs ------------ M .-------- Order < Please read the notes on the back first # -Fill this page) The interval between the metal interconnects has been greatly reduced, resulting in an increase in the Coupling Effect electrical winter between them, resulting in a resistance-capacitance delay time (RC Time Delay). In order to reduce the resistance-capacitance delay time, in the embedded structure (Damascene Structure) of the semiconductor device with a smaller line width, copper copper (Cu) with low resistance is used as the main metal material for the interconnect, because copper has excellent properties. For the characteristics of traditional metal aluminum (A1), such as low resistance, good resistance to electromigration, and good resistance to stress-induced void formation, it not only accelerates the transmission speed, but also has a small resistance-capacitance delay time. · Applicable to the national standard season of 2 degrees (CNSM4 specification (21〇χ297297f-457670) Employee Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs of the Indochina A7 B7 V. Description of the invention (>) and the minimum line width of less than 015Mm In copper conductive embedded structures, low dielectric constant (Lww_k) materials with a dielectric constant (k) of less than 3.0 are used, such as FLARE, Silk, and Black Diamond, as metal layers. The dielectric layer is used to reduce the resistance-capacitance delay time. In the definition of the copper metal etching pattern, the double-layer embedded S (Dual Damascene) is used to replace the traditional plasma etching method. Please refer to Figure 1 a To FIG. 1F, it is a schematic diagram of a conventional multi-layer embedded manufacturing process of copper metal to complete a multi-metal interconnection process. First, complete the underlying structure 4 (usually the underlying metal connection) or other electrical properties. Device) on the semiconductor substrate 2 in the previous stage, a first Etch-Stopper layer 6, a first metal interlayer dielectric layer 8, a second button interlayer dielectric layer 10, and a second metal interlayer dielectric are sequentially formed. Layer 12 and anti-reflection layer (ARL) 14, as shown in FIG. 1A, and then cover the first photoresist 16a, lithography to form a photoresist pattern of vias, and then etch to open a window of a via n 18a 'as shown in Figure-B, and then cover the second photoresist 16b to form a trench photoresist pattern, and etch to open a trench window 18b' as shown in Figure-C 'and then remove the light Resistance, and then remove part of the first etch stop layer 6 and open the window with the lower metal connection 4 as shown in FIG. 1D, and then deposit a barrier layer 20 and a seed copper layer (Not shown in the figure) 'where the barrier layer is made of Ti, TiN or TaN, which can be used as Prevent metal copper from diffusing to the barrier of the dielectric layer and increase the adhesion to metal copper. The f seed copper system can increase the metal copper's deposition integrity, as shown in Figure 1E; then, electroplating ( ECD) method is used to deposit metal copper 22 in the interlayer holes and trench windows μ, and then use chemical mechanical polishing (CMP) to remove the excess parts of the metal copper 22, the barrier layer 20 and the seed copper layer 3 ^ Paper ^ Applicable to Chinese National Standards < CNS) A4 ^ grid (2i0 X 297 meals) " ---- -I --------- * Equipment -------- Order · (Please Read the notes on the back before filling in this page) 45 ^ 67〇 5. Description of the invention (copies, to get a flat plane (Planarization). The same process can be used to continue the production of double-layer embedded copper metal to complete the multi-metal interconnection. In the copper metal double-layer embedded conductor connection process, the lithography and etching processes are used to complete the window 18 of the vias and trenches, and the photoresist is not exposed after the lithography exposure is performed. (PR) is removed during development. Please refer to FIG. 1B, which is a schematic diagram of a window 18a for manufacturing a via hole in a conventional double-layer embedded conductor connection process of copper metal. After the photoresist is developed and etched, some photoresist residues remain. (PRResidues) exists at the bottom of the interlayer hole window 18a or is attached to the wall of the interlayer hole window 18a. Because the side wall of the dielectric layer is Hydrophobic, the photoresistive residue 50 will be caused by the capillary. The phenomenon (Capillarity) cannot be effectively removed, thus causing the via hole circuit to be opened (Via Opening) and the electrical properties of the interconnects to be deteriorated. This is called a Via Poison phenomenon. Therefore, the present invention discloses a novel double-layer embedded copper metal manufacturing process, which can eliminate the blocking phenomenon of the above-mentioned interlayer pores and achieve good electrical properties. Summary of the invention: The main purpose of the present invention is to provide-a method for solving the via hole blocking in the copper-gold double-layer drum-in conductor connection process. Another object of the present invention is to provide a process for a multi-metal interconnect with good f properties. Another object of the present invention is to provide an integrated circuit-multi-metal interconnect technology. In order to achieve the above-mentioned purpose, the present paper provides-paper wire needle (CNS) A4 made of multi-metal interconnects.

— II 丨 111 J I iln- (請先閲讀背面之注意事項再填窝本頁) Ή·— II 丨 111 J I iln- (Please read the precautions on the back before filling this page) Ή ·

在57670 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(f) 程中消弭介層孔阻塞(Via P〇is㈣而達到良妤電性之方法。 本發明係先在一完成下層結構之前段製程的半導體基板 上,形成姓刻終止層(Etch-Stopper)、金屬層間介電層(IMD)、 及抗反射層(ARL) ’再以微影、蝕刻等步驟製作出介層孔(via) 的窗口後’進行第一次紫外光曝光(UVExpose),接著完成溝 槽(Trench)的窗口,再進行第二次紫外光曝光後,沈積上一層 阻障層(Barrier Layer)及晶種銅(Seed-Cu)層,再沈積上金屬銅 (Cu) ’接著進行化學機械研磨(CMp),去除該金屬銅、阻障 層及晶種銅層之多餘部份,至平坦化(Planarization)為止;完 成所述導體連線後,繼續次雙層嵌入式金屬銅的製作,完成 多重金屬内連線。 圖示說明: 圖一A至圖一F為習知技藝中以銅金屬之雙層嵌入式導 體連線製程’完成多重金屬連線製程示意圖。 圖二A至圖二F為本發明實施例中以銅金屬之雙層嵌入 式導體連線製程,完成多重金屬連線製程示意圖。 -----------* --------訂_ (請先Μ讀背面之注_意事項#填寫本頁) 圖號說明: 半導體基板 6-第一银刻終止層 10-第二蝕刻終止層 14-抗反射層 16b-第二光阻 4-下層結構 8-第一金屬層間介電層 12-第一金屬層間介電層 16a-第一光阻 18a-介層孔的窗口 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 χ 297公釐) 45T670 A7 B7 五、發明說明(f ) 18b-溝槽的窗口 20-阻障層 介層孔與溝槽的窗口 22-金屬銅 經濟部智慧財產局員工消費合作杜印Μ 50-光阻殘餘物 l〇〇a_第一次紫外光曝光 100b-第二次紫外光曝光 發明之詳細說明: 在積體電路量產技術中,縮短晶粒(Chip)上千萬個主動 元件的通訊時間,有助於速度的提昇與功能的改善,但也造 成導體連線的電阻-電容時間延遲(RC Time Delay),因此利用 多層金屬内連線(Multilevel Interconnects)製程,在内連線的主 要材料為低電阻導體’並利用具有低介電常數(L〇w k)的内金 屬介電層(IMD)隔離導體。然而’在内連線製程作為導通各 層金屬内連線間之接觸點連通的介層孔(Via),會因微影、蝕 刻製程產生之光阻殘餘物(PR Residues)與内連線之介電層作 用’使得内連線的電性變差’稱之為介層孔阻塞(viap〇is〇n) 現象。 本發明係揭露一種解決銅金屬導體製程中之介層孔阻塞 之方法’消弭介層孔阻塞現象’改善多層金屬内連線之電性。 本發明係以a低介電材料(Low k)/銅(Cu)〃雙層嵌入法的導 體連線製程來闡述。 首先,請參考圖二A至圖二F,係本發明實施例以銅金 屬之雙層嵌入式製法來完成多重金屬内連線製程之示意圖。 係先在一完成下層結構4(通常是下層金屬連線,也可以是其 他電性元件)前段製程的半導體基板2上,依序形成第一蝕刻 6 本紙張尺度適用中國國家標準(CNS)A4現格(210 X 297公釐) -----------*t--------訂 (請先閲讀背面之>i意ί項#-填寫本頁) 457670 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(t) 終止層(Etch-Stopper)6、第一金屬層間介電層8、第二蝕刻終 止層ίο、第二金屬層間介電層12及一抗反射層(ARL)14,如 圖一A所示,其中所述第一金屬層間介電層8及第二金屬層 間介電層12為低介電常數(Low k)之介電材料,係為 FLARE、Silk及黑鑽石(Black Diamond)其中一種,其中所述 第一蝕刻終止層6及第二蝕刻終止層10之材質係為一氧化層 (Oxide)、氮化層(Nitride)或碳化層(Carbide)其中—種,戶斤述抗 反射層14之材質係為氮化珍(SiN)或氮氧化梦(Si〇xNy)其中 之一;接著覆蓋第一光阻16a ’進行微影步驟,形成介層孔(Via) 的光阻圖案’再蝕刻,打開一介層孔的窗口 l8a,接著進行 第一次紫外光曝光(UVExpose)lOOa,如圖二B所示,然後再 覆蓋第二光阻16b,形成溝槽(Trench)的光阻圖案,進行钱刻 打開一溝槽的窗口 18b,如圖二C所示,接著去除光阻,該 去光阻方式係為一濕式去光阻(Wet PR Strip)步驟;再钱刻除 去部份第一蝕刻終止層6,打開與下層結構4的窗口 ’如圖 二D所示’再以物理氣相沈積(PVD)或電鍍(ECD)方式沈積一 阻障層(Barrier Layer)20 ’如圖二E所示,其中該阻障層2〇 係為鈦(Ti)、氮化鈦(TiN)或氮化鋰(TaN)等材質,可作為防止 金屬銅擴散至介電層的屏障,並增加對金屬銅之黏著性 (adhesion);接著以電鑛(ECD)方式覆蓋一晶種銅(Seed_Cu)層 (圖中未示)’其中該晶種銅層係可增加金屬銅之沈積完整 性;接著’以電鑛(ECD)方式沈積上金屬銅22於介層孔及溝 槽的窗口 18中’再以化學機械研磨(CMP)去除多餘之金屬銅 22及阻障層20部份,得到平坦化⑺⑽以她加)的平面,如 7 本紙張又度適用中國國家標準(CNS)A4規格⑵0 χ 297公笼) — — — — — — — I] — — - I I I 1 I I I *--1 — — — — — #^ I (請先閲讀背面之注意事項#·填寫本頁) A7 B7 45767。 五、發明說明(?) 圖二F所示,。可再以同樣製程’蟬續次雙層嵌入式銅金屬 的製作,以完成多重金屬内連線。 -------------裝--------訂- <請先W讀背面之注-意事項4·填寫本頁) 本發明與習知技藝不同處在於開啟完介層孔的窗口 18a 後後,加入一紫外光曝光步驟’可將該介電層邊壁由斥 水性(Hydrophobic)轉變成親水性(Hydrophilic) ’使得光阻殘餘 物(PRResidues)50因而停留在介電層的邊緣處,容易去除, 消弭原本會由斥水性内連線介電層產生之毛細現象 (Capillarity)所導致之光阻殘留情形。而且,在開啟完所需的 介層孔與溝槽的窗口 18之後,再加入第二紫外光曝光步驟 100b,使介電層邊壁進行氧化作用(〇xidation)改變性質,進 而有助於降低濕式去光阻(Wet PR Strip)之後所產生之高分子 殘渣(Polymer Residues),可增加之後進入酸槽的清洗效果。 本發明所述一種解決銅金屬導體製程中之介層孔阻塞(via Poison)之方法於焉完成。 經濟部智慧財產局員工消費合作社印製 以上所述係利用較佳之實施例來詳細說明本發明,而本 發明/函蓋之範圍並不限於其所示之實施例,而且熟知半導體 技藝的人士皆能明瞭,適當而作些微之改變及調整,仍將不 失本發明之要義所在,亦不脫離本發明之精神與範圍,故都Printed on 57670 A7 B7 by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. 5. Description of the invention (f) The method of eliminating mesoporous blockage (Via Pois) to achieve good electrical properties. The present invention is to complete the lower layer first. On the semiconductor substrate in the previous stage of the structure, an Etch-Stopper, an IMD, and an anti-reflection layer (ARL) are formed. Then, via holes are formed by lithography and etching. (via) 'window, perform the first UV exposure (UVExpose), then complete the trench window, and then perform the second UV exposure, and deposit a barrier layer and crystal Seed copper (Seed-Cu) layer, and then deposit metal copper (Cu) 'followed by chemical mechanical polishing (CMp) to remove the excess parts of the metal copper, barrier layer and seed copper layer to planarization After completing the conductor connection, the production of the double-layer embedded metal copper is continued to complete the multi-metal interconnection. Illustrations: Figures AA to F are copper metal pairs in the conventional art. Layer embedded conductor connection process' to complete multi-metal connection system Figures 2A to 2F are schematic diagrams of a multi-metal connection process using copper metal double-layer embedded conductor connection process according to an embodiment of the present invention. ----------- *- ------- Order_ (Please read the note on the back _ 意 事 #Fill in this page) Description of drawing number: Semiconductor substrate 6-First silver etch stop layer 10-Second etch stop layer 14-Anti-reflection Layer 16b-second photoresistor 4-underlayer structure 8-first metal interlayer dielectric layer 12-first metal interlayer dielectric layer 16a-first photoresistor 18a-window of interlayer hole CNS) A4 specification (21 × χ297 mm) 45T670 A7 B7 V. Description of the invention (f) 18b-Trench window 20-Barrier interlayer hole and trench window 22-Metal and Copper Bureau of Intellectual Property Employee Consumption Cooperation Du Yin M 50-Photoresist Residue 100a_First UV Exposure 100b-Second UV Exposure Detailed Description of the Invention: In the integrated circuit mass production technology, the chip size is shortened (Chip ) The communication time of tens of millions of active components is helpful for speed improvement and function improvement, but it also causes the resistance-capacitance time delay of the conductor connection ( RC Time Delay), so the multi-level metal interconnect (Multilevel Interconnects) process is used, the main material of the interconnect is a low-resistance conductor 'and the inner metal dielectric layer (IMD) with a low dielectric constant (L0wk) is used. ) Isolate the conductor. However, the via hole (Via), which is used to connect the contact points between the metal inner wires in the interconnect process, will cause the PR Residues and interconnects caused by the photolithography and etching processes. The role of the dielectric layer of the connection 'makes the electrical properties of the interconnections worse' is called a via hole blocking phenomenon. The present invention discloses a method for solving the blocking of via holes in a copper metal conductor process, 'eliminating the blocking phenomenon of via holes' and improving the electrical properties of multilayer metal interconnects. The present invention is explained by a conductive connection process of a low-k / Cu-Cu double-layer embedded method. First, please refer to FIG. 2A to FIG. 2F, which are schematic diagrams of a multi-metal interconnection process using copper metal double-layer embedded manufacturing method according to an embodiment of the present invention. Firstly, a first etch is sequentially formed on the semiconductor substrate 2 that has completed the lower layer structure 4 (usually the lower metal connection, or other electrical components). The paper size is applicable to China National Standard (CNS) A4. Present (210 X 297 mm) ----------- * t -------- Order (Please read the > i 意 ίItem # -Fill this page on the back) 457670 Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of the invention (t) Stop layer (Etch-Stopper) 6, first interlayer dielectric layer 8, second etch stop layer, second interlayer dielectric Layer 12 and an anti-reflection layer (ARL) 14, as shown in FIG. 1A, wherein the first metal interlayer dielectric layer 8 and the second metal interlayer dielectric layer 12 are low-k dielectrics. The electrical material is one of FLARE, Silk, and Black Diamond. The material of the first etch stop layer 6 and the second etch stop layer 10 is an oxide layer or a nitride layer. ) Or a carbonized layer (Carbide)-the material of the anti-reflection layer 14 is one of nitride (SiN) or nitrogen oxide (SiOxNy); then cover A photoresist 16a is subjected to a lithography step to form a photoresist pattern of vias (Via), and then etched again, opening a via 18a of a via, and then performing the first UV exposure 100a, as shown in FIG. 2B. As shown in the figure, a second photoresist 16b is then covered to form a trench photoresist pattern, and a grooved window 18b is opened by engraving, as shown in FIG. 2C. Then, the photoresist is removed, and the photoresist is removed. The method is a wet-type photoresist stripping (Wet PR Strip) step; the first etching stop layer 6 is partially removed, and the window with the underlying structure 4 is opened, as shown in FIG. 2D, and then physical vapor deposition is performed. (PVD) or electroplating (ECD) method to deposit a barrier layer (Barrier Layer) 20 ′ as shown in FIG. 2E, wherein the barrier layer 20 is titanium (Ti), titanium nitride (TiN) or nitride Lithium (TaN) and other materials can be used as a barrier to prevent metal copper from diffusing to the dielectric layer, and increase the adhesion to metal copper; then, a seed copper (Seed_Cu) layer is covered by an electric ore (ECD) method ( (Not shown in the figure) 'wherein the seed copper layer can increase the deposition integrity of metallic copper; and then' deposit metallic copper 22 by electric ore (ECD) In the window 18 of the vias and trenches of the interlayer, 'chemical mechanical polishing (CMP) is used to remove the excess metal copper 22 and the barrier layer 20 to obtain a flat surface (such as 7 sheets of paper). Degree applies to Chinese National Standard (CNS) A4 specification ⑵0 χ 297 male cage) — — — — — — — — I] — —-III 1 III *-1 — — — — — # ^ I (Please read the note on the back first Matter # · Fill in this page) A7 B7 45767. V. Description of the invention (?) Figure 2F. The double-layer embedded copper metal can be produced in the same process, to complete the multi-metal interconnection. ------------- Install -------- Order- < Please read the note on the back-Issue 4 · Fill in this page) The difference between the present invention and the know-how After opening the window 18a of the interlayer hole, an ultraviolet exposure step is added to 'change the side wall of the dielectric layer from Hydrophobic to Hydrophilic' so that the photoresistive residues (PRResidues) 50 Therefore, staying at the edge of the dielectric layer, it is easy to remove, eliminating the residual situation of photoresist caused by the capillarity caused by the water-repellent interconnecting dielectric layer. In addition, after opening the required interlayer hole and trench window 18, a second ultraviolet light exposure step 100b is added to allow the sidewalls of the dielectric layer to undergo oxidation to change properties, thereby helping to reduce Polymer Residues generated after Wet PR Strip can increase the cleaning effect after entering the acid tank. The method for resolving via vias in a copper metal conductor process according to the present invention is completed in 焉. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs, the above is a detailed description of the present invention using the preferred embodiments, and the scope of the present invention / letter cover is not limited to the embodiments shown, and those who are familiar with semiconductor technology are It can be understood that making slight changes and adjustments appropriately will still not lose the essence of the present invention, nor depart from the spirit and scope of the present invention.

應視為本發明之進一步實施狀況。謹請貴審查委員明鑑, 並其惠准,是所至禱Q (210 x 297 公釐 > 兩尺度適_用“围家It should be considered as a further implementation of the present invention. I would like to ask your reviewer ’s clear reference, and its benefits, is what I pray. (210 x 297 mm > Two scales are appropriate_ 用 "围 家

Claims (1)

'457670 A8 B8 C8 D8 1. 六、申請專利範圍 -種解決鋼金屬導體製程中之介層孔阻塞(Vla p_n)之 方法’其步驟係為: (a) 提供一具下層結構之半導體基板; (b) 在該半導體基板表面形成金屬層間介電層(IMD)’該 金屬層間介電層其下有一钱刻終止層(Etch_st〇pper); (〇定義介層孔(Via)之光阻圖案,蝕刻開啟該介層孔的窗 口 (d )進行紫外光曝光(UV Exp〇se); (e)疋義溝漕(Trench)之光阻圖案,姓刻開啟該溝漕的窗 □ (f) 蝕刻去除該蝕刻終止層,開啟與所述下層結構接觸的 窗口; (g) 於該介層孔及溝槽窗口内表面與該基板表面形成一 阻障層(Barrier Layer); (h) 於該阻障層上沈積一晶種銅(Seed_Cu)層; Ci)沈積金屬銅(Cu)填滿該介層孔及溝槽的窗口; (j〕利用化學機蟑研磨(CMP)進行平坦化(Planarization)處 理’移除該基板表面多餘之金屬銅(Cu)、阻障層及晶 種銅層。 2.如同申請專利範圍第1項所述解決銅金屬導體製程中之 介層孔阻塞之方法,其中所述之銅金屬導體製程係為一 '"低介電材料(Low k)/銅(Cu)〃之金屬雙層嵌入式(Dual Damascene)的導體連線製程。 ( CNS") Α4ϋ^ΠΐΟΧ297^') . .i------訂------^ (請先閲讀背面之注項再填寫本頁) 張 紙 ----J 本 經濟部智慧財產局員工消費合作社印製 457670'457670 A8 B8 C8 D8 1. Sixth, the scope of patent application-a method to solve the interlayer hole blocking (Vla p_n) in the process of steel metal conductors' The steps are: (a) Provide a semiconductor substrate with a lower structure; (b) forming a metal interlayer dielectric layer (IMD) on the surface of the semiconductor substrate; 'the metal interlayer dielectric layer has an undercut stop layer (Etch_stoopper); (〇 defining a photoresist pattern of the via hole) , Etch the window (d) that opens the interstitial hole for UV exposure; (e) the photoresist pattern of the trench, and the window that opens the trench □ (f) The etching stop layer is removed by etching, and a window in contact with the underlying structure is opened; (g) a barrier layer is formed on the inner surface of the via of the via and the trench window and the surface of the substrate; (h) on the A seed copper layer (Seed_Cu) layer is deposited on the barrier layer; Ci) deposited metal copper (Cu) fills the windows of the vias and trenches of the interlayer layer; (j) Planarization using chemical mechanical cock grinding (CMP) ) Treatment 'remove excess metal copper (Cu), barrier layer and seed copper layer on the surface of the substrate. 2. As applied The method for solving the blocking of via holes in the copper metal conductor process described in the first item of the scope of interest, wherein the copper metal conductor process is a low dielectric material (Low k) / copper (Cu). Process for connecting conductors of metal double-layer embedded (Dual Damascene) (CNS ") Α4ϋ ^ ΠΐΟΧ297 ^ '). .I ------ Order ------ ^ (Please read the note on the back first (Fill in this page again) Sheet of paper ---- J Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 457670 、申請專利範圍 經濟部智慧財產局員工消費合作社印製 ’如同申請專概SIS 1撕賴賴金屬導體製程中之 介層孔阻塞之方法,更包括形成一抗反射層(ARL)於所述 金屬層間介電層表面。 4. =同ί請專概邮3柄述解細金屬频製程中之 介層孔ia塞之方法’其中所述抗反射層之材質係為氮化砂 (SiN)或氤氧化矽(Si〇xNy)其中之一。 5. 如同申請專利範圍第1項所述解決銅金屬導體製程令之 介層孔塞之方法’其巾所述之具τ層結構半導體基板係 可為一完成下層金屬連線前段製程之半導體基板。 6·如同申請專利範圍帛1項所述解決銅金屬導體製程中之 介層孔阻塞之方法,其情述之金屬層間介電層係為低介 電常數(Low k)之介電材料。 7. 如同申請專利範圍第6項所述解決銅金屬導體製裎中之 介層孔阻塞之方法,其中所述之金屬層間介電層係為 (FLARE)、(Silk)及黑鑽石(Black Diamond)其中之一。" 8. 如同申請專利範圍第丨項所述解決銅金屬導體製程中之 ”層孔阻塞之方法,其中所述钱刻終止層之材質係為—氣 化層(Oxide)。 9. 如同申請專利範圍第丨項所述解決銅金屬導體製程中之 介層孔阻塞之方法’其中所述钱刻終止層之材質係為—氡 化層(Nitride)。 10. 如同申請專利範圍第丨項所述解決鋼金屬導體製程中义 介層孔阻塞之方法’其中所述蝕刻終止層之材質係為—嚷 化層(Carbide)。 本紙張尺度適用中國國家揉準(CNS ) A4说格(210x297公董) ---- . -裝 訂 . I^ (請先閎讀背面之注意事項再填寫本頁J 8 88$ ABCD 457670 々、申請專利範圍 -— 1.如同中4·專利糊第i項所述解決銅金屬導體製程中之 ---------‘裝-- ' t請先閲讀背面之注意事項再填寫本貫) 介層孔阻塞之方法,其中所述之紫外光曝光,該紫外光之 波長係為15〇〜6〇qA之間。 12.如同中請專利範圍第1項所述解決銅金屬導體製程中之 介層孔阻塞之方法,其中所述阻障層之材質係為缺/氮化 鈦(Ti/TiN)、鈦鎢(Tiw)合金、鈕(Ta)或氣化组(τ&ν)其中之 〇 η.如同中請專利軸第12項所述解決銅金屬導體製程中之 介層孔阻塞之方法’其中所述阻障層係以物理氣相沈積 (PVD)或電鍍(ECD)方式覆蓋上去。 14.如同申請專概圍第丨項所述解決銅金屬導體製程中之 "層孔阻塞之方法’其巾所述晶__^(ECD)方式 覆蓋上去。 I5·如同中請專利範圍第丨項所述解決銅金屬導體製程中之 介層孔阻塞之方法,其情述金屬銅(Cu)係以電鐘(ECD) 方式沈積。 經濟部智慧財產局員工消費合作社印製 16. -種解決銅金屬導艘製程中之介層孔阻塞(λ% ρ_η)之 方法,其步驟係為: (a) 提供一具下層結構之半導體基板; (b) 在該半導體基板表面形成金屬層間介電層(IMD),該 金屬層間介電層其下有一姓刻終止層(段比-紅叩口沉); (Ο定義介層孔(Via)之光阻圖案,蝕刻開啟該介層孔的窗 σ ; ⑷進行紫外光曝光(uvExpose); 11 本紙張尺度適用中固爾家揉準 ( CNS ) A4規格(2丨οχ29?公着) 457670 AS Βδ C8 D8 經濟部智慧財產局員工消費合作杜印製 六、申請專利範圍 ⑷定義溝漕(Trench)之光阻圖案,触刻該開啟溝漕的窗 π ; (〇蝕刻去除該钮刻終止層,開啟與所述下層結構接觸的 窗口; U)進行第二次紫外光曝光(UVExpose); (h)於該介層孔及溝槽窗口内表面與該基板表面形成一 阻障層(Barrier Layer); U)於該阻障層上沈積一晶種銅(Seed_Cu)層; (j)沈積金屬銅(Cu)填滿該介層孔及溝槽的窗口; ⑴利用化學機械研磨(CMP)進行平坦化(pianarizaii〇n)處 理,移除該基板表面多餘之金屬銅(CU)、阻障層及晶 種銅層。 17. 如同申請專利範圍第16項所述解決銅金屬導體製程中之 介層孔阻塞之方法,其中所述之銅金屬導體製程係為一 "低介電材料(Low k)/銅(Cu)〃之金屬雙層嵌入式(Dual Damascene)的導體連線製程。 18. 如同申請專利範圍第16項所述解決銅金屬導體製程中之 介層孔阻塞之方法,更包括形成一抗反射層(ARL)於所述 金屬層間介電層表面。 19如同申請專利範圍第18項所述解決銅金屬導體製程中之 介層孔阻塞之方法,其中所述抗反射層之材質係為氮化矽 (SiN)或氮氧化矽(si〇xNy)其中之一。 20·如同申請專利範圍第16項所述解決銅金屬導體製程中之 介層孔阻塞之方法,其中所述之具下層結構半導體基板係 __ 12 本紙張认逋用t國g家樣率(CNS ) ( 21QX297^董) --- ---------裝------訂------線 (請先閲讀背面之注意事項再填寫本頁) 45767〇 、申請專利範圍 Λ8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 紙 一本 ° 70成下層金屬連線前段製程之半導體基板。 21·如同t料利範鮮16項所述解決銅錢導體製程中之 介,孔阻塞之方法’其巾所述之金屬制介係為低介 電常數(Lowk)之介電材料。 2_如同中%專利範圍第21項所述解決銅金屬導體製程中之 介層孔阻塞之方法,其中所述之金屬層間介電層係為 (FLARE)、(Silk)及黑鑽石(版kDiam〇nd)其中之一。 23.如同申Μ專利範圍帛10項所述解決銅金屬導體製程中之 介層孔阻塞之方法,其巾所述之第—次料光曝光,該紫 外光之波長係為150〜600人之間。 24·如同申明專利範圍第%項所述解決銅金屬導體製程中之 介層孔阻塞之方法’其中所述之第二次紫外光曝光,該紫 外光之波長係為150〜600Α之間。 如同申請專利範圍第10項所述解決銅金屬 2層孔阻塞之方法,其中進行·第二:讀外光曝= 後,係更包括—濕式去光阻(WetPR Strip)步驟。 26·如同申叫專利範圍第項所述解決銅金屬導體製程中之 η層孔阻塞之方法,其中所述侧終止層之材質係為一氧 化層(Oxide)。 27. 如同申請專利範圍第16項所述解決鋼金屬導體製程中之 阻塞之方法’其中所述侧終止層之材質係為一氮 化層(Nitride)。 28. 如同申料利範龄1ά補述解決銅金屬導體製程 介層孔阻塞之方法,其中所舰刻終止層之材質係為一碳 適用中關辦~ ^ ,ά------ΐτ------^ (請先閏讀背面之注意事項再填寫本頁) A8 B8 C8 D8 457670 夂、申請專利範圍 化層(Carbide)。 如同申請專利範圍第W項所述解決銅金屬導體製程中之 介層孔阻塞α法,其巾崎轉層之㈣絲鈦/氮化 欽(Ti/TiN)、鈦鎢(TiW)合金、钽ga)或氮化鈕(TaN)其中之 —^ 〇 30. 如同申請專利範圍第29項所述解決銅金屬導體製程中之 介層孔阻塞之方法’其中所述阻障層係以物理氣相沈積 (PVD)或電鍍(ECD)方式覆蓋上去。 31. 如同申請專利範圍第項所述解決銅金屬導體製程中之 介層孔阻塞之方法’其中所述晶種銅係以電鍍(ECD)方式 覆蓋上去。 32·如同申請專利範圍第16項所述解決銅金屬導體製程中之 介層孔阻塞之方法’其中所述金屬銅(Cu)係以電鍍(ECD) 方式沈積。 ----------- t请先閣讀背面之注意事項再球寫本貰) 經濟部智慧財產局員工消費合作社印製 14 本紙張尺度適用中國固家標準(CNS ) A4規格{ 2丨0X297公釐)The scope of the patent application is printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. It is similar to the method of applying for SIS 1 to block the interposer holes in the process of metal conductors, and it also includes forming an anti-reflection layer (ARL) on the metal Interlayer dielectric layer surface. 4. = Please also describe the method of plugging the interstitial holes in the thin metal frequency process with the same post 3, where the material of the anti-reflection layer is silicon nitride (SiN) or silicon oxide (Si). xNy) one of them. 5. The method for resolving via plugs of copper metal conductors as described in item 1 of the scope of the patent application. The semiconductor substrate with τ layer structure described in the towel can be a semiconductor substrate that completes the previous process of the lower metal connection. . 6. The method for solving the blocking of the dielectric hole in the copper metal conductor manufacturing process as described in item 1 of the scope of the patent application, the metal interlayer dielectric layer is a low-k dielectric material. 7. The method for solving the blocking of the interstitial pores in a copper metal conductor as described in item 6 of the scope of the patent application, wherein the interlayer dielectric layers are (FLARE), (Silk), and Black Diamond (Black Diamond )one of them. " 8. The method for solving the "layer hole blocking" in the copper metal conductor manufacturing process as described in item 丨 of the scope of the patent application, wherein the material of the money-cut stop layer is-gasification layer (Oxide). The method for solving the blocking of via holes in the copper metal conductor process described in item 丨 of the patent scope ', wherein the material of the money-cut stop layer is-Nitride. 10. As mentioned in the scope of patent application No. 丨The method for solving the blocking of the interposer hole in the process of steel and metal conductors is described, wherein the material of the etching stop layer is-Carbide. This paper size is applicable to China National Standard (CNS) A4 (210x297) Dong) ----.-Binding. I ^ (Please read the notes on the back before filling out this page J 8 88 $ ABCD 457670 々, the scope of patent application-1. 1. as in the 4th patent paste The method of solving the copper metal conductor manufacturing process --------- 'installation-' please read the precautions on the back before filling in this method. The wavelength of the ultraviolet light is between 15 and 60 qA. The method for solving the blocking of via holes in the copper metal conductor process described in the first item of the scope of interest, wherein the material of the barrier layer is Ti / TiN, TiW alloy, button (Ta) or gasification group (τ & ν). Η. As described in item 12 of the patent shaft, the method for solving the blocking of via holes in the copper metal conductor process. Vapor deposition (PVD) or electroplating (ECD) methods are covered. 14. As described in the application section 丨 to solve the copper metal conductor manufacturing process " layer hole blocking method 'its towel said crystal __ ^ (ECD) method. I5 · As described in Item 丨 of the Chinese Patent Application, the method for solving the blocking of the interstitial hole in the copper metal conductor manufacturing process, the metal copper (Cu) is deposited by the electric clock (ECD) method. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 16.-A method to solve the mesoporous blockage (λ% ρ_η) in the process of copper metal guide ship, the steps are: (a) Provide a semiconductor with a lower structure A substrate; (b) forming a metal interlayer dielectric layer (IMD) on the surface of the semiconductor substrate, the metal interlayer There is an engraved termination layer underneath the electrical layer (Segment Ratio-Red 叩 口 沉); (0 defines the photoresist pattern of the via, and the window σ that opens the via is etched; 紫外 UV exposure (uvExpose ); 11 This paper standard is applicable to China Guer Family Standard (CNS) A4 specification (2 丨 οχ29?) 457670 AS Βδ C8 D8 Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs, Consumer Consumption Du Print 6. Scope of patent application (Trench) photoresist pattern, which touches the window opening π; (0 etching removes the button stop layer and opens the window in contact with the underlying structure; U) performs a second UV exposure (UVExpose ); (h) forming a barrier layer (Barrier Layer) on the inner surface of the via and the trench window and the substrate surface; U) depositing a seed copper layer (Seed_Cu) on the barrier layer; (j) ) Deposition metal copper (Cu) to fill the window of the vias and trenches; ⑴ Use chemical mechanical polishing (CMP) for planarization (pianarizaii) to remove excess metal copper (CU) on the surface of the substrate, Barrier layer and seed copper layer. 17. The method for solving the blocking of via holes in a copper metal conductor process as described in item 16 of the scope of the patent application, wherein the copper metal conductor process is a " Low dielectric material (Low k) / copper (Cu ) The metal double-layer embedded (Dual Damascene) conductor connection process. 18. The method for solving the blocking of via holes in a copper metal conductor process as described in item 16 of the scope of the patent application, further comprising forming an anti-reflection layer (ARL) on the surface of the interlayer dielectric layer. 19 The method for solving the blocking of via holes in the copper metal conductor process as described in item 18 of the scope of the patent application, wherein the material of the anti-reflection layer is silicon nitride (SiN) or silicon oxynitride (si〇xNy) one. 20 · The method for solving the blocking of the via hole in the copper metal conductor manufacturing process as described in item 16 of the scope of the patent application, wherein the semiconductor substrate with the underlying structure is __ 12 CNS) (21QX297 ^ 董) --- --------- install -------- order ------ line (please read the precautions on the back before filling this page) 45767〇 、 Scope of patent application Λ8 B8 C8 D8 The printed paper of the consumer cooperative of employees of the Intellectual Property Bureau of the Ministry of Economic Affairs, a printed substrate of 70 °, which is a semiconductor substrate in the front stage of the lower metal connection process. 21. As described in item 16 of the material, the method of solving the copper in the copper conductor process, the method of blocking holes, the metal dielectric described in the towel is a low-k dielectric material. 2_ The method for solving the blocking of the interlayer holes in the copper metal conductor manufacturing process as described in item 21 of the middle percent patent scope, wherein the interlayer dielectric layers are (FLARE), (Silk) and black diamond (kDiam 〇nd) one of them. 23. As described in the patent application scope 帛 10, the method for solving the blocking of the interstitial pores in the copper metal conductor manufacturing process, the first material light exposure described in the towel, and the wavelength of the ultraviolet light is 150 to 600 people. between. 24. The method for solving the blocking of via holes in the copper metal conductor process as described in the item %% of the stated patent scope, wherein the second ultraviolet light exposure is described, and the wavelength of the ultraviolet light is between 150 and 600A. As described in item 10 of the scope of the patent application, the method for solving the blockage of the copper metal 2-layer pores is performed. The second step: after reading the external light exposure =, the method further includes a WetPR Strip step. 26. The method for resolving η layer hole blockage in the copper metal conductor manufacturing process as described in the item of the scope of the patent application, wherein the material of the side stop layer is an oxide layer (Oxide). 27. The method for solving the blockage in the process of steel metal conductor as described in item 16 of the scope of the patent application, wherein the material of the side stop layer is a nitride layer (Nitride). 28. As described in Shenlili Fanling 1ά, the method of solving the hole blocking of the interlayer of the copper metal conductor process is described, in which the material of the termination layer is one carbon and applicable to the China Customs Office. ^, Ά ------ ΐτ- ----- ^ (Please read the precautions on the back before filling this page) A8 B8 C8 D8 457670 夂, apply for a patent scope layer (Carbide). As described in Item W of the scope of the patent application, the method of solving the interlayer hole blocking α method in the process of copper metal conductors, its reeling layer is made of titanium / nitride (Ti / TiN), titanium tungsten (TiW) alloy, tantalum ga) or nitride button (TaN)-^ 〇 30. As described in the scope of the application for the scope of the patent application of the copper metal conductor process of blocking the interposer hole blocking method 'where the barrier layer is in the physical vapor phase Overlay by deposition (PVD) or electroplating (ECD). 31. The method for solving the blocking of via holes in a copper metal conductor process as described in item 1 of the scope of the patent application, wherein the seed copper is covered by electroplating (ECD). 32. A method for solving the blocking of via holes in a copper metal conductor process as described in item 16 of the scope of the patent application, wherein the metallic copper (Cu) is deposited by electroplating (ECD). ----------- t Please read the notes on the back first and then write the ballast.)) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. 14 This paper size is applicable to China National Standard (CNS) A4 specifications. { 2 丨 0X297 mm)
TW89112046A 2000-06-20 2000-06-20 Method for removing via poison in the copper conductor processing TW457670B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW89112046A TW457670B (en) 2000-06-20 2000-06-20 Method for removing via poison in the copper conductor processing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89112046A TW457670B (en) 2000-06-20 2000-06-20 Method for removing via poison in the copper conductor processing

Publications (1)

Publication Number Publication Date
TW457670B true TW457670B (en) 2001-10-01

Family

ID=21660150

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89112046A TW457670B (en) 2000-06-20 2000-06-20 Method for removing via poison in the copper conductor processing

Country Status (1)

Country Link
TW (1) TW457670B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110246827A (en) * 2014-12-16 2019-09-17 旺宏电子股份有限公司 Semiconductor element and its manufacturing method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110246827A (en) * 2014-12-16 2019-09-17 旺宏电子股份有限公司 Semiconductor element and its manufacturing method
CN110246827B (en) * 2014-12-16 2021-10-15 旺宏电子股份有限公司 Semiconductor device and method for manufacturing the same

Similar Documents

Publication Publication Date Title
TWI253113B (en) Method of forming low resistance vias
TWI229412B (en) Method for forming metal interconnect structures
US7524752B2 (en) Method of manufacturing semiconductor device
TW531827B (en) Integrated circuits with multiple low dielectric-constant inter-metal dielectrics
US7241681B2 (en) Bilayered metal hardmasks for use in dual damascene etch schemes
US10062645B2 (en) Interconnect structure for semiconductor devices
TWI227042B (en) Method for forming thick copper self-aligned dual damascene
US20060141773A1 (en) Method of forming metal line in semiconductor device
US6500754B1 (en) Anneal hillock suppression method in integrated circuit interconnects
TW457670B (en) Method for removing via poison in the copper conductor processing
TW404007B (en) The manufacture method of interconnects
US20070161231A1 (en) Method for forming metal wiring in a semiconductor device
CN111524857A (en) Semiconductor structure and preparation method thereof
US20090142917A1 (en) Method for fabricating metal line of semiconductor device
TW405239B (en) Copper conductive wiring process
TW444340B (en) Method for forming self-aligned copper wire by using electroplating technique
TWI240372B (en) Fabrication method for self-aligned passivation of damascene interconnect structure
TW465033B (en) Dual damascene process of low dielectric constant
TW510020B (en) Manufacture method of dual damascene structure
TW408439B (en) The manufacture method of the unlanded via
TW565908B (en) Manufacturing method of dual damanscene structure
TW594925B (en) Method of fabricating metal interconnects and method of filling openings
TW445531B (en) Semiconductor integrated circuit and manufacturing method thereof
TWI224557B (en) Etching process for low-k organic film
TW404014B (en) The manufacture method of inter-connects

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent