TW455986B - A method and apparatus for etching carbon-doped organic silicate glass - Google Patents

A method and apparatus for etching carbon-doped organic silicate glass Download PDF

Info

Publication number
TW455986B
TW455986B TW089112584A TW89112584A TW455986B TW 455986 B TW455986 B TW 455986B TW 089112584 A TW089112584 A TW 089112584A TW 89112584 A TW89112584 A TW 89112584A TW 455986 B TW455986 B TW 455986B
Authority
TW
Taiwan
Prior art keywords
gas
highly selective
insulating layer
layer
scope
Prior art date
Application number
TW089112584A
Other languages
English (en)
Inventor
Tuquiang Ni
Nancy Tran
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW455986B publication Critical patent/TW455986B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

^55936 A7 B7 五、發明說明(1 發明領域 本發明一般而言與半導體製程相關,尤與蝕刻碳摻雜 有機矽玻璃絕緣層的方法相關。 發明背景 現•今半導體工業不停努力想藉減小裝置尺寸與增加裝 置封裝密度’以提昇裝置的效能。就一給定之晶片大小, 可藉減小各作動裝置間垂直與側向的距離以達到增加裝置 封裝密度的目的,這樣做會造成各層間介電質厚度的減少 (通常是指金屬間氧化物《inter-metal oxide》或I Μ 0 )。 不幸地’減少介電質厚度增加了各層間的電容,而電容的 增加造成積體電路高頻效能(high frequency performance) 的減低。 在積體電路中’像二氧化矽與氮化矽等傳統的絕緣 層’其介電常數K—般都在3.9或更高。舉例來說,二氧 化矽的介電常數大約是3·9,而氮化矽的介電常數大約是 9。當I C裝置的特徵尺寸縮小,吾人期望能減小絕緣層的 介電常數,以減小各層間的電容。 一種低介電常數絕緣層是沈積在一氮化矽(Si3N4)阻 障層上碳摻雜一有機矽玻璃絕緣層。碳摻雜有機矽玻璃絕 緣層有一小於3.0的介電常數K,而使得碳摻雜有機矽玻 璃絕緣層很適合在積體電路製造時擔任一低介電常數絕緣 層。然而,碳摻雜有機矽玻璃絕緣層會在傳統蝕刻製程中 出現問題。其中最明顯者’就是一碳摻雜有機矽玻璃絕緣
4HICKMAN200010TW 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------裝· II (請先閱讀背面之注項再填寫本頁) -& . 經濟部智慧財產局員工消費合作社印製 A7 45598 6 B7 五、發明說明(工0 層之傳統蝕刻,會造成相對於下墊的氮化矽阻障層之選擇 性(selectivity)不良。 圖一 A展示了一前案積體電路結構10在進行一電漿 蝕刻前的截面圖。積體電路結構10包含一二氧化矽介電絕 緣層(silicon dioxide dielectric insulating layer) 12、配置 於二氧化矽介電絕緣層12之下的一氮化矽阻障層14、與 在二氧化矽層12上形成的一有機抗蝕罩幕層16 ( organic resist mask)。圖一 B顯示了在飽刻二氧化砂層12後的一 前案積體電路結構1〇之剖面圖。一般都是使用以氟爲底的 一氣體蝕刻二氧化矽層12,如四氟甲烷(CF4)。其他適合 用以蝕刻二氧化矽絕緣層的氣體包含CF4F8、六氟乙烷 (C2F6)、三氟甲烷(CHF3)與六氟化硫(SF6)。 在一非等向性蝕刻中,欲蝕刻的絕緣層與位於絕緣層 之下受蝕刻的阻障層都會受到蝕刻劑的侵襲。不同層中不 同材料之蝕刻速率的比例就是蝕刻製程的選擇性。因此, 相對於基材材料的選擇性是蝕刻製程中一項重要的特徵。 相對於基材的選擇性Sfs能影響效能與產量。膜厚與 蝕刻速率的不均勻增加了 Sfs的需要値(required value of Sfs),因爲蝕刻製程需要在超過平均膜厚 (mean film thickness)完全被蝕刻(移除)後繼續進行。這種外加的 蝕刻即爲過度蝕刻(overetch)。因爲對過度蝕刻的需要, 當要在二氧化矽上蝕刻聯繫孔(contact holes)時,最好在 到達矽基材時減少蝕刻速率。如此一來,有著相對於基材 之高選擇性的一製程是必要的。 4HICKMAN200010TW 0 本紙張尺度適用中國國家標準(CNS)A4現格(210 X 297公I ) I I I I----k· I ---- 訂·! " (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印5衣 經濟部智慧財產局員工消費合作社印製 455986 A7 ___ B7____ 五、發明說明(3 ) 傳統二氧化矽蝕刻製程中使用的以氟爲底的氣體會分 裂並在蝕刻表面上形成一聚合物膜(Polymer film)。聚合 物膜大大地減慢了氮化矽阻障層14的蝕刻。因此’二氧化 矽層12相對於氮化矽層14有良好的選擇性。其結果造成 一二氧化矽介層孔,其中下墊的氮化矽阻障層14實質上是 完整的,如圖一 B所示。如此一來,欲獲得之絕緣層相對 於下墊的阻障層的選擇性可因而在二氧化矽絕緣層上達 到,這是所有熟習本技術者所熟知的。 然而,以氟爲底的氣體無法在用來蝕刻一碳摻雜之有 機矽玻璃絕緣層時達到合理的選擇性。圖一 C是一圖解, 圖解說明一前案積體電路結構20在用一以氟爲底的氣體 蝕刻一碳摻雜有機矽玻璃絕緣層22後的截面圖。積體電路 結構2〇含有一碳摻雜有機矽玻璃絕緣層22、一配置於碳 摻雜有機矽玻璃絕緣層22之下的一氮化矽阻障層14,以 及形成於碳摻雜有機矽玻璃絕緣層22之上的一有機抗餓 罩幕層16。碳摻雜之有機矽玻璃絕緣層內含大量的碳以獲 得一小於3.0之介電常數K。傳統二氧化矽介電層蝕刻中 使用的以氟爲底的氣體無法單獨蝕刻一碳摻雜有機矽玻璃 絕緣層。因此,一定要在蝕刻劑中加入如氧(〇2)與一氧 化碳(CO)等氣體才能蝕刻碳。然而,因爲有機矽玻璃絕 緣層與聚合物膜皆含有碳,加入了氧與一氧化碳的以氟爲 底的氣體侵襲有機矽玻璃絕緣層同時也侵襲聚合物膜。沒 有了聚合物膜’以氟爲底的氣體很快地蝕刻下墊的氮化矽 阻障層I4。因此,傳統介電材料蝕刻化學反應不能達到相 4HICKMAN200010TW ^ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297
It ---11 — 11 ---111-------- (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 455986 A7 ____B7 五、發明說明(今) 對於氮化矽絕緣層14的合理選擇性。如圖一 c所示,其 結果爲對氮化砂絕緣層I4造成的的過度触刻24。 如上所討論之傳統的介電材料蝕刻化學反應不能蝕刻 一碳摻雜有機矽玻璃絕緣層。之前的方法,例如在蝕刻劑 中加入氧或一氧化碳,無法達到相對於下墊的氮化矽基材 的一合理的選擇性。綜上所述,需要一碳摻雜有機矽玻璃 蝕刻製程,此有機矽玻璃蝕刻製程達到摻雜矽的有機矽玻 璃相對於氮化矽之良好的蝕刻選擇性。 發明槪述 本發明提供一製程,其使用一高選擇性氣體蝕刻碳摻 雜有機矽玻璃絕緣層,藉本發明達到前述之需求。其中高 選擇性氣體含以溴與氯爲底的一化學反應(chemistry )。 高選擇性氣體中的溴原子與氯原子會與有機矽玻璃中的 碳、矽與氧反應,進而達成碳摻雜之有機矽玻璃的良好蝕 刻。同時,以溴與氯爲底的化學反應會慢慢蝕刻下墊之氮 化矽阻障層,藉此達到碳摻雜有機矽玻璃絕綠層與氮化矽 阻障層間良好的選擇性。其結果造成一碳摻雜有機矽玻璃 絕緣層之介層孔,其中氮化矽阻障層實質上是完整的。 本發明一方面教導了一種透過一罩幕層中之一孔洞, 非等向性地(anisotropically )蝕刻一有機矽絕緣層的方 法。在一製程室中導入一基材,基材上裝備有一有機矽絕 緣層與覆蓋於上且中有一孔洞的一罩幕層。在製程室中產 生一電漿,此電漿來自一氧化氣體與一高選擇性氣體。高
4HICKMAN200010TW A 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------------裝 i I I! I 訂·! - (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 455986 A7 ___B7___ 五、發明說明(5") 選擇性氣體之較佳實施例是一含溴的氣體與一含氯的氣體 或兩者皆用。此外,氧化氣體與高選擇性氣體的較佳比例 是不少於4: 1。另外,也許會含有一鈍性載氣。最後,用 電漿透過罩幕層蝕刻有機矽絕緣層。 本發明的另一方面教導了一種有機矽層的蝕刻系統。 有機蝕刻系統包含一室(chamber)能容納一基材,基材上 裝備有將被蝕刻的一有機矽絕緣層。有機蝕刻系統亦包含 一氣體進入機構(gas inlet mechanism) >氣體進入機構連 接一氧化氣體源與一高選擇性氣體源。高選擇性氣體實質 上係源自(derived from)含溴的氣體與含氯的氣體的群組 (group)。氧化氣體與高選擇性氣體的較佳比例是不小於 4: 1。有機蝕刻系統進一步包含一對設置於室中的電極, 以及一無線電頻率產生器(RF generator)連結於此對電極 上,以便由氧化氣體與高選擇性氣體形成一電漿,此電漿 蝕刻有機矽玻璃絕緣層外曝之部分。 利用本發明,可達到碳摻雜有機矽玻璃相對於氮化矽 之高度選擇性,造成在碳摻雜有機矽玻璃絕緣層上的介層 孔與溝渠(trench)等,其中下墊的氮化矽阻障層實質上 完整。在下墊之阻障層完整的情況下,於碳摻雜有機矽絕 緣層上製造孔洞之能力,使吾人能將低介電常數有機矽玻 璃絕緣層應用在積體電路的生產上。低介電常數有機矽玻 璃絕緣層降低了各層間的電容,並藉此增加了積體電路的 高頻效能。 熟悉本技術者在閱讀後面的敘述與硏究各不同圖式後 4HICKMAN200010TW 5 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) — If----- * ^--------— — !---4^ (請先閱讀背面之注意事項再填寫本頁) 455986 經濟部智慧財產局員工消費合作社印制^ A7 B7 五、發明說明(G ) 可瞭解本發明的這些優點與其他優點。 圖式之符號說明 藉著參考後面的敘述配合以隨附的圖式也許最能理解 本發明與進一步的優點,圖式中: 圖一 A是一圖式說明在電漿蝕刻前的一前案積體電路 結構之剖面圖,前案積體電路有一二氧化矽絕緣層; 圖一 B是一圖式說明在用以氟爲底的一氣體蝕刻二氧 化矽絕緣層後之前案積體電路結構的剖面圖; 圖一 C是一圖式說明在用以氟爲底的一氣體蝕刻碳摻 雜有機矽玻璃層後之前案積體電路結構的剖面圖; 圖二A是一圖式說明一積體電路結構在未受到依本發 明中一較佳實施例進行一碳摻雜有機矽玻璃絕緣層之蝕刻 前的剖面圖; 圖二B是一圖式說明一積體電路結構在使用依本發明 中一較佳實施例的以溴與氯爲底的一化學反應蝕刻碳摻雜 有機砂玻璃膜層(carbon- doped OSG film layer)後的剖 面圖, 圖三是一流程圖說明一依照本發明中一較佳實施例透 過一罩幕層中之一孔洞蝕刻一有機矽絕緣層的方法; 圖四是一圖式說明與本發明一較佳實施例一致的一有 機矽玻璃層蝕刻系統;以及 圖五是一圖式說明與本發明中一較佳實施例一致並有 複數個氣體進入α的一有機矽玻璃蝕刻系統。 4HICKMAN200010TW 6 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------------裝 -------訂·!------越 (請先閱讀背面之注意事項再填寫本頁) 455986 A7 B7 五、發明說明( 圖式元件符號說明 10積體電路結構 14氮化矽阻障層 20積體電路結構 24過度蝕刻 12二氧化矽介電絕緣層 16有機抗蝕罩幕層 22有機矽玻璃絕緣層 30積體電路結構 32有機矽玻璃絕緣層34氮化矽阻障層 35孔洞 38介層孔 52室 56高選擇性氣體源 56B高選擇性氣體源 60無線電頻率產生器62基材 70有機矽玻璃絕緣層蝕刻系統 36有機抗蝕罩幕層 50有機矽層蝕刻系統 54氣體進入機構 56A氧化氣體源 58電極 經濟部智慧財產局員工消費合作社印製 發明之詳細說明 圖一 A到一 C仔細描述前案。本發明一較佳的實施例 之敍述將參照圖一 A與一 B。圖一 A是說明本發明一較佳 實施例進行電漿蝕刻之前的積體電路結構30。積體電路結 構30包含一碳摻雜有機矽玻璃絕緣層32、配置於碳摻雜 有機矽玻璃絕緣層之下的一氮化矽阻障層34與一形成於 碳摻雜有機矽玻璃絕緣層32之上的一有機抗蝕罩幕層36。 —高度的選擇性是一細微特徵圖案蝕刻製程(etch process for fine feature patterning)中吾人期望達到的特 點,因爲在這類應用中只能容許非常小的蝕刻偏差
4HICKMAN200010TW 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------------------訂 -----!-'# (請先閱讀背面之注意事項再填寫本頁) 455986 經濟部智慧財產局員工消費合作社印製 A7 ---------B7 ___ 五、發明說明(8 ) (bias)。爲避免先前加工過部分的電路被移除,一相對於 下塾材料的高度選擇性是必要的。藉由考慮最壞狀況可計 算出必要的相對於一基材的選擇性Sfs。最壞狀況就是假設 受餓刻的膜最薄的部分在基材接受最高蝕刻速率的區域。 本假設是用來計算出一均勻度因子(uniformity factor) υ^。均勻度因子Ufs接著乘上比例hf/hs(其中hf是平均膜 厚《mean film thickness》,而hs是基材層的最大容許穿透 涂度《maximum allowable penetration depth of the substrate layer》)以得到所要的SfS,或:
Sfs = Ufs (hf/hs) (l) 而且
Ufs — [Φί(2+Δ+Δδ)+δ(2+Δ)+Δ]/( 1 -Of2) (2) 其中Φί·是一無單位的參數,其値os ©f< 1,而Δ是一 比例過度触刻時間(fractional overetch time ),δ則是一無 單位參數,其値〇基δ<1。由第一式可知,如果膜是完全 地均勻(δ= <Df= 0)且如果不需要過度蝕刻(Δ=〇),相對 於基材的選擇性就不是我們關心的議題了,因爲在這種情 形中ufs等於0。然而,因爲這些狀況並不代表真實狀況, 第一式在決定真實的Sfs之値方面很有用。 本發明藉由使用一以溴或氯爲底的化學反應來蝕刻碳 摻雜有機矽玻璃介電絕緣層達到良好的選擇性。符合本發 4HICKMAN200010TW 8 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ( -----J -裝 i 1 I---— 訂·! I!---線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 5986 A7 _B7_ 五、發明說明() 明的一製程提供了有機矽絕緣層32的氧化鈾刻,氧化蝕刻 用含一高選擇性氣體與一氧化氣體的一混含物形成一電 漿,並用電漿透過罩幕層36中的一孔洞35非等向性地蝕 刻有機矽玻璃絕緣層32,如圖二B所示。高選擇性氣體可 以是一以氯爲底的氣體如氯(Cl2)、一以溴爲底的氣體如 溴化氫(HBr)、或一以氯爲底氣體與一以溴爲底的氣體的 組合如溴化氫(ΗΒτ*)、氯(Cl2)或三氯化硼(BC13)。 更明確地說,在進行電漿蝕刻時,高選擇性氣體中的 溴原子與氯原子會與碳摻雜有機矽玻璃絕綠層中的碳、矽 與氫反應而形成揮發性的蝕刻產物如矽的溴化合物 (SiBrx)、矽的氯化合物(SiClx)、氯化氫(HC1)與氯烷 (CC1X),因而達成碳摻雜有機矽玻璃絕緣層32的一良好 蝕刻。同時,以溴爲底與以氯爲底的化學反應非常慢地蝕 刻氮化矽阻障層34,藉此達到碳摻雜有機矽玻璃絕緣層32 與氮化矽阻障層34間的良好選擇性。其結果造成一碳摻雜 有機矽玻璃層之介層孔38,其中氮化矽阻障層34實質上 是完整的,如圖二B所示。 接著參考圖三,我們現在將敘述用以透過一罩幕層中 一孔洞蝕刻一有機矽絕緣層的一製程100,製程100與本 發明中一較佳實施例一致。在一初步操作102中,我們爲 一有機矽膜蝕刻製程100準備一積體電路。在準備有機矽 酸膜蝕刻製程100的過程中,在積體電路(1C)上一抗蝕 層在碳摻雜有機矽玻璃絕緣層上形成。積體電路通常旋轉 塗佈(spin-coated )有過濾過的一先阻劑(optical 4HICKM AN20001OT W 9 本紙張又度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------------ 裝--------訂---------〆'' (請先閱讀背面之注意事項再填寫本頁) 455986 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(丨0 ) photoresist ),如 AZ-1370、KODAK820 或一電子束阻劑 (e-beam resist),如PMMA或COP。此外,可視是想要改 進線尺寸控制(improved line size control)或較佳的解析 度(better resolution)而使用一厚的阻劑塗佈或薄的阻劑 塗佈。厚的阻劑塗佈(約0.5微米)會造成改進線尺寸控 制與細孔保護(pinhole protection),而薄的阻劑厚度(0.2 到0.3微米)會造成較佳的解析度。 在積體電路準備之後,在一操作104中,我們用含一 高選擇性氣體與一氧化氣體的一混合氣體蝕刻碳摻雜有機 矽玻璃絕緣層。高選擇性氣體可以是以氯爲底的一氣體如 氯、以溴爲底的一氣體如溴化氫、或一以氯爲底氣體與一 以溴爲底的氣體的組合如溴化氫、氯或三氯化硼。在蝕刻 製程中,高選擇性氣體在一電漿中與一氧化氣體結合。其 中氧化氣體與高選擇性氣體的較佳比例是不小於4: 1。本 發明的另一實施例採用一不小於10 : 1的氧化氣體與高選 擇性氣體比例,還有另一本發明的實施例採用一不小於 50 : 1的氧化氣體與高選擇性氣體比例。 本文中敘述的一氧化氣體,係指含氧的氣體。這樣的 氣體可幫助將碳從碳摻雜有機矽玻璃層移除,使蝕刻速率 加快。此外,這樣的氣體會因氯、溴與其他反應氣體而降 低下層(under layer)的鈾刻速率。 更具體地說,在進行電漿蝕刻時,高選擇性氣體中的 溴與氯原子會與碳摻雜有機矽玻璃絕緣層中的碳、矽與氫 反應而形成揮發性的蝕刻產物如矽的溴化合物、矽的氯化 4HICKMAN200010TW 1〇 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------!!裝! 1 訂·! -*5^ (諝先閱讀背面之注意事項再填寫本頁) 45 59 8 6 A7 B7 五、發明說明(u) 合物、氯化氫與氯烷,因而達成碳摻雜有機矽玻璃絕緣層 的一良好蝕刻。同時,以溴爲底與以氯爲底的化學反應非 常慢地蝕刻氮化矽阻障層,藉此達到碳摻雜有機矽玻璃絕 緣層與氮化矽阻障層間的良好選擇性。其結果造成一碳摻 雜有機矽玻璃層之介層孔,其中氮化矽阻障層實質上是完 整的》 最後,在一操作106中碳摻雜有機矽玻璃絕緣層的蝕 刻製程會在鈾刻到達終點(end point)時停止。一典型的 半導體生產環境中使用的乾式蝕刻裝置需要有有效診斷與 蝕刻終點偵測的工具。四個一般用來決定乾式蝕刻製程之 終點的方法是:雷射反射(laser reflectivity);光發射光譜 (optical emission spectroscopy);以一操作人員透過位於室 之一觀看埠(viewing port)直接觀測蝕刻表面;以及質譜 (mass spectroscopy) ° 如上所述使用終點偵測裝置之電漿蝕刻系統包含數個 元件。圖四是說明與本發明中一較佳實施例一致的一有機 矽層蝕刻系統50。有機矽層蝕刻系統50包含一室52、一 氣體進入機構54( gas inlet mechanism )、一對設置於室50 中之電極58,以及與電極58相連的一無線電頻率產生器 60。其中室能容納一基材62,基材62上裝備有將被蝕刻 的一有機矽絕緣層,而氣體進入機構54連接一氧化氣體源 與一高選擇性氣體源56。在某些例子中,我們可以將無線 電頻率產生器60接地(grounding)到室52而省略上層電 極(upper electrode ) ° 4HICKMAN200010TW n 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 --------------裝— <請先閱讀背面之注意事項再填寫本頁) 言 經濟部智慧財產局員工消費合作杜印製 455986 A7 B7 -*---- 五、發明說明(a) (請先閱讀背面之注意事項再填寫本頁) 當基材62已經爲碳摻雜有機矽玻璃絕緣層蝕刻做好 準備後,我們將基材放入室52中。氣體進入機構54用以 將來自氣體源56之高選擇性氣體與氧化氣體放入室52 中。在蝕刻製程中,高選擇性氣體在一電漿中與一氧化氣 體結合,其中氧化氣體與高選擇性氣體的較佳比例是不小 於4 : 1。本發明的另一實施例採用一不小於10 : 1的氧化 氣體與高選擇性氣體比例,還有另一本發明的實施例採用 一不小於50 : 1的氧化氣體與高選擇性氣體比例。無線電 頻率產生器60用來在室52中產生一含有氧化氣體與高選 擇性氣體的電漿。在進行電漿蝕刻時,高選擇性氣體中的 溴與氯原子會與碳摻雜有機矽玻璃絕緣層中的碳、矽與氫 反應而形成揮發性的蝕刻產物如矽的溴化合物、矽的氯化 合物、氯化氫與氯烷,因而達成碳摻雜有機矽玻璃絕緣層 的一良好蝕刻。同時,以溴爲底與以氯爲底的化學反應非 常慢地蝕刻氮化矽阻障層,藉此達到碳摻雜有機矽玻璃絕 緣層與氮化矽阻障層間的良好選擇性。其結果造成一碳摻 雜有機矽玻璃層之介層孔,其中氮化矽阻障層實質上是完 整的。 經濟部智慧財產局員工消費合作社印製 在本發明之一進一步的實施例中,氧化氣體與高選擇 性氣體在釋放入含電漿的室52前一直保持分開。圖五是一 圖解,圖解說明與本發明一實施例一致的含有複數個氣體 進入口的一有機矽層蝕刻系統70。有機矽玻璃絕緣層蝕刻 系統70包含一室52、複數個氣體進入機構54、一對設置 於室50中的電極58以及與電極58相連的一無線電頻率產 4HICKMAN200010TW 12 本紙張尺度適用中國國家標準(CNS>A4規格(210 X 297公釐) 455986 A7 _B7 五、發明說明(丨3)
生器60。其中室52中能容納一基材62,基材62上裝備有 將被蝕刻的一有機矽絕緣層。氣體進入機構54連接一氧化 氣體源56A與一高選擇性氣體源56B。如上所述,在某些 實例中我們可以將無線電頻率產生器60接地到室52而省 略上層電極D 在蝕刻製程中,通常在自動(指電腦)控制下的氣體 進入機構54是用來以適當比例釋放氧化氣體與高選擇性 氣體進入含電漿的室52中。電漿中氧化氣體與高選擇性氣 體的較佳比例是4: 1。然而,此比例通常是不小於10: 1, 有時候不小於50 : 1。 雖然本發明是用一些較佳的實施例來進行說明,本發 明的範圍內有很多修改、交換與等同變化。也應注意有很 多不同的方法來實施本發明的裝置與方法。因此我們希望 後面隨附的專利申請範圍能被解釋成包含所有這些落入本 發明真正精神與範圍內的修改,交換與等同變化。 ------HI — — ! ------1 I 訂i J I I !_ ·*^ <請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製
4HICKMAN20001OTW 13 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. ,年“沒日尨正Ms, 45 598 6 B8 C8 D8 申請專利範圍 1· 一種蝕刻有機矽玻璃絕緣層方法,藉該方法可透過罩幕 層中之一孔洞,蝕刻有機矽玻璃絕緣層,該方法包含: 在一製程室中導入一基材,該基材上裝備有一有機矽玻 璃絕緣層,覆蓋於上的一罩幕層與一墊在下面的阻障層 且該罩幕層中有一孔洞;以及 在該室中產生一電漿,該電漿的成分來自一氧化氣體與 一高選擇性氣體,其中該高選擇性氣體實質上係源自含 氯的氣體與含溴的氣體的群組,該電漿中該氧化氣體與 該高選擇性氣體的比例不小於4 : 1,該電漿可透過該 罩幕層中的該孔洞蝕刻該有機矽玻璃絕緣層。 2_如申請專利範圍第1項中所敘述之方法,其中該氧化氣 體與該高選擇性氣體的比例不小於10 : 1。 3·如申請專利範圍第1項中所敘述之方法,其中該氧化氣 體與該高選擇性氣體的比例不小於50 : 1。 4. 如申請專利範圍第1項中所敘述之方法,其中該高選擇 性氣體是氯。 5. 如申請專利範圍第1項中所敘述之方法,其中該高選擇 性氣體是溴化氫。 6. 如申請專利範圔第1項中所敘述之方法,其中該高選擇 4HICKMAN200010TW 14 木紙張尺度適用中國國家標車(CNTS ) A4規格(210χ2π公釐 -先間讀背兩之注意事項再填寫本頁) -1 --I I In I 1 蛵4部智慧財"^員工消費合作社印製 455986 BS C8 D8 六、申請專利範圍 性氣體含有溴化氫與氯。 (請先閏·謂背"之注意事項再填寫本貰) 7. 如申請專利範圍第1項中所敘述之方法,其中該高選擇 性氣體是三氯化硼。 8. 如申請專利範圍第1項中所敘述之方法,其中該覆蓋於 上的罩幕層包含複數個孔洞。 9. 一種用以蝕刻有機矽玻璃絕緣層的蝕刻系統,該蝕刻系 統包含: 一室,該室能容納一基材,該基材上裝備有一將被蝕刻 的有機矽玻璃絕緣層; 一氣體進入機構,該氣體進入機構連接一氧化 氣體 源與一高選擇性氣體源,其中該高選擇性氣體係得自實 質上由含氯的氣體與含溴的氣體所組成之群組,而其中 該氧化氣體與該高選擇性氣體的比例不小於4 : 1 ; 設置於該室中的至少一電極;以及 一無線電頻率產生器連結於該至少有一的電極上,藉著 經濟部智慧"4-'員工消費合作社印製 該無線電頻率產生器,由該氧化氣體與該高選擇性氣體 形成一電漿,該電漿蝕刻該有機矽玻璃絕緣層外曝之部 分。 10. 如申請專利範圍第9項所敘述之系統,該系統進一步 包含複數個氣體進入機構,該氣體進入機構連接一分離 4HICKMAN200010TW 15 本紙張尺度適用中國國家榡準(CMS 1 A4規格(210>; 297公篭) 45 5986 BS C8 DS 六、申請專利範圍 的氧化氣體源與一分離的高選擇性氣體源。 11. 如申請專利範圍第10項所敘述之系統,其中該氣體進 入機構將該氧化氣體與該高選擇性氣體放入該室中,使 該室中該氧化氣體與該高選擇性氣體的比例不小於 4:1° 12. 如申請專利範圍第9項所敘述之系統,其中該氧化氣 體與該高選擇性氣體的比例不小於10 = 1。 13. 如申請專利範圍第9項所敘述之系統,其中該氧化氣 體與該高選擇性氣體的比例不小於50 : 1。 14. 如申請專利範圍第9項中所敘述之系統,其中該高選 擇性氣體是氯。 15. 如申請專利範圍第9項中所敘述之系統,其中該高選 擇性氣體是溴化氫。 16. 如申請專利範圍第9項中所敘述之系統,其中該高選 擇性氣體含有溴化氫與氯。 17. 如申請專利範圍第9項中所敘述之系統,其中該高選 擇性氣體是三氯化硼。 4HICKMAN200010TW 16 本紙張尺度適用中國國家標準(CNS ) A4規格(公嫠) (請先"讀背面之注意事項再填寫本頁) 訂 〆, 經濟部智慧时i.a'員工涓費合作社印製 455986 Λ8 BS CS D8六1申請專利範圍 18. —種製造積體電路結構之方法,該積體電路結構含有 有機矽玻璃絕緣層,該有機矽玻璃絕緣層有一介層孔, 該方法包含: 在一製程室中導入一基材,該基材上有一有機矽玻璃絕 緣層,該有機矽玻璃絕緣層具有一覆蓋於中且有一孔洞 的罩幕層,與一墊於下的阻障層;以及 在該室中產生一電漿,該電漿的成分來自一氧化氣體與 一高選擇性氣體,該高選擇性氣體實質上係源自含氯的 氣體與含溴的氣體的群組,其中該氧化氣體與該高選擇 性氣體的比例不小於4 : 1,藉此可透過該罩幕層中的 該孔洞蝕刻該有機矽玻璃絕緣層。 I9·如申請專利範圍第18項中所敘述之方法,其中該氧化 氣體與該高選擇性氣體的比例不小於10 : 1。 20.如申請專利範圍第IS項中所敘述之方法,其中該氧化 氣體與該高選擇性氣體的比例不小於50 : 1。 (請先"希背"之注意事項再填寫本頁) 經-部智慧財4'^員工消費合作社印製 4HICKMAN200010TW 17 本紙張疋度適用中國國家標準(CNS ) A4規格(公f
TW089112584A 1999-06-28 2000-09-21 A method and apparatus for etching carbon-doped organic silicate glass TW455986B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US34094399A 1999-06-28 1999-06-28

Publications (1)

Publication Number Publication Date
TW455986B true TW455986B (en) 2001-09-21

Family

ID=23335600

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089112584A TW455986B (en) 1999-06-28 2000-09-21 A method and apparatus for etching carbon-doped organic silicate glass

Country Status (5)

Country Link
KR (1) KR20020010728A (zh)
CN (1) CN1367935A (zh)
AU (1) AU5492800A (zh)
TW (1) TW455986B (zh)
WO (1) WO2001001470A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4014456B2 (ja) * 2002-06-19 2007-11-28 株式会社日立ハイテクノロジーズ エッチング処理方法
CN1326791C (zh) * 2005-05-26 2007-07-18 上海交通大学 在硼硅玻璃表面加工微槽阵列的方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
TW363220B (en) * 1996-07-15 1999-07-01 Applied Materials Inc Etching organic antireflective coating from a substrate
EP0911697A3 (en) * 1997-10-22 1999-09-15 Interuniversitair Microelektronica Centrum Vzw A fluorinated hard mask for micropatterning of polymers
KR20010042419A (ko) * 1998-04-02 2001-05-25 조셉 제이. 스위니 낮은 k 유전체를 에칭하는 방법
US6040248A (en) * 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6309801B1 (en) * 1998-11-18 2001-10-30 U.S. Philips Corporation Method of manufacturing an electronic device comprising two layers of organic-containing material

Also Published As

Publication number Publication date
CN1367935A (zh) 2002-09-04
AU5492800A (en) 2001-01-31
KR20020010728A (ko) 2002-02-04
WO2001001470A1 (en) 2001-01-04

Similar Documents

Publication Publication Date Title
JP3155513B2 (ja) 高密度プラズマ中での高アスペクト比フィーチャ用の異方性選択的窒化物エッチング方法
US7329610B2 (en) Method of high selectivity SAC etching
US6800213B2 (en) Precision dielectric etch using hexafluorobutadiene
CN100405551C (zh) 在双掺杂栅应用中改进轮廓控制和提高n/p负载的方法
US7361607B2 (en) Method for multi-layer resist plasma etch
US7470628B2 (en) Etching methods
US6909195B2 (en) Trench etch process for low-k dielectrics
JPS6252455B2 (zh)
KR100681281B1 (ko) 플루오로카본 에칭 플라즈마 내 산화제로서 차아불소산염,플루오로퍼옥시드 및/또는 플루오로트리옥시드의 용도
US5759921A (en) Integrated circuit device fabrication by plasma etching
TW522493B (en) A method and apparatus for improving side wall passivation for organic etch
JP2001521283A (ja) ジフルオロメタンおよびトリフルオロメタンを用いたセルフアラインコンタクトエッチング
US6365523B1 (en) Integrated high density plasma chemical vapor deposition (HDP-CVD) method and chemical mechanical polish (CMP) planarizing method for forming patterned planarized aperture fill layers
US6300251B1 (en) Repeatable end point method for anisotropic etch of inorganic buried anti-reflective coating layer over silicon
US6372634B1 (en) Plasma etch chemistry and method of improving etch control
US5950092A (en) Use of a plasma source to form a layer during the formation of a semiconductor device
TW455986B (en) A method and apparatus for etching carbon-doped organic silicate glass
US7709343B2 (en) Use of a plasma source to form a layer during the formation of a semiconductor device
KR101276043B1 (ko) 아산화질소를 사용하는 에치백 프로세스
JP3353532B2 (ja) トレンチエッチング方法
JP2005328060A (ja) 半導体装置の製造方法
US6828250B1 (en) Process for etching vias in organosilicate glass materials without causing RIE lag
JP3363782B2 (ja) 集積回路構造の選択性の高い酸化物エッチングプロセス
US6716769B1 (en) Use of a plasma source to form a layer during the formation of a semiconductor device
TW399267B (en) Taped silicon dioxide etching method with high selectivity on silicon nitride

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees