TW451357B - Manufacturing method of barrier film and the barrier film - Google Patents

Manufacturing method of barrier film and the barrier film Download PDF

Info

Publication number
TW451357B
TW451357B TW089102530A TW89102530A TW451357B TW 451357 B TW451357 B TW 451357B TW 089102530 A TW089102530 A TW 089102530A TW 89102530 A TW89102530 A TW 89102530A TW 451357 B TW451357 B TW 451357B
Authority
TW
Taiwan
Prior art keywords
gas
nitrogen
reducing gas
barrier film
film
Prior art date
Application number
TW089102530A
Other languages
English (en)
Inventor
Masamichi Harada
Original Assignee
Ulvac Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP03804199A external-priority patent/JP4009034B2/ja
Priority claimed from JP19202699A external-priority patent/JP3938450B2/ja
Application filed by Ulvac Corp filed Critical Ulvac Corp
Application granted granted Critical
Publication of TW451357B publication Critical patent/TW451357B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

4SU35I A7 B7 五、發明說明(1) 本發明係有關半導體裝置用金屬配線之技術領域,尤 指設於銅配線膜及絕緣膜之間的障壁膜及設於含有s i、 G a A s等的膜及金靥配線之間,防止矽化之障壁膜及高 介電體膜或強介電膜與電極間之障壁膜之製造方法》 習知技術 近年,半導體裝置方面係被日益要求有高速動作,因 此以低電阻之銅配線取代鋁配線乃被硏究著。 然而,銅在半導體結晶中爲雜質,而且在矽結晶中或 氧化矽中的擴散係數較大的問題存在。因此,於障壁膜採 用氮化鎢薄膜等的高熔點金屬之氮化物薄膜,於矽基板或 氧化矽薄膜表面上形成障壁膜後,於其表面上形成銅配絲 膜- 爲形成障壁膜,採用著濺鍍法或熱CVD法或PE -C VD法,濺鍍法之情形係以高熔點金屬爲靶材,熱 CzlD法之情形,係利用下述的還原反應形成氮化物薄膜 3爲鎢之情形,(2)式爲鈦之情形。
<請先《讀背面之注意事項再填寫本頁) 裝·! -Ϊ---— 訂----- 0L, 經濟部智慧財產局B工滇费合作社印製 4WF6 + 8NH3^ 2WjN + 24HF + 3N2 TiCh+—NH3— TiN + 2HCl + l/2Hr (1) (2) 形成多層配線之半導體裝置的情形,有挾持層間絕緣 膜並使銅配線層合的必要,惟在被要求高速動作之半導體 I 裝匱,由於可減少信號之傳達延遲,故除銅配線之電阻値 本紙張尺度適用中_國家標準(CNS)A4规格(210 X 297公釐) A7 B7 451357 五、發明說明(2 > 之外,有減少層間絕緣膜之容量値或障壁膜之電阻値的必 要。具體而言,在障壁膜方面,乃有200〜 3 0 0 izDcm之低電阻被求出。 在濺鍍法,雖可形成低電阻之氮化按?薄膜,惟階段遮 覆率(step coverage )低劣,於高長徑比(aspect ratio ) 之貫穿孔(via hole )內未能均勻的形成障壁膜。 另一方面,在熱C V D法之情形,雖於貫穿孔內可形 成均勻的障壁膜,惟低介電常數之層間絕緣膜若予曝露於 5 0 0 °C以上的高溫時,則介電常數會變高,故在熱 CVD法之成膜溫度以40 0°C〜5 00eC成爲上限,在 其成膜溫度,例如氮化鎢之薄膜的情形,比電阻亦終會成 爲數千//Qcm,未能獲得低電阻之障壁膜。 不論C VD法*若依已使用有機會屬之MO C VD方 法,或電漿CVD法,則在低溫可形成低電阻之障壁膜, 惟有機金屬係高價的,另一方面,電漿CVD法,在步驟 遮覆率有較低劣的問題存在•以至未採用。 發明欲解決的課顆 本發明係爲解決上述習知技術之不合適而完成者,其 目的係提供低比電阻且步驟遮覆率良好的障蔽膜《 解決課題而採的手段 _ 本發明之發明人等,在分析以習用的熱CVD法形成 的高熔點金屬之氮化物薄膜時,發現高熔點金屬會呈不足 本紙張尺度適用尹國S家標準(CNS)A4規格(210 X 297命釐) (靖先Μ讀背面之注意事項再填寫本1}
裝 ill·!· — 訂 I 經濟部智慧財產局員工消费合作社印製 A7 451 357 __B7_ 五、發明說明(3) 的狀態。例如鎢之情形,在習知技術之氮化鎢並不成爲化 學量論的組成(W2N),而成爲WxN (X爲約1 . 5至 1 · 6)。此種氮化物中的金羼原子之不足被視作會使氮 化物薄膜之結晶性惡化,提高電阻値之原因。 本發明係基於上述見解而予完成者,由於高熔點金屬 之氮化物之組成接近化學量論的値,申請專利範圍第1項 記載之發明,係於真空氣圍氣中配置基板,於前述真空氣 圍氣中導入化學構造中有高熔點金屬之原料氣體|與具有 氮原子•還原性含氮還原氣體,於前述真空氣圍氣中導入 不具有氮原子之輔助還原氣體爲特徵之障壁膜製造方法。 申請專利範圍第2項記載之發明,爲申請專利範圍第 1項之障壁膜製造方法,具有將前述輔助還原氣體與前述 原料氣體及前述含氮氣體同時導入前述真空氣圍氣中的步 驟之障壁膜製造方法。 申請專利範圍第3項記載之發明,爲申請專利範圍第 2項之障壁膜製造方法,具有不導入前述輔助還原氣體, 將前述原料氣體及前述含氮還原氣體導入前述真空氣圍氣 中的步驟之障壁膜製造方法。 ‘ 申請專利範圍第4項記載之發明,爲申請專利範圍第 2項之障壁膜製造方法,以同時導入前述輔助還原氣體及 前述含氮還原氣體與前述原料氣體之際對前述原料氣體之 流量以一倍以上的流量導入前述含氮還原氣體,對前述含 氮還原氣體之流量以一倍以上十倍以下的流S導入前述輔 助還原氣體爲特徵之障壁膜之製造方法。 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (諝先閲讀背面之注意Ϋ項再填寫本頁> ------ 訂! 雙· 經濟郤智慧財產局昊工消费合作社印製 -6 - 經濟部智慧財產局具工消费合作社印製 4 513 5 7 a? __B7_ 五、發明說明(4 ) 申請專利範圍第5項記載之發明,爲申請專利範圍第 1項之障壁膜之製造方法,以同時導入前述輔助還原氣體 及前述含氮還原氣體與前述原料氣體之際’對前述原料氣 體之流量以一倍以上五倍以下的流量導入前述含氮還原氣 體,對前述含氮還原氣體之流量以二倍以上十倍以下的流 量導入前述輔助還原氣體爲特徵之障壁膜之製造方法。 申請專利範圍第6項記載之發明,爲申請專利範圍第 2項之障壁膜之製造方法,以同時導入前述輔助還原氣體 及前述含氮還原氣體與前述原料氣體之際,對具有前述高 熔點金屬之原料氣體之流量,以一倍以上十五倍以下的流 量導入前述輔助還原氣體之流量的障壁膜之製造方法。 申請專利範圍第7項記載之發明,爲申請專利範圍第 1項之障壁膜之製造方法,以在使前述高熔點金屬之氮化 物薄膜成長之際,導入不與前述高熔點金靥反應的稀釋氣 體及化學構造中具有氧原子之氣體,使前述真空氣圔氣之 壓力設成1 P a以上1 0 0 P a以下的範圍爲特徵之障壁 膜之製造方法。 申請專利範圍第8項記載之發明,爲障壁膜之製造方 法,於基板上形成高熔點金靥之氮化物薄膜的障壁膜之製 造方法,氬氣,氮氣,氬氣之中•於已混合一種以上的氣 體之電漿,或該電漿及電漿狀態之氫氣,氮氣之電漿內, 已曝露前述基板表面後,於基板表面形_成前述高熔點金靥 之氮化物薄膜爲特的障壁膜製造方法。 申請專利範圍第9項記載之發明,爲具有高熔點金屬 本紙張尺度適用中a國家標準(CNS)A4规格(210 X 297公釐) _1!1!11! — — — 3-11 !1 — „ ^ίν— (請先閱讀背面之注項再填寫本頁) A7 451357 B7___ 五、發明說明(5 ) 之氮化物薄膜的障壁膜,前述氮化物薄膜係前述高熔點金 靥之含有率被指較化學量論組成比大爲特徵之障壁膜。 申請專利範圍第1 0項記載之發明,爲具有於基板上 形成的高熔點金屣之氮化物薄膜,防止於該氮化物薄膜上 形成的配線薄膜中之金屬的擴散之障壁膜,前述氮化物膜 並不含有矽爲特徵之障壁膜》 本發明係如上述構成般,於真空氣圍氣中導入具有高 熔點金屬原子之原料氣及含氮之還原氣體,以含氮還原氣 體還原原料氣體並使析出高熔點金屬之氮化物,於真空氣 圍氣中導入不含氮原子之輔助還原氣體,作成亦可析出高 溶點金屬。 又,藉由將稀釋氣體及構造中有氧氣等氧原子之氣體 與原料氣體同時導入,與不導入構造中有氧原子之氣體的 情形比較,可提高障蔽性,可形成比電阻更低的氮化膜》 使在低溫析出高熔點金饜之氮化物的情形,氮化物薄 膜中的高熔點金靥不足,惟因以輔助還原氣體析出的高熔 點金靨原子補充不足分,故所得的氮化物薄膜,係高熔點 金屬之含有率亦較化學量論的組成或化學量論的組成比成 爲較大的組成比。 金屬之析出量對氮化物之析出量係較少即可,惟與含 氮化還原氣體之反應性相比,輔助還原氣體之反應性較低 ,故若與析出量相比時,則有導入較多量的必要。 另一方面,輔助還原氣體之導入量若過多時.,則高熔 點金屬之含有率變成過大,亦較氮化物薄膜會接近高熔點 (請先閱讀背面之沒意事項再填寫本頁) 裝 i I l· I I — I 訂·! - ^#lv— 經^部智慧財產局員工消费合作社印製 本纸張尺度適用中B因家標準(CNS)A4规格<210 X 297公羞) -8- 451357 A7 ___B7______ 五、發明說明(6 ) 金屬之特性6又,使用已含有S i之輔助還原氣體之情形 ,有氮化物薄膜中的S i之含有率會上升的問題。因此,. 含氮還原氣體及輔助還原氣體,稀釋氣體,構造中具有氧 原子之氣體之導入量則有適當的範圔。 例如,將氨氣(含氮還原氣.體)對六氟化錫氣體(原 料氣體)之導入量設定成1 . 0倍,2 · 6倍’ 5 . 0倍 時,可使矽烷氧體(輔助還原氣體)對氨氣之導入量變化 〇 其結果示於第5圖之圖形。橫軸係將氨氣之導入量設 成1 . 0時的矽烷氧體之導入量,縱軸則表示已予形成的 氮化鎢薄膜之比電阻。 由此圖形得知,含氮還原氣體之導入量,對原料氣體 之導入量在1倍以上,輔助還原氣體之導入量,對含氮還 原氣體之導入量在2倍以上10倍以下之範圍爲佳。 又,障壁膜之實用的比電阻爲約2 0 0〜3 0 0 w Ω cm,故由此圖形得知對原料氣體之流量,以1倍以上 5倍以下的流量範圔導入含氮還原氣體,對含氮還原氣體 之流量以2倍以上5倍以下之流童範圍導入輔助還原氣體 即可》 第6圖係在形成高熔點金屬氮化物之際,表示出改變 原料氣體及輔助還原氣體之流量比的情形之各自的高熔點 金屬氮化物所含的元素之含有率者。在此使用WF 6作爲原 料氣體,使用S i H4作爲輔助還原氣體。又,在此氧氣係 以1 . 5sccm導入著。 {請先闓讀背面之注意事項再填寫本頁) 裝 *11!1_ 訂 *!1
n I 經濟部智慧財產局員工消费合作社印製 本纸張尺度適用中思國家棵準(CNS)A4規格(210 X 297公釐) -9- 經濟部智慧財產局員工消费合作社印製 45ί357 Α7 _;____Β7五、發明說明(7 ) * S i H4/WF6之値若成爲1 5以上時,則由此圖形 可得知於高熔點金屬氮化物(在此爲WN)中含有Si » 又,S iH4/WF6之値在1倍以上1 5倍以下,則顯示 出形成有Wx N膜。 第7圖爲由本發明以4 0 Ot:之成膜溫度形成的氮化 鎢薄膜之奧格(Auger )分光分析結果。橫軸之濺鍍時間係 表示由表面算起的深度。可得知大量含有鎢(對氮原子1 ,有鎢原子約4.0),有輔助還原氣體導入之效果。 大氣中取出時因障壁膜受氧化,故可於表面上觀察有 氧氣。膜中雖可觀察矽之存在,惟在測定界限下,係測定 誤差。 如此若將較化學量論的組成,以可維持障壁膜之範圍 增大氮化物薄膜中的高熔點金颶之含有率時,可降低比電 阻。 在CMP製程方面,氮化物薄膜及基板表面間之較高 的附著性雖可予求出,惟在形成障壁膜之前*以氬氣,氮 氣,氬氣之中一種以上的氣體之電漿,或已混合前述電漿 及電漿狀態之氫,氮的電漿淸淨基板表面時,則於其表面 上形成的氮化物薄膜,以膠帶試驗(taping test )即使對每 1 cm. 2施加1 kg之負載亦不剝除,可得以CMP製程可 使用的附著性。 又,若於氮化物薄膜中含有矽時*則鎢等高熔點金靥 及矽在高溫反應,使矽化鎢等矽化合物生成,比電阻會增 大。本發明之氮化物薄膜,因不含有矽,故不生成矽化合 — — — —— — — It — I I I 1 I I 111111 ^^ΖΕν· <請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中0 a家標準(CNS)A4规格(210 X 297公釐) -10- A7 B7 451357 五、發明說明(8) 物,比電阻以較小値安定著β 供比較用,於第8圖*表示出利用習知技術之C VD 法,在4 0 Ot的成膜溫度形成的氮化鎢薄膜之奧格分光 分析結果。對氮原子1,鎢原子爲約1 . 7,鎢原子則變 小,比電阻亦在1 0 0 0 // Ω c m以上而成高電阻。 對在形成高熔點金羼之氮化物薄膜時之壓力範圍,以 IPa以上l〇〇〇〇Pa以下較適當,較宜爲IPa以 上1 0 0 P a以上即可》 發明之奮施形龍 採用圖面說明本發明之實施形態。 第1圖(a)〜(d)爲表示本發明之一實施形態之 工程圖。 第1圖(a )之圖號2 0係表示處理對象物之基板。 該基板2 0係具有由矽單晶而成的半導體基板2 1,於其 表面上形成有基底膜2 2及由氧化矽而成的絕緣膜2 3。 於基底及靡緣膜2 3方面,於底面3 2上形成有半 導體基板2 1 #1"經予露出的孔3 1。 於此基板2 0表面上形成障壁膜。 參閱第4圖,圖號5 0係表示可實施本發明之CVD 裝置。此CVD裝置50係具有真空槽51,於該真空槽 5 1內連接有未交圖示的搬出入室於真空槽5 1之底面 側配置有基板支持具5 3,於天花板側則配置有電極5 5 本紙張尺度適用中困國家镖準(CNS)A4規格(210 * 297公蹵) ^ΛΙ—r -51— — — — — —— · I I I I Γ I allllllf— {請先Μ讀背面之注f項存瓖寫本W ) 經濟部智慧財產局員工消费合作社印製 經濟部智慧財產局員工消费合作社印製 4 Si357 A7 __B7_五、發明說明(9 ) 在此CVD裝置5 0,於基板2 0上形成有障壁膜之 情形,首先,將基板2 0搬入搬出入室內,使搬出入室及 真空槽5 1內成爲真空氣圍氣後,開啓真空槽5 1及搬出 入室之間之閘閥5 2,將基板2 0搬入CVD裝置5 0內 〇 於基板支持具5 3上設有基板升降裝置5 4,使該基 板升降機構5 4動作,將經予搬入真空槽5 1內的基板載 置於基板支持具5 3上。第4圖係表示該狀態之基板。 其次,通電至基板支持具5 3內之加熱器,使基板 2 0升溫至3 0 CTC以上40 0 t以下的溫度。 於真空槽5 1內設有氣體導入系5 7,由該氣體導入 系5 7以指定流量將氬氣及氨氣導入真空槽5 1內,若於 基板支持具5 3及電極5 5之間施加高頻電壓時,則由氨 氣可生成電離狀態之氮及氬。此時,已電離的氬氣係成爲 稀釋氣體,則使該等已混合的電漿形成。 基板2 0表面之絕緣膜2 3係鄰近電極5 5而予對向 配置著•藉由經予生成的電漿,絕緣膜2 3表面,孔3 1 內之半導體基板2 1表面係予曝露於其混合電漿中,使已 附著的有機物分解(淸淨)。 在此的淸淨條件,爲氨氣流量7 5 s c c m,氬氣流 量24〇sccm,壓力4〇Pa,高頻電功100W» 進行約5 0秒之淸淨後,停止施加高頻_電壓,使電漿消滅 =且在此已採用氬氣(A r氣),惟亦可採用氨氣(N2) ,氦氣(He )取代氬氣,亦可採用該等混合氣》 (請先Μ讀背面之沒意事項再填寫本頁> 裝 ill· — — — ·訂 _!!
^1 .^1 ^1 I 本紙張尺度適用t國困家標準<CNS)A4規格<210 X 297公釐) -12- 4^1357 Α7 Β7 五、發明說明(1〇) 其次,改變上述氨氣流量及氬氣流量,同時加入氨氣 及氬氣,由氣體導入系5 7導入六氟化鎢氣體(WF6氣) 及矽烷氧體及氧氣。 氨氣之反應性與矽烷氧體比較係較高,故六氟化鎢氣 體成爲原料氣,氨氣成爲含氮還原氣,進行原料氣之還原. 反應。氨氣因具有氮,故藉由上述(1 )式之還原反應, 於絕緣膜2 3表面及孔3 1內之半導體基板2 1表面上析 出氮化鎢- 經予導入真空槽5 1內之矽烷氧體亦具有還原性,惟 氨氣相比,反應性較低*故成爲輔助性的還原氣體(輔助 還原氣體)。又,矽烷氧體係不具有氮原子,故以下式( 3 )之反應還原原料氣^,使析出金屬鎢》 WFs+3/2SiH4-* W + 3/2SiF4 + 3H2.........(3) 若析出金屬鎢時,則會爲成長中的氮化鎢薄膜所包圍 。因此,於鎢之氮化物薄膜之成長中,金屬鎢係予供給的 ,故在低溫成長的情形之鎢之不足分經予補償,已近似化 學量論組成之組成,或形成有亦較化學量論組成比大的高 熔點金屬之含有率的組成之障壁膜(氮化鎢薄膜)。 至於鎢之氮化物薄膜之成長條件之一例,有基板溫度 380 °C,原料氣體流量5 s c cm,含氮之還原氣體流 量3 s c cm,輔助還原氣體流量39 s c cm >氣氣流 量240 s c cm,氧氣導入貴1 _ 5 s c cm,壓力 (請先閲讀背面之注意事項再填窝本頁) 裝! —ί— 訂! - 經濟部智慧財產局員工消费合作社印製 本紙張尺度適用中國國家標準(CNSXA4規格(210 X 297公* ) •13- 4 經濟部智慧財產局具工消费合作社印紫 51357 A7 __B7_五、發明說明(11 ) 4 0 P a 〇 若對含氮還原氣體及輔助還原氣體之原料之還原反應 進行指定時間時,則如第1圖(b )之圖號2 4所示,於 絕緣膜2 3及半導體基板2 1表面上形成有鎢之氮化物薄 膜。 其次,停止含氮還原氣體之導入,若使輔助還原性氣 體之流量增加時,由於輔助還原性氣體使原料氣體還原, 析出金靥鎢》第1圖(c )之圖號2 5係表示已於氮化物 薄膜2 4表面上成長的金·屬鎢薄膜。 至於金屬鎢薄膜2 5之形成條件之一例,爲基板溫度 380 °C,原料氣體導入量20 s c cm,輔助還原氣體 導入量5 s c cm *稀釋氣體(氬氣)導入量 240 s c cm,壓力 4〇Pa.a 氮化物薄膜2 4係對銅具有高障壁性,惟若與高熔點 金屬相比,則比電阻較高》另一方面,金靥鎢薄膜2 5等 的高熔點金屬之薄膜對銅之障壁性雖低,但比電阻卻較氮 化物薄膜2 4非常小。 因此,如上述般以氮化物薄膜2 4爲障壁膜,使於其 上層合高熔點金屬薄膜時,'可維持對銅之高障壁性,可降 低比電阻値。 又,反之於高熔點金屬薄膜上亦可形成氮化物薄膜, 亦可形成氮化物薄膜單層。 、 以上述條件使成長鎢薄膜2 5 2 0〜3 0.秒後,將 基板2 0搬出至C VD裝置5 0之外部上’利用電鍍法或 111! I — — — — — * I I l· I I l· I 訂·11! (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格<210 X 297公釐> -14- 357 357 經濟部智慧財產局貝工消費合作社印製 Α7 __Β7__ 五、發明說明(12) 濺鍍法等,使於高熔點金牖薄膜2 5表面上成長銅薄膜。 第1圖(d)之圖號26係表示其銅薄膜。 形成銅薄膜2 6後,利用CMP法進行表面硏磨,若 硏磨去除絕緣膜2 3上之銅薄膜2 6及氮化物薄膜2 4與 金屬薄膜2 5時,於孔3 1或溝內可形成以銅薄膜2 6所 構成的配線膜2 7。其配線膜2 7及半導體基板2 1之間 ,與絕緣膜2 3之間存在氮化物薄膜2 4,形成銅未擴散 般》 其次,如第2圖(f),使基底膜41 *43與絕緣 膜42,44各交互配層合二層,如第2圖(g),將配 線膜2 7表面開口 *形成孔或溝。第2圖(g )之圖號 3 2係表示著溝或孔。於此溝或孔3 2底面露出著配線膜 2 7。 其次,將基板2 0搬入CVD裝置5 0內,以與第1 圖(b )所示的氮化物薄膜2 4相同的條件形成氮化物薄 膜(氮化鎢薄膜)。 第2圖(h )之圖號3 3係表示其氮化物薄膜*溝或 孔3 2內,與絕緣膜4 4及配線膜2 7表面係以氮化物薄 膜3 3遮蓋著。 其次,利用電鍍法或濺鍍法,如第3圖(i )所示般 使銅薄膜3 4成長時,則溝或孔3 2內係藉由銅薄膜3 4 予以塡充。 最後,若利用CMP法進行表面硏磨時,則藉由經予 塡充於溝或孔3 2內的銅薄膜3 4,如第3圖(j )所示 本紙張尺度適用中國國家標準(CNS>A4規格(210 * 297公釐) •15- <諳先《讀背面之注$項再填寫本買) 裝.! Γ II !訂_! -雙_
4S 357 經濟部智慧財產局負工消费合作社印製 A7 B7 五、發明說明(13) ,形成有配線膜3 5 » 於此配線膜3 5及絕緣膜42,44之間配置有障壁 膜3 3,故於絕緣膜42,44中形成銅未擴散著。 以上係於鎢,含氮還原氣體內採用氨氣。於輔助還原 氣體內採用矽烷氧體,說明形成鎢之氮化物薄膜的情形, 惟原料氣體方面除六氟化鎢氣體之外,可採用W ( C 0) 6 氣體。 又,採用鎢以外的高熔點金靥,形成該等的氮化物薄 膜作爲障壁膜的情形亦包含在本發明內》對採用鈦(T i )作爲高熔點金靥的情形,亦將T i F4或T i C i 4等鹵 化鈦氣體作成原料氣體,採用鉅(T a )於高熔點金屬的 情形’可TaC 等的_化钽氣體等作成原料氣體。又亦 可將Mo或Nb之鹵化物作成原料氣體。 於具有氮原子之含氮還原氣體,除NH3氣體之外可採 用N2H4氣體,NF3氣體,N2〇氣體等。 至於不具有氮原子之輔助還原氣體,除S i H4氣體之 外,可採用1^2氣體,S i2H6氣體,PH3氣體, B 2 Η 6氣體等。 又,.至於稀釋氣體亦可使用氬氣,氮氣,氦氣,或該 等的混合氣體。 上述的淸淨條件爲其一例·亦可以其他條件進行,至 於其一例,即使在氬氣流量lOOsccm,壓力1 .〇 P a,高頻電功1 5 0W,淸淨時間6 〇秒亦可獲得相同 效果。 本紙張尺度適用111國國家標準<CNS)A4規格(210 X 297公« ) I-----------ί ---llil· — ^Ν·ι1 —----- {請先閱讀背面之注意事項再填寫本頁) 4 6 ? 357 A7 經濟部智慧財產局貝工消费合作社印製 ____B7_五、發明說明(14 ) 又,以該等條件進行淸淨後,再於氬氣中追加氨氣亦 可進行淸淨。 發明之功效 利用C VD法,在5 0 〇°C以下,尤指在3 5 0 °C〜 4 5 0 °C之溫度範圍可形成低比電阻之障壁膜(高熔點金 屬之氮化物薄膜)。因此不會對層間絕緣膜構成損害。 又,以熱C VD法形成氮化物薄膜•故步驟遮覆率良 好。 圖式之簡單說明 第1圖(a )〜(e )爲表示供說明本發明方法而用 的步驟圖之前半。 第2圖(f )〜(h)爲表示供說明本發明方法而用 的步驟圖之中半。 第3圖(i )〜(j )爲表示供說明本發明方法而用 的步驟圖之後半。 第4圖爲可實施本發明方法之CVD裝置之一例。 第5圖爲表示利用本發明方法形成的氮化物薄膜之比 電阻與原料氣體,含氮還原氣體及輔助還原氣體之流量間 的關係圖。 第6圖爲表示利用本發明方法形成的氮化鎢薄膜之S i H4/WF6流量比的元素含有率之變化匾。 第7圖爲表示利用本發明方法形成的氮化鎢薄膜之深 -1 — — — — — — — — — -11 l· <請先閲讀背面之注意事項再填寫本頁》 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -17- A7 _B7_ 五、發明說明(15 ) 度方向的組成圖。 第8圖爲表示習知技術之氮化鎢之深度方向的組成圖 明 說 之 。 圖 ο \1/ 〇 2 膜膜 壁線 障配 板: 基 5 *·2 膜 一 薄 :· 物 5 化 3 氦, 1 7 ;2 3 , 3 膜 , 薄 4 屬 2 金 ΧΪ/.. . * — — — — — — — —---^fv iltrlIl· — ^N-lm----^fv. <請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -18- 本紙張尺度適用中國國家標準(CNS>A4規格(210 * 297公釐)

Claims (1)

  1. 5 3 A8B8C8D8 六、申請專利範圍 (锖先閱讀背面之注意事項再填寫本頁) 1 . 一種障壁膜之製造方法,其特徵在於真空氣圍氣 中配置基板,於前述真箜氣圍氣中導入化學構造中有高熔 點金屬之原料氣體,與具有氮原子,還原性含氮還原氣體 ,於前述真空氣圍氣中導入不具有氮原子之輔助還原性氣 體而成。 2 .如申請專利範圍第1項之障壁膜之製造方法,係 具有將前述輔助還原氣體與前述原料氣體及前述含氮氣體 同時導入前述真空氣圍氣中的步驟β 3 .如申請專利範圍第2項之障壁膜之製造方法,係 具有不導入前述輔肋還原氣體,將前述原料氣體及前述含 氮還原氣體導入前述真空氣圍氣中的步驟。 4. 如申請專利範圍第2項之障壁膜之製造方法,係 同時導入前述輔助還原氣體及前述含氮還原氣體與前述原 料氣體之際,對前述原料氣體之流量以一倍以上的流量導 入前述含氮還原氣體,對前述含氮還原氣體之流量以一倍 以上十倍以下的流量導入前述輔助還原氣體。 經濟部智慧財產局員工消费合作社印製 5. 如申請專利範圍第1項之障壁膜之製造方法,係 同時導入前述輔助還原氣體及前述含氮還原氣體與前述原 料氣體之際,對前述原料氣體之流量以一倍以上五倍以下 的流量導入前述含氮還原氣體*對前述含氮還原氣體之-流 量以二倍以上十倍以下的流量導入前述輔助還原氣體。 6 .如申請專利範圍第2項之障壁膜之製造方法,係 同時導入前述輔助還原氣體及前述含氮還原氣體與前述原 料氣體之際,對具有前述高熔點金靥之原料氣體之流量, 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) .\Q . 5 3 A8B8C8D8 六、申請專利範圍 以一倍以上十五倍以下的流量導入前述輔助還原氣體之流 量9 <請先閱讀背面之注意事項再填寫本頁> 7.如申請專利範圍第1項之障壁膜之製造方法’係 在前述高熔點金屬之氮化物薄膜成長之際,導入不與前述 高熔點金屬反應的稀釋氣體及化學構造中具有氧原子之氣 體,使前述真空氣圍氣之壓力設成1 P a以上1 0 0 P a 以下的範圍。 8 .—種障壁膜之製造方法,係於基板上形成高熔點 金屬之氮化物薄膜的障壁膜之製造方法,其特徵在於氬氣 ,氮氣,氬氣之中,於已混合一種以上的氣體之電漿,或 該電漿及電漿狀態之氫氣,氮氣之電漿內,已曝露前述基 板表面後,於基板表面形成前述高熔點金屬之氮化物薄膜 而成。 9 . 一種障壁膜,係具有高熔點金颶之氮化物薄膜之 障壁膜,其特徵在於前述氮化物薄膜係前述高熔點金屬之 含有率被指較化學量論組成比大。 1 0 種障壁膜,係具有於基板上形成的高熔點金 經濟部智慧財產局貝工消費合作杜印製 屬之氮化物薄膜,爲在防止於該氮化物薄膜上形成的配線 薄膜中之金屬的擴散之障壁膜,其特徵在於前述氮化物膜 並不含有矽。 本纸張尺度適用令國國家標準(CNS>A4规格(210 * 297公釐) .2〇 -
TW089102530A 1999-02-17 2000-02-15 Manufacturing method of barrier film and the barrier film TW451357B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP03804199A JP4009034B2 (ja) 1999-02-17 1999-02-17 バリア膜製造方法
JP19202699A JP3938450B2 (ja) 1999-07-06 1999-07-06 バリア膜製造方法

Publications (1)

Publication Number Publication Date
TW451357B true TW451357B (en) 2001-08-21

Family

ID=26377222

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089102530A TW451357B (en) 1999-02-17 2000-02-15 Manufacturing method of barrier film and the barrier film

Country Status (5)

Country Link
US (2) US6743718B1 (zh)
EP (1) EP1029943B1 (zh)
KR (1) KR100773280B1 (zh)
DE (1) DE60019660T2 (zh)
TW (1) TW451357B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1473761A1 (en) * 2003-05-02 2004-11-03 Air Products And Chemicals, Inc. Method for depositing metal films
US7311946B2 (en) 2003-05-02 2007-12-25 Air Products And Chemicals, Inc. Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes
US20050085084A1 (en) * 2003-10-16 2005-04-21 Chang Edward Y. Method of fabricating copper metallization on backside of gallium arsenide devices
JP2007165788A (ja) * 2005-12-16 2007-06-28 Tokyo Electron Ltd 金属系膜の脱炭素処理方法、成膜方法および半導体装置の製造方法
JP5145225B2 (ja) * 2006-07-14 2013-02-13 株式会社アルバック 半導体装置の製造方法
US20090032949A1 (en) * 2007-08-02 2009-02-05 Micron Technology, Inc. Method of depositing Tungsten using plasma-treated tungsten nitride
JP2009147137A (ja) * 2007-12-14 2009-07-02 Toshiba Corp 半導体装置およびその製造方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3522427A1 (de) * 1985-06-22 1986-02-20 Helmut Dipl Ing Fischer Titanoxinitridschicht fuer sensoranwendungen
US5196360A (en) * 1990-10-02 1993-03-23 Micron Technologies, Inc. Methods for inhibiting outgrowth of silicide in self-aligned silicide process
KR930011538B1 (ko) * 1991-07-16 1993-12-10 한국과학기술연구원 실리콘 반도체소자의 금속배선 형성용 텅스텐 질화박막 증착방법
DE69216747T2 (de) * 1991-10-07 1997-07-31 Sumitomo Metal Ind Verfahren zur Bildung eines dünnen Films
US5635763A (en) * 1993-03-22 1997-06-03 Sanyo Electric Co., Ltd. Semiconductor device having cap-metal layer
JP3328358B2 (ja) * 1993-03-26 2002-09-24 川崎マイクロエレクトロニクス株式会社 半導体装置の製造方法
US6251758B1 (en) * 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
EP0982772B1 (en) * 1994-11-30 2003-06-25 Micron Technology, Inc. A semiconductor non-planar storage capacitor
US5780908A (en) * 1995-05-09 1998-07-14 Matsushita Electric Industrial Co., Ltd. Semiconductor apparatus with tungstein nitride
US5686761A (en) * 1995-06-06 1997-11-11 Advanced Micro Devices, Inc. Production worthy interconnect process for deep sub-half micrometer back-end-of-line technology
US5736192A (en) * 1995-07-05 1998-04-07 Fujitsu Limited Embedded electroconductive layer and method for formation thereof
US5923999A (en) * 1996-10-29 1999-07-13 International Business Machines Corporation Method of controlling dopant diffusion and metal contamination in thin polycide gate conductor of mosfet device
TW365685B (en) * 1996-10-31 1999-08-01 Texas Instruments Inc Low-temperature processes for depositing barrier films containing tungsten and nitrogen
JP3220034B2 (ja) * 1996-12-26 2001-10-22 株式会社東芝 半導体装置及びその製造方法
JP2930102B2 (ja) * 1997-01-31 1999-08-03 日本電気株式会社 半導体装置用配線構造及びその製造方法
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6124635A (en) * 1997-03-21 2000-09-26 Honda Giken Kogyo Kabushiki Kaisha Functionally gradient integrated metal-ceramic member and semiconductor circuit substrate application thereof
US5919531A (en) * 1997-03-26 1999-07-06 Gelest, Inc. Tantalum and tantalum-based films and methods of making the same
US5935648A (en) * 1997-03-28 1999-08-10 The United States Of America As Represented By The Secretary Of The Air Force High surface area molybdenum nitride electrodes
US5893731A (en) * 1997-05-23 1999-04-13 Industrial Technology Research Institute Method for fabricating low cost integrated resistor capacitor combinations
US5910880A (en) * 1997-08-20 1999-06-08 Micron Technology, Inc. Semiconductor circuit components and capacitors
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US20010046021A1 (en) * 1997-08-28 2001-11-29 Takeshi Kozuka A conductive particle to conductively bond conductive members to each other, an anisotropic adhesive containing the conductive particle, a liquid crystal display device using the anisotropic conductive adhesive, a method for manufacturing the liquid crystal display device
US5990011A (en) * 1997-09-18 1999-11-23 Micron Technology, Inc. Titanium aluminum alloy wetting layer for improved aluminum filling of damescene trenches
US6235632B1 (en) * 1998-01-13 2001-05-22 Advanced Micro Devices, Inc. Tungsten plug formation
US6147405A (en) * 1998-02-19 2000-11-14 Micron Technology, Inc. Asymmetric, double-sided self-aligned silicide and method of forming the same
US6025259A (en) * 1998-07-02 2000-02-15 Advanced Micro Devices, Inc. Dual damascene process using high selectivity boundary layers
US5985753A (en) * 1998-08-19 1999-11-16 Advanced Micro Devices, Inc. Method to manufacture dual damascene using a phantom implant mask
US6495200B1 (en) * 1998-12-07 2002-12-17 Chartered Semiconductor Manufacturing Ltd. Method to deposit a seeding layer for electroless copper plating
US6093638A (en) * 1998-12-10 2000-07-25 Texas Instruments Incorporated Method of forming an electrical contact in a substrate
US6140231A (en) * 1999-02-12 2000-10-31 Taiwan Semiconductor Manufacturing Company Robust diffusion barrier for Cu metallization
WO2000047404A1 (en) * 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6342417B2 (en) * 1999-02-16 2002-01-29 Micron Technology, Inc. Methods of forming materials comprising tungsten and nitrogen
US6399479B1 (en) * 1999-08-30 2002-06-04 Applied Materials, Inc. Processes to improve electroplating fill
US6635570B1 (en) * 1999-09-30 2003-10-21 Carl J. Galewski PECVD and CVD processes for WNx deposition
US6503375B1 (en) * 2000-02-11 2003-01-07 Applied Materials, Inc Electroplating apparatus using a perforated phosphorus doped consumable anode

Also Published As

Publication number Publication date
KR100773280B1 (ko) 2007-11-05
EP1029943B1 (en) 2005-04-27
DE60019660T2 (de) 2006-01-19
KR20000076639A (ko) 2000-12-26
EP1029943A1 (en) 2000-08-23
US20020123215A1 (en) 2002-09-05
US6743718B1 (en) 2004-06-01
DE60019660D1 (de) 2005-06-02

Similar Documents

Publication Publication Date Title
US10910263B2 (en) Doping control of metal nitride films
Leskelä et al. Atomic layer deposition (ALD): from precursors to thin film structures
TWI383426B (zh) A method for forming a barrier film and a method for forming an electrode film
US7521379B2 (en) Deposition and densification process for titanium nitride barrier layers
JP5210482B2 (ja) 化学吸着技術を用いるホウ化物バリア層の形成
KR102189781B1 (ko) 망간 및 망간 니트라이드들의 증착 방법들
US20070054046A1 (en) Method of forming a tantalum-containing layer from a metalorganic precursor
US20100151676A1 (en) Densification process for titanium nitride layer for submicron applications
TW201820539A (zh) 用於銅阻障層應用之摻雜的氮化鉭
US20120237693A1 (en) In-situ clean process for metal deposition chambers
JP4570704B2 (ja) バリア膜製造方法
TW451357B (en) Manufacturing method of barrier film and the barrier film
KR102017944B1 (ko) 니켈 배선의 제조 방법
JP4931171B2 (ja) タンタル窒化物膜の形成方法
JP3938450B2 (ja) バリア膜製造方法
JP4931170B2 (ja) タンタル窒化物膜の形成方法
JP4931169B2 (ja) タンタル窒化物膜の形成方法
JP4009034B2 (ja) バリア膜製造方法
TWI515326B (zh) Film forming method and plasma film forming device
JP4608530B2 (ja) バリア膜製造方法
JP2007251211A (ja) バリア膜製造方法、及びバリア膜
JP2006278920A (ja) 半導体装置の製造方法
Kaloyerosº Low-temperature chemical vapor deposition of tantalum nitride
Sivaram CVD of Conductors

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent