TW208745B - - Google Patents

Download PDF

Info

Publication number
TW208745B
TW208745B TW081100038A TW81100038A TW208745B TW 208745 B TW208745 B TW 208745B TW 081100038 A TW081100038 A TW 081100038A TW 81100038 A TW81100038 A TW 81100038A TW 208745 B TW208745 B TW 208745B
Authority
TW
Taiwan
Prior art keywords
signal
tracking
output
quotient
path
Prior art date
Application number
TW081100038A
Other languages
English (en)
Original Assignee
Hal Comp Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hal Comp Systems Inc filed Critical Hal Comp Systems Inc
Application granted granted Critical
Publication of TW208745B publication Critical patent/TW208745B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/52Multiplying; Dividing
    • G06F7/535Dividing only
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/35Delay-insensitive circuit design, e.g. asynchronous or self-timed
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/52Multiplying; Dividing
    • G06F7/535Dividing only
    • G06F7/537Reduction of the number of iteration steps or stages, e.g. using the Sweeny-Robertson-Tocher [SRT] algorithm
    • G06F7/5375Non restoring calculation, where each digit is either negative, zero or positive, e.g. SRT
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/08Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices
    • H03K19/094Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices using field-effect transistors
    • H03K19/0944Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices using field-effect transistors using MOSFET or insulated gate field-effect transistors, i.e. IGFET
    • H03K19/0948Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices using field-effect transistors using MOSFET or insulated gate field-effect transistors, i.e. IGFET using CMOS or complementary insulated gate field-effect transistors
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/08Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices
    • H03K19/094Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices using field-effect transistors
    • H03K19/096Synchronous circuits, i.e. using clock signals
    • H03K19/0966Self-timed logic

Description

經濟部中央標準局員工消费合作社印製 五、發明説明(1 ) 本發明.有關數位電子電路,尤指自身定時之電路,包 括重複除法算術。本發明設計稱為A零固定負擔自身定時 重複邏輯〃簡稱ZOST I L "。 任何条統之定時特性可用兩種方法之一加以判斷:即 潛伏或推送量。自輸入至輸出之延遲即稱為潛伏,實務上 此種延遲越小越好。若条統一次進行數個計算,則連缠兩 輸入之最小延遲可決定其推送量,即条統計算可接受之最 大資料率。此兩種方法之特性評比端視其通過所需功能組 合邏輯之原傳播延遲加上其他固定負擔延遲之和而定。 理論上,最快之電路糸將所有固定延遲消除而僅有原 組合邏輯電路延遲。本發明之新穎性在將管線中之涫伏固 定負擔降低為零。故ZOSTIL産生之新穎功能不需大 型昂貴之全組合陣列即可使其潛伏達到理論上之下限。傳 統同步電路設計俗將資料儲存與組合邏輯分離,即儲存像 由插置於組合邏輯陣列中之明確閂鎖提供。此種設計至少 有四種增加電路潛伏之固定負擔源:1)通過閂鎖之傳播 延遲;2)加入時鐘歪斜之餘裕;3)糸統中快速级所浪 費之時間;4 )最大之資料相關延遲;及5 )假設最壞之 另件定時。 潛伏延遲之第一源係因閂鎖設立時間及傳播延遲所引 起。同步電路最小周期傜指閂鎖設立時間,閂鎖延遲,及 最大組合邏輯延遲之和。ZOST I L第一個新穎性偽在 一起消除明確閂鎖之固定負擔並在CMO S罩式鐽之各级 輸出使用''自由"半閂鎖。 本紙張尺度通用中國國家標準(CNS)甲4规格(210 X 297公釐) (請先Μ讀背面之注意事項再填S本頁) 丨裝· 訂· -3 - Λ 6 η 6 五、發明説明(2) 潛伏負擔之第一源係因需分配時鐘至条統之所有閂鎖 。交連之各級必須符合時鐘緣發生之時間,但線或驅動器 延遲造成之時鐘歪斜必須在整個時鐘周期加上一些餘裕作 為補償。此種餘裕之加入亦為固定負擔的一種。以前之非 同步技術利用連繫交換塊消除全盤時鐘,使交連資料確認 所引起之額外負擔成為當地性而全盤性。但此種先前技蓊 包括明確閂鎖,故仍有閂鎖傳播延娌之潛伏負擔。先前技 越亦因連繫交換通輯内之前導路徑而增加一些負擔。第二 種ZO S T I L新穎性係確保所有控制路徑與前向評估成 並聯操作而非順序加入路徑。 第三種潛伏負擔傜因閂鎖間工作段的匹不良。由於時 鐘周期之時間量係固定,故須設定等於条統不同工作段中 最長之傳播延遲。其設定最大值與工作段所用之實際時間 的差即為負擔。一自身定時之資料流通並不會浪費此種時 ,因為其資料流通像根據資料驅動之當地控制而非等待時 鐘緣。雖然管線推送置仍受制於其最慢之级,但使各级儘 快進行亦可改善其潛伏。 經濟部十央梂苹杓W工消伢合作社卬51 (請先閲讀背面之注意事項再塡寫本頁) 第四個潛伏負擔來源係來自同步邏輯的關鍵路徑決定 ,其決定乃根據最壞情況之資料值。若方差大,則由於最 大與平均值之間的差異而造成大量的性能損失。同步設計 者想藉電晶體尺寸調整來等化通輯體之各種路徑,但在自 身定時条統中偽要使延遲機率期望值減至最小而非減少最 大延遲。本發明第三新性你利用任何公知之機率分佈分配 各邏輯塊輸入以便將電晶體尺寸容納於使該塊使總延遲期 本紙張尺度边用中a a家標準(CNS)甲4規格(210X297公龙) -4 - Λ 6 Β 6 五、發明説明(3) 望值減至最小。 第五潛伏負擔係因以減額定來確定溫度與電壓範圍内 之性能穩定。同步条統設計必須常以保守之減額定a最壊 情況"為規格以配合条統在環境極限下工作。但在實際情 況並非在極限的情況下,實際設計特性與可用特性即被浪 費掉。自身定時另件通常可在現有情況下工作於最大速度 ,完成後立即輸出。所提供之完成指示可讓封閉糸統儘快 利用到其輸出而需經常等待最壞情況。 若A表示偶一單調信號,以兩> 子信號〃A 0與A ^表 示加编碼:若兩線在相同邏輯狀態,譬如低位,則信號A 尚未評估;若六°或八|有一改變狀態,表示信號A已完成 評估,註明兩線何者改即可測定A狀態。例如,若A °與 A 2有二進值'' 0 〃,則表A值尚未測定;若A 2轉移成'' 經濟部十央標準XJn工消赀合作社印5i (請先閱讀背面之注意事項再填寫本頁) r 1 〃則A值為“ 1 ",而當A 轉移為> 1 〃時,則A為 a 0 "。此對線即稱為偶一單調對,因為評估時之線轉移 必須單調進行。此種轉移為互斥性,任一線皆可表示評估 完成,並供其他電路使用。本發明中,> Λ/'表示邏輯反 轉;每一半偶一單調信號以|或°註明。 單調信號可由CMOS罩式邏輯産生,各信號可作為 三個功能項之一 :1)預置或重置,2>邏輯評估,或3 )資料儲存。此三項如第1圏示,其中有兩輸入偁一單諏 及閘和其波形。在重置相時,作用低位預置信號P"有作 用,而A及B個信必須不作用,使預置節點X*與為高 位,輸出為低位。在邏輯評估相中,八^或八1及 本紙張尺度通用中國困家榇準(CNS) Ή規格(210x297公龙) -5 - Λ 6 Π6 五、發明説明(4) 將單調轉移為高位。皆轉移為高位,而及閘 輸出單調轉移為高位,且若A°或8°有一作用,則 將輸出高位。在資料儲存相中,A及B信號被迫成低位, 而P*仍不作用,如此使預置節點X*與Y*不驅動,電容 使其作用如記憶元件使輸出(^2與^°仍保持在邏輯評估相 之狀態。因此,反罩式级包括一a自由〃半閂鎖,因為並 不需要額外之電晶體及額外之埋輯延遲來儲存資料。 CMO S罩式邏輯僅使用於兩相:預置與邏輯評估。 本發明利用第三相儲存資料,即可將罩式遷輯閘串聯成管 線而不牽涉閂鋇。此粂統之輸入在邐輯評估相中必須為绝 對單調轉移,而預置信號在僅有預置相中必須有作用。另 外,管線糸統可將其輸出饋回至輸入而形成一重複結構。 此種回餓管線可視為a環路"或a環〃邏輯用以循琛資料 直至整個計算完成。
經濟部十央櫺準,-工消费合作社印S'.R (請先閲讀背面之注意事項再填寫木頁) 新穎使用之預置暫時儲存可省略明確閂鋇。各罩式级 提供自由半閂鎖操作。重置控制邏輯之操作完全與評估功 能平行進行。各重置控制之完成偵測邏輯觀察下個功能輸 出以測定何時這些輸出可全部完成評估,然後指令其本身 功能塊自資料儲存相移至預置相,驅動其所有輸出成重置 狀態。當下個功能塊接鑛成為重置,重置控制閬閉其功能 塊之預置信號,使其在下傾資料到達時備資料評估相。編 碼資料成偶一單調對即無需前向連繫交換並可將控制埋輯 自電路重要路徑除去。此新穎方法與第一新穎方法即無須 使用明確閂鎖,産生真正的零負擔並減少涫伏延遲路徑之 本紙5fc尺度逍用中國围家標iMCNS) T4規格(210x297公釐) -6 -
五、發明説明(5 ) 管線邏輯。. A6 B6 Z〇ST I L技術包括組合免閂鎖電路及並聯重置控 制i重複構造或、、環",這對重複演算之數學蓮算尤為重要 。例如:乘、除、開方、正弦、與餘弦。 ZOST I L電路功效很強,因為控制邏輯與延遲無 胃,EP與電路元件延遲無關。因此,計算有關延遲時不須 疑邏輯準確性或条統功能,僅需作特性預估。比起同 #技術需繁複計算單级邏輯延遲以確保計算準確性而言, 須一時鐘周期即可施行完成。同步電路不當的延遲預估 常導致不正確的結果。 除法算術以連續測定最高有效位元(M S Β )之商位 元而産生商數。由於商數須用來計算下値部分餘數並測定 下一商位元,故除法本身即為一順序程序,因此 Ζ 0 S Τ I L之管線環很適用於除法算術。另一有關除法 之新穎性傺重疊並互鎖各级以便平行進行兩餘數之計算。 此種計算修改一公知之S R Τ除法以平行進行數個小餘數 計算並在測定前级商位元時選擇正確餘數。此種新穎性比 先前算術對整個潛伏改善了兩個因數。 本發明可由下列圖示説明而更加瞭解其中: 第1圖:自身定時堇式邏輯AND間。這是以 CMOS製成的兩輸入雙單調自身定時AND閘; 第2圖:預置功能方塊。這是一個線性管狀式的預置 功能方塊,包括一完成偵測邏輯可重置功能塊; 第3圖:資料路徑結合。可供控制重置邏輯結合兩自 本紙張又度適用中國國家標準(CNS)甲4規格(210 X 297公货) (請先閲讀背面之注意事項再場寫本頁) 丁 % 經濟部中央標準局員工消費合作社印?,衣 _ 7
A6 B6 經濟部中央標準局員工消费合作社印製 五、發明説明(6 ) 身定時管線; 第4圖:眘料路徑分離n可供控制重置邏輯分離兩自 身定時管線; 第5圖:改善預期之總延遲n改變其功能而不改變其 電路拓璞即可改善預期電路特性; 第6圖:四级自身定時邏輯環。為預置功能塊之四级 管線環; 第7圖:四鈒自身定時邏輯環關傜圖a為圖6之關係 圖; 第8圖:一般基數2的S R T除法之順序眘料流诵。 此為一级中之S RT除法算術的資料流通;及 第Θ圖:甚數2的S R T除法^級内重覆埶行。此為 說明改良之S R T除法。 非同步電路可避免同步電路之潛伏負擔,即一起傳送 資料及完成狀態。各處理元件在資料到達時即可加以處理 無須等待每一閂鎖之全盤時鐘再同步。 先前非同步邏輯使用明確閂鎖儲存中間結果,而此種 閂鎖會引入額外之傳播延遲於電路之重要之路徑上,而且 對計算並無幫助。本發明第一新穎性即在利用C Μ 0 S完 全去除明確閂鎖,即自由半閂鎖。此種方法之預置功能塊 控制須在確定功能塊輸出已為所有接續级使用到才能重置 功能塊以免損壞資料。為了偵測接續功能塊何時完成使用 資料須建立一完成偵測器。 一簡單或閘連接於每一偶一單調對之輸出以提供每一 (請先Η讀背面之注意事項再塡寫本頁) 丨裝_ 訂 --線. 本紙張又度通用中國國家標準(CNS)甲4規格(210 X 297公货) -8 - 經濟部屮央標準::工消费合作杜印ft'14 Λ 6 11 6 五、發明説明(7) 信號輸出之完成之指示器。當所有之資料輸出已個別完成 計镎即為該级已完成計算。稱為C一元件之末閘樹可用來 作為完成偵測器以測定資料路徑中所改變之位元。各C 一 元件之特質在當其輸入與上次相同時則其輸出等於輸入。 C一元件樹輸出在所有輸入完成後即指示完成,而所有输 入重置後,輸出則指示重。 一當完成信號産生,則必須用來提供適當之預置塊的 重置。先前之前向與後向連繫交換皆須自身定時電路,但 在本發明第二新穎性中則在偶一單調對中嵌入前向資料之 完成指示而免除前向連繫交換。另外,後向連繫交換設計 可不影锻前向重要路徑,例如一簡單之單向資粁流通係一 後向指定之反相器的順序控制,如圖2示。在結合與分離 的資料路徑中,其控制如圖3與4。這些控制電路没有一 個在前向流通資料之直接路徑中,故不增加前向流通資料 波的潛伏。控制邏輯在下波資料來之前重置各功能塊,使 其再次評估輸出。只要資料波分開,各資料波之傳播涫伏 僅等於單純之組合延遲而無任何額外負擔。 若一問題須重複執行邏輯功能,則更需建立一預置功 能塊環,功能塊可一樣也可執行不同功能。琛級間之資料 路徑寬度無須為一定;資料在自身定時環之速度與大型組 合陣列相同,但電路矽區則為大量縮減。其實際類比如罩 式圈,此種技巧在使落罩波以相同速度連續嬈圈進行如同 其在一長排之罩中進行一樣,並在其接績者落下後支持各 罩備用。 本紙張尺度边用中國國家標準(CNS)甲4規tM2!OX2i)7公釐) (請先閲讀背面之注意事項再塡寫本頁)
9 - Αΐιηοοπ 修正補充 號專利申請栗中文説明書修正頁 民國82年4月修正 經濟部中央標準局®:工消費合作社印製 五、發明説明(8 ) 通常線.性管線僳以级之推送量作為判斷;但當级連接 成環以解決單一重複問題時,其解決問題所需時間與各级 潛伏有關。故對環级而言低潛伏比推送量重要。零負撸控 制遇輯可降低功能塊組合延遲至極低之潛伏低限。 ZOST I L之技術使電路工作正確並與各塊實際延 遲無關。此種設計之優點在延遲改變並不影遒電路之邏輯 蓮作;但實際延遲可決定整個性能及相對延遲可決定那一 電路為限制或重要路徑。、、零負擔〃之設計即在確使其性 能僅受限於包含所需組合邏輯功能塊的限制,故標稱相對 延遲重要路徑並不通過任何控制塊。若性能分析顯示並未 逹到零負擔,仍可修改各级電路圖直至負擔潛伏為零。 為了確保設計並無因控制引起之負擔,以第6與7圖 之相關圖示與環說明,資料流通於環中之重要周期時傜圖 示中最長之周期路徑。此圖實為一Petri —網路,其規則 相同;當所有前行者已標記後則標記一節點。 由於自身定時電路附有資料與完成信號,故接續之資 料一到達即可計算,並不要求相同之處理時,但延遲之總 期望值必須為最小。在資料值分佈為相等機率時,其期望 值在平均值最小時當亦為最小,而此資訊可用來使總期望 值減少至比平均之總資料值延遲為佳。 平均使用較高之路可減少其所含邏輯塊數或加寬電晶 體使塊更快,例如第5圖中,若使多工器兩臂反相,即將 一反相器自一已知較常選擇之臂除掉,甚至在另一臂增加 一反相器,可改延遲之期望值。同樣,若有些塊輸出必須 (請先閲讀背面之注意事項再場寫本頁) -裝- 訂. -丨線, 本紙張尺度迺用中國國家標準(CNS>甲4规格(210 X 297公發) -10 - Λ 6 ___ 五、發明説明(9) 載入兩不同路徑開始之電晶體,將不常選擇路徑之電晶龌 弄窄會使該路徑變慢,但由於輸出節點所在之常選路徑負 載減輕而使整個期望之延遲獲得改善。 施行除時需自MS B開始選擇商數位直L S B位元。 商數位的決定為毎一重複之一部分,重複像根據前一部分 餘數及商數位再計算下個部分餘數。在每一重複中,部分 餘數向左移動一使用數位之基數,或r。每一重複施行如 下: R44i rRA - 0¾ 其中Ri為i级輸出之部分餘數,Γ僳基數,qi偽由级決 定之商位元,D係除數,順序由rRi =被除數開始。 經游部十央標率乃:^工消"合作社卬51 (請先閱讀背面之注意事項再填寫本頁) 在一般除法中,商數Qi傜在組(〇, . · . · r — 1}中,由於商之各數位位置僅有一正確表示,故完全之 商亦只有一個有效的表示。但測定各位置之正確數位須比 較確實之部分餘數,即全部的部分餘數必須在決定各商數 位之前先計算出來。此計算須有一完全進位傳播減法以便 在選出商數位之前産生一部分餘數。 S RT除法算術主要理念是避免在每一重複中包括正 負整數之冗餘有效商數位組(P, · · ·,〇,· . ·, P}的完全進位傳播。商數位範圍必須有 ~ ί ρ ί χ~1 本紙張尺度边用中國國家楳準(CNS) T4規格(210x297公龙) -11 - Λ 6 Η 6 五、發明説明(1¾ 由於有冗餘商數位組,最後之商有數種不同的表示方法, 可供各位置之商數位的選擇。任何有效之表示皆可由位置 加權正商數減去位置加權負商數而轉換成所需之非冗餘表 示。此種減法需要進位傳播,但整個除法僅須施行一次無 須每一级都作一次。另外,在積體浮點晶Η中,此種全長 進位傳播蓮算可將所得之商送至晶片分離部分施行快速往 前進位之加法。 由於SRT除法之商數組包含正負數位,某一位置之 商選擇通輯僅須使用除數及部分餘數的近似值。因為小誤 差可在後级以相反符號之最低有效商數位修正,僅須檢査 少數部分餘數之最高有效位元。 最簡單之SRT除法條使用基數為2,僅有三個商數 位: +1, 0, —1。僅須檢視各级餘數最上方四位元以 便修正商數位選擇。此種算術各级一般順序資料流如第8 圖示。在個別施行中,較高基數如r =4及r = 16亦常 使用到。 經濟部十央榣準沿Π工消赀合作社卬51 (請先閱讀背面之注意事項再塡寫本頁) 丁 由於SRT除法數值本質,其商數位之機率分配並非 均等。在基數為2的情況,三個商數位之機率為42%, 3 5%,及2 3% ;有4%的時間甚至可預測兩値商數位 。卽使是均等分配輸入蓮算數,内部部分餘數之符號位元 有77%之機率動作。這些統計可用來加速較常用之電路 路徑,即自身定時具有改良之優點。
以下說明本發明级内重叠執行之新穎性,以往SRT
本紙張尺度边用中a a家標準(CNS)甲4規格(210x297公;iH -12 - Λ 6 Η 6 五、發明説明(li 除法算術一直被認為是純順序性,而本發明各级之算術步 驟以重叠方式使其具有增加之平行性而更快,如第9圖示 。尤其是各级餘數以部分4一位元的進位一儲存及進位一 傳播加法器排列可與前一商數位選擇及级本身除數倍數多 工器及5 4 —位元進位一儲存加法器平行作業。部分加法 器輸入之一即為前级之選擇除數倍數,此輸入須先取得選 擇商數位。但若部分加法器為平行蓮算則無法先取得商數 位;故本發明將各可能商數位複製至部分加法器,使其提 早平行計算,然後在獲得前级之商數位時即選擇其所得之 結果;由於其商數位有三個可能,因此需要每一可能性之 路徑。但由於商數位中有一為零,故僅需兩部分進位一儲 存加法器。本發明利用级内重叠執行可使主要延遲之進位 一傳播加法器同時進行連缠兩鈒之執行,使各级延遲大約 改善1 / 2。 經濟部小央標準劝πχ消作合作社印5i (請先閱讀背面之注意事項再填寫木頁) 利用級内重叠執行之S R T除法可由自身定時各级順 序而與ZOST I L組合,各级資料流通如第9圖示,此 須利用第3與4圖之結合及接合結構。這些级中以四级琿 重複快速蓮算如同有限之大型組合式邏輯陣列各级之蓮算 Ο 本紙張尺度边用中a困家標準(CNS)甲4規格(210x297公釐) -13 -

Claims (1)

  1. 正 尤 A7 B7 C7 D7 六、申請專利範圍 (請先閲讀背面之注意事項再塡寫本頁) 第81100038號專利申請案 中文申請專利範圍修正本 民國82年4月修正 1 . 一種重複邏輯裝置用於計算信號並提供計算後的信號 ,其包含: 一第一輸出端; 一第一重定裝置,耦合至該第一輸出端,在重定相 位期間用於重定該第一輸出端;及 一第一計算裝置,耦合至該第一輸出端,在計算相位 期間用於計算至少一信號給該第一輸出端; 其中該笫一重定裝置及該第一計算裝置於儲存相位期 間是在本動作狀態中,且該第一輸出端於該儲存相位期間 保持並提供該計算後的信號。 2. 如申請專利範圍第1項之重複邏輯裝置,進一步 包含: 裝置,耦合至該第一輸出端,在該儲存相位期間用於 維持該計算後的信號在該第一輸出端。 烴濟部中央標準局貝工消费合作社印製 3. 如申請專利範圍第2項之重複邏輯裝置,其中該 第一計算重複邏輯裝置計算至少兩個雙單調(dual _ monotonic)信號之第一狀態。 4. 如申請專利範圍第3項之重複邏輯裝置,進一步 包含: 一第二輸出端; 第二重定裝置,耩合至該第二輸出端,在該重定相位 本紙張尺度適用中國國家標準(CNS)甲4规格(210 X 297公笼) 烴濟部中央標準局W工消费合作社印製 A7 B7 C7 D7 六、申請專利範圍 期間用於重定該第二輸出端; 及一第二計算裝置,耦合至該第二輸出端,在該計算 相位期間用於計算該至少兩個雙單調信號之該第二狀態給 該第二輸出端; >/ 其中該第二重定裝置及該第二計算裝置於該儲存相位 期間是在不動作狀態中,且該第二輸出端於該儲存相位期 間保持並提供該計算後的雙單調信號在該第二輸出端。 5. 如申請專利範圍第4項之重複邏輯裝置,進一步 包含:耦合至該第二輸出端的裝置,在該儲存相位期間用 於維持該計算後的信號在該第二輸出端。 6. 如申請專利範圍第5項之重複邏輯裝置,其中該 第一計算裝置包括至少兩個電晶體裝置,該兩値電晶體裝 置之兩値控制端接收兩個雙單調信號之該第一狀態,且其 中該兩個電晶體裝置是被串聯地連接至該第一控制端;且 其中該第二計算裝置包括至少兩値電晶體裝置,該兩 個電晶體裝置之兩値控制端接收兩個雙單調信號之該第二 狀態,且其中該兩値電晶體裝置是被並聯地連接至該第二 控制端。 7. —種配合一重複邏輯裝置的使用之方法,該裝置 包括一第一輸出端,一第一重定裝置絹合至該第一輸出端 ,及一第一計算裝置耦合至該第一輸出端,該方法包含以 下步驟: 在一重定相位期間重定該第一輸出端; 在一計算相位期間計算至少一信號給該第一輸出端; 木紙張尺度適用中國國家標準(CNS)甲4規格(210 X 297公货) 一 Z - 丨裝------訂------線 (請先閱讀背面之注意事項再塡寫本頁) 經濟部中央標準局员工消費合作社印製 - A7 ^〇b'H〇 b7 ^ C7 _D7_ 六、申請專利範園 在一儲存相位期間設定該第一重定裝置及第一設定裝置在 不動作狀態中;及 在該儲存相位期間保持並^供計算後的信號在該第一 輸出端。 8.如申請專利範圍第7項之方法,其中該裝置計算 至少兩個雙單調信號,且該裝置進一步包括一第二輸出端 、一第二重定裝置及一第二計算裝置,其中該方法進一步 包含以下步驟: 在該重定相位期間重定該第二輸出端、; 在該計算相位期間計算至少一信號給該第二輸出端; 在該儲存相位期間設定該第二重定裝置及第二設定裝置在 不動作狀態中;及 在該儲存相位期間保持並提供計算後的信號在該第二輸 出端。7 9 .如申請專利範圍第8項之方法,其中計算至少一 信號給該第一及第二輸出端之步驟包含以下步驟: 接收至少兩個雙單調信號之第一狀態;及 依據AND邏輯關像計算該至少兩個雙單調信號之該第一 狀態給該第一輸出端; 計算至少一信號給該第二輸出端之步驟包含以下步驟 接收至少兩値雙單調信號之第二狀態;及 依據0 R邏輯關係計算該至少兩値雙單調信號之該第 二狀態給該第二輸出端。 未紙張尺度適用中國國家櫺準(CN’S)甲4規格(210 X 297公釐) 一3 — (請先閲讀背面之注意事項再塡寫本頁) .裝. 訂· .線. 烴濟部中央標準局Η工消费合作社印製 • y- A/ :' 〇b ^ B7 C7 _D7_ 六、申請專利範圍 1 Ο .·—種重複邏輯裝置,包含·· 許多功能塊,各個該功能塊可以産生一輸出信號,其中各 個該功能塊具有一輸出用於接收一輸入信號,一輸出用於 提供該輸出信號響應該輸入信號且具有一重定端用於接收 一重定信號,其中該許多功能塊以優先及追蹤的順序被排 列,且其中一追蹤功能塊之一輸出被耦合至一優先功能塊 之一輸出;及 許多控制電路,其中各個該控制電路具有一輸入耦合 至一優先功能塊之一輸出,且具有一輸出耦合至一追蹤功 能塊之一重定端,其中各個該許多控制電路包括: 偵測裝置用於在偵測到該優先功能塊已産生該輸出信號 時産生一完成信號,其中該偵測裝置具有一輸入耦合至該 優先功能塊之該輸出,且具有一輸出耦合至該追蹤功能塊 之該重定端。 1 1.如申請專利範圍第1 ◦項之重複邏輯裝置,其 中: 各個該功能塊操作在一重定相位、一計算相位及一儲 存相位之下;且 各個該功能塊在該重定相位期間被重定,在該計算相 位期間産生該輸出信號,並在該儲存相位期間保持及提供 該輸出信號。 1 2 .如申請專利範圍第1 1項之重複邏輯裝置,其 中各個該功能塊是一骨牌(domino)電路。 13.如申請專利範圍第12項之重複邏輯裝置,該 本紙張尺度適用中國國家標準(CNS)甲4規格(2i0 X 297公货) ~ 4 - ------------------------裝------.玎------線 (請先閲讀背面之注意事項再塡寫本頁)
    C7 ____D7__ 六、申請專利範圍 骨牌電路包’含: (請先閱讀背面之注意事喟再填寫本頁) 一第一輸出端; 一第一重定裝置,耦合至該第一輸出端,在重定相位 期間用於重定該第一輸出端; 一第一計算裝置,耦合萆該第一輸出端,在計算相位 期間用於計算至少一信號給該第一輸出端; 一第二輸出端; 第二重定裝置,耦合至該第二輸出端,在該重定相位期間 用於重定該第二輸出端;及 一 一計算裝置,锅合至該第二輸出端,在該ί計算相 位期間用於計算該至少一値信號給該第二輸出端; 其中該第二重定裝置及該第二計算裝置於該儲存相位 期間是在不動作狀態中,且該第二輸出端於該儲存相位期 間保持並提供該計算後的雙單調信號在該第二輸出端; 其中該第一重定裝置及該第一計算裝置於該儲存相位 期間是在不動作狀態中ν且該第一輸出端於該儲存相位期 間保持並提供該計算後的信號在該第一輸出端, 绶濟部中央標準扃Λ工消費合作社印製 其中該第二重定裝置及該第二計算裝置於該儲存相位 期間是在不動作狀態中W且該第二輸出端於該儲存相位期 間保持並提供該計算後的信號在該第二輸出端。 14.如申請專利範圍第13項之重複邏輯裝置,其 中該第一計算裝置包括兩個電晶體裝置,該兩個電晶體裝 置之兩値控制端接收兩個雙單調信號之第一狀態,且其中 該兩個電晶體裝置是被串聯地連接至該第一控制端;且 本紙張尺度適用中國國家標準(CNS)甲4規格(210 X 297公货) _ 5 一
    經濟部中央標準局®:工消費合作社印« ______D7_ 六、申請專利範圍 其中該·第二計算裝置包括兩値電晶體裝置,該兩個電 晶體裝置之兩個控制端接收兩値雙單調信號之該第二狀態 ,且其中該兩個電晶體裝置是被並聯地連接至該第二控制 端。 15·如申請專利範圍第11項之重複邏輯裝置,進 一步包含: 裝置用於産生一最後輸出信號響應來自該許多功能塊 之全部輸出信號。 16. 如申請專利範圍第15項之重複邏輯裝置,進 一步包含: 裝置用於産生一最後完成信號響應該最後輸出信號。 17. 如申請專利範圍第11項之重複邏輯裝置,其 中各個該功能塊是一级除法器。 18. 如申請專利範圍第17項之重複邏輯裝置,其 中該除法器级包含: 裝置用於産生一部份餘數響應一追蹤部份餘數,及一 追蹤商數位由一追蹤功能塊所産生,其中該部份餘數産生 裝置具有一輸入用於接收該追蹤部份餘數,且具有三個輸 入用於接收該追蹤商數位(之第一、第二及第三可能值;及 裝置用於産生一商數位饗應該追蹤部份餘數及該追蹤 商數位,其中該商數位産生裝置具有一輸入用於接收該追 蹤部份餘數,且具有三個輸入用於接收該追縱商,數位之該 第一、第二及第三可能值; 其中該部份餘數及該商數位分別被並聯的商數位産生 本紙張尺度適用中國國家標準(CNS)甲4規格(210 X 297公釐) ~ 6 ~ ------------------------裝------.玎------線 (請先閲讀背面之注意事嗦再填寫本頁) A7
    烴濟部t央標準局貝工消費合作社印製 六、申請專利範圍 裝置及該部份餘數産生裝置所處理。 19. 如申請專利範圍第18項之重複邏輯裝置,其 中該追蹤商數位之該第一、第二及第三可能值分別為+ 1 、―1 及 0 〇 20. 如申請專利範圍第19項之重複邏輯裝置,其 中該餘數及商數位是依據S R T演算法而被産生。 2 1 ·如申請專利範圍第2 0項之重複邏輯裝置,其 中該商數位産生裝置包含: 一第一處理裝置用於産生該商數位之一第一可能值, 礬應該追蹤部份餘數及該追蹤商數位之該第一可能值; 一第二處理裝置用於産生該商數位之一第二可能值, 響應該追蹤部份餘數及該追蹤商數位之該第二可能值; 其中該商數位之一第三可能值是該追蹤商數位之該第 三可能值;及 裝置用於選定該商數位之該第一、第二及第三可能值 ,饗應該追蹤商數位之真實值。 22. 如申請專利範圍第21項之重複邏輯裝寘,其 中該第一及第二處理裝置包含: 一部份位元進位儲存算術加法器;及 一部份位元進位傳播算術加法器。 23. 如申請專利範圍第11項之重複邏輯裝置,其 中各値該功能塊執行一位元的算術操作用於相乘、相除、 平方根、正弦或餘弦。 24. 如申請專利範圍第11項之重複邏輯裝置,其 本紙張尺度適用中國國家標準(CNS)甲4規格(210 X 297公梦) 一 7 — ------------------------裝------ir------線 (請先閱讀背面之注意事項再填寫本頁) 烴濟部中央標準局負工消費合作社印製 Α7 Β7 C7 D7 六、申請專利範圍 中: 由追蹤功能塊所産生的該輸出信號只被耦合至相對的 優先功能塊;及 由優先功能塊所産生的該完成信號只被耦合至相對的 追蹤功能塊i 議此連接至耦合輸出信號從該許多功能塊至其對應的 優先功能塊形成一臨界路徑; 藉此連接至耦合完成信號從該許多控制電路至其對應 的追蹤功能塊形成許多控制路徑; 藉此該許多控制路徑是平行於該臨界路徑,且沒有該 許多控制路徑與該臨界路徑重昼; 藉以使粗的組合出入時間只有由位在該臨界路徑中的 該功能塊所決定,且不會受到位在該控制路徑中之該控制 電路所影響。 2 5 .如申請專利範圍第2 4項之重複邏輯裝置I其 中如果一控制路徑與該臨界路徑重疊,此重疊可以藉由除 去與該控制路徑相連接的功能塊而被移去。 26. 如申請專利範圍第24項之重複邏輯裝置, 其中大部份的優先功能塊之一輸出被耦合至大部份的 追蹤功能塊之一輸入;且其中由該大部份的追蹤功能塊所 産生的完成信號被耦合至該大部份的優先功能塊之重定端 ,藉以使在該許多功能塊之間的連接形成一環構造。 27. 如申請專利範圍第24項之重複邏輯裝置,進 一步包含: i------'玎------線 (請先Μ讀背面之注意事項再塡寫本頁) 本紙張又度適用中國國家標準(CNS)甲4規格(210 X 297公釐) 一 8 — A7 B7 C7 D7 六、申請專利範園 (請先閲讀背面之注意事項再塡寫本頁) 裝置用·於合併由至少兩個追蹤功能塊所産生的至少兩 個輸出信號至一優先功能塊之一輸入,所以該至少兩個輸 出信號被耦合至該優先功能塊;及 裝置用於分開由該優先功能塊所産生的一完成信號, 所以該完成信號被耦合至該至少兩個追蹤功能塊之重定端 0 28. 如申請專利範圍第24項之重複邏輯裝置,進 一步包含: 裝置用於分開由一追蹤功能塊所産生的一輸出信號, 所以該輸出信號被耦合至兩個優先功能塊之至少兩個輸入 ;及 Γ 裝寶用於組合由該兩個優先功能塊之控制電路所産生 的完成信號;及 裝置用於耦合組合後的完成信號至#追蹤功能塊之重 定端。 經濟部中央標準局員工消费合作社印製 29. 如申請專利範圍第10項之重複邏輯裝置,其 中各個該功能塊包括一信號路徑用於接收及通過一輸入信 號,且用於産生及提供該輸出信號,藉此該許多該功能塊 包括許多信號路徑; 其中該信號路徑的至少其中之一具有較高的使用可能 性;且 其中位在具有較高的使用可能性之該信號路徑中的裝 置(包括電晶體)具有較寬的傳導路徑,藉以增加該信號 路徑之速度。 表紙張尺度適用中國國家標準(CNS)甲4規格(210 X 297公釐) _ 9 _ A7 B7 20674b C7 __D7__ • » . 六、申請專利範園 30.·如申請專利範圍第1 0項之重複邏輯裝置, 其中各個該功能塊包括一信號路徑用於接收及通過一 輸入信號,且用於産生及提供該輸出信號,藉此該許多該 功能塊包括許多信號路徑; 其中該信號路徑的至少其中之一具有較高的使用可能 性;且 其中位在具有較高的使用可能性之該信號路徑中的裝 置(包括電晶體)被簡化以縮短邏輯塊,藉以增加該信號 路徑之速度;且 其中在該其它的信號路徑中之裝置被修改以産生該輸 出信號之等效物。 31 . —種配合一重複邏輯裝置的使用之方法,該裝 置包括許多功能塊,該許多功能塊是以優先及追蹤順序被 排列,該方法包含以下步驟: 在一計算相位期間藉由一功能塊來計算至少一輸入信 號;、 在一儲存相位期間保持並提供計算後的輸入信號; 藉由該功能塊來偵測輸出信號産生的完成;及 在一重定相位期間重定相對的追蹤功能塊,镨應輸出 信號産生之該完成的偵測。 32.如申請專利範圍第31項之方法,進一步包含 以下步驟: 産生一最後輸出信號,響應來自該許多功能塊之全部 輸出信號。 衣紙張尺度適用中國國家標準(CNS)甲4规格(210 X 297公釐) _ 10 — (請先閲讀背面之注意事項再填寫本頁) -裝- 訂 經濟部中央標準居S工消费合作社印5取 經濟部中央標準局员工消费合作社印製 Φ .μ Α7 r B7 C7 D7 . 六、申請專利範圍 33. ·如申請專利範圍第32項之方法,進一步包含 以下步驟: 産生一最後完成信號,鎏應該最後輸出信號。 34. 如申請專利範圍第31項之方法其中各個該 功能塊是一骨牌電路用於計算雙—單調信號。 35. 如申請專利範圍第si項之方法,其中各個該 功能塊是一级除法器。 36. 如申請專利範圍第35項之方法包含用於産生 除法結果給許多除法级之步驟,該步驟包括: _生一部份餘數響應一追蹤部份餘數及一追蹤商數 位由一追蹤除法级所産生;及 産生一商數位響應該追蹤部份餘數及該追蹤商數位; 其中該部份餘數及該商數位是被平行地處理。 37. 如申請專利範圍第36項之方法,其中該商數 位具有三個可能值。 3 8 .如申請專利範圍第3 7項之方法,其中該追蹤 商數位之該第一、第二及第三可能值分別為+1、一 1及 〇。 3 9 .如申請專利範圍第3 8項之方法,其中該餘數 及商數位是依據S R T演算法而産生的。 40.如申請專利範圍第39項之方法,其中用於産 生該商之步驟包含以下步驟: 産生該商數位之一第一可能值響應該追蹤部份餘數, 及該追蹤商數位之該第一可能值; (請先閲讀背面之注意事項再塡寫本頁) .裝· 訂 •線- 本紙張尺度適用中國國家標準(CNS)甲4规格(210 X 297公釐) -11 - Α7 Β7 C7 D7 六、申請專利範圍 産生該·商數位之一第二可能值響應該追蹤部份餘數, I 及該追蹤商數位之該第二可能值; 唭中該追蹤商數位之該第三可能值是該商數位之一第 三可能值;且 選定該商數位之該第一、第二及第三可能值響應該商 數位之真實值。 4 1 .如申請專利範圍第4 0項之方法,其中用於産 生該商數位之該第一及第二可能值之該步驟包含以下步驟 (請先閱讀背面之注意事項再塡寫本頁) 垤濟部中央標準局員工消費合作社印製 産生部份位元進位儲 産生部份位元進位傳 4 2 .如申請專利範 功能塊執行一位元的算術 弦或餘弦。 4 3 .如申請專利範 進一步包括許多偵測裝置 <3號》該方法進一步包含 存算術和;及 播算術和。 圍第3 1項之方法, 蓮算於相乘、相除、 其中各個該 平方根、正 圍第3 1項之方法, ,各個偵測裝置可以 以下步驟: 塊所産生的輸出信號至對應的優 其中該裝置 産生一完成 只有锅合由追縱功能 先功能塊;及 只有耦合由優先功能塊所産生的完成信號至對應的追 蹤功能塊。 4 4 .如申請專利範圍第3 1項之方法,進一步包含 以下步驟: 藉由耦合來自該許多功能塊之輸出信號至其對應的優 —裝. 、1T· -線· -12 - 本麵張尺度適用中國國家標準(CNS)甲4規格(210 X 297公笼 B7 ~ C7 D7 烴濟部中央標準局員工消费合作社印製 六、申請專利範圍 先功能塊而形成一臨界路徑; 藉由耦合來自該許多控制電路之完成信號至其對應的 追蹤功能塊而形成許多搭制路徑;及 藉此該許多控制路徑是平行於該臨界路徑,且没有該 許多控制路徑與該臨界路徑重β,藉以使原組合延遲時間 只有由連接至該臨界路徑之該功能塊所決定,且不被連接 至該控制路徑的該控制電路所影遒。 45.如申請專利範圍第44項之方法,進一步包含 以下步驟: 如果一控制路徑與該臨界路徑重叠,除去與該控制路 徑耦合的功能塊,藉以將此重叠去除在該臨界路徑之 外。 4 6 .如申請專利範圍第3 1項之方法,進一步包含 以下步驟: 耦合大部份的優先功能塊之一輸出至大部份的追蹤功 能塊之一輸入;及 耦合由該大部份的追蹤功能塊所産生的完成信號至該 大部份的優先功能塊之一重定端,藉以在該許多功能塊之 間做成連接以形成一環構造。 4 7 .如申請專利範圍第3 1項之方法,進一步包含 以下步驟: 合併由至少兩個追蹤功能塊所産生的至少兩個輸出信 號成一優先功能塊之一輸入;及 分開由該優先功能塊所産生的完成信號,所以該完成 衣紙張尺度適用中國國家標準(CNS)甲4規格(210 X 297公釐) ~ 13 ~ ' (請先閲讀背面之注意事項再填寫本頁) i裝· 訂. •線· 經濟部t央標準局貝工消費合作社印製 C7 D7 六、申請專利範圍 信號被耦合至該至少兩個追蹤功能塊之重定端。 48. 如申請專利範圍第si項之方法進一步包含 以下步驟: 分開由一追蹤功能塊所産生的一輸出信號成至少兩個 信號路徑,所以該輸出信號被耦合至至少兩値優先功能塊 之一輸入; 結合由該至少兩個優先功能塊之控制電路所産生的完 成信號;及 耦合此結合後的完成信號至該追蹤功能塊之重定端。 49. 如申請專利範圍第31項之方法,其中各個該 功能塊包括一信號路徑用於接收並通過輸入信號,且用於 産生及提供輸出信號,藉此該許多該功能塊包括許多信號 路徑,其中該方法包含以下步驟: 識別具有較高使用可能性之至少一値該信號路徑;及 設定較寛的傳導路徑給定位在具有較高使用可能性之 該信號路徑中的裝置(包括電晶體),藉以增加該信號路 徑之速度。 50. 如申請專利範圍第31項之方法,其中各個該 功能塊包括一信號路徑用於接收並通過輸入信號,且用於 産生及提供輸出信號,藉此該許多該功能塊包括許多信號 路徑,其中該方法包含以下步驟: 識別具有較高使用可能性之至少一値該信號路徑; 簡化定位在具有較高使用可能性之該信號路徑中之邏 輯方塊,藉以增加該信號路徑之速度;及 衣紙張尺度適用中國國家標準(CNS)甲4 見格(210 X 297公釐) -14 - ------------------------裝------訂------線 (請先閲讀背面之注意事項再填寫本頁) . 經濟部中央標準局貝工消費合作社印製 A7 B7 C7 __ D7 六、申請專利範園 修改定·位在其它信號路徑中之邏輯方塊以産生該輸出 信號的等效物。 5 1 . —種重複邏輯裝置用於産生一輸出信號,包含 許多路徑,各個該路徑接收至少一輸入信號,並産生一中 間信號響應該至少一輸入信號; 其中其中一個該路徑具有較高的使用可能性;且 其中定位在該路徑中之該裝置(包括電晶體)具有較 寬的傳導路徑以增加該路徑之速度。 52. —種方法用於設計一重複邏輯裝置來産生一邏 輯輸出,該裝置包括許多路徑,i各個該路徑接收至少一 輸入信號,並産生一中間輸出信號遒應該至少一輸入信號 ,該方法包含以下步驟: 識別具有較高使用可能性之一値路徑;及 設定較寬的傳導路徑給定位在識別路徑中的裝置(包 括電晶體),藉以增加該路徑之速度。 53. —種重複邏輯裝置用於産生一輸出信號,包含 許多路徑,各値該路徑接收至少一輸入信號,並産生 一中間信號響應該至少一輸入信號; 其中一個該路徑具有較高的使用可能性,且其它的該 路徑具較低的使用可能性; 其中定位在該路徑中之邏輯方塊被簡化以縮短邏輯方 塊,藉以縮短其長度並增加該路徑之速度;且 ------------------------^-------玎------^ (請先閱讀背面之注意事項再塡寫本頁) 本纸張尺度適用中园國家標準(CNS)甲4規^格(2L0 X 297公釐) -15 _ A7 B7 經濟部中央標準局貝工消費合作社印*)«. r·; C7 t;、的 Ά_D7__ 六、申請專利範圓 其中定位在其它路徑中之邏輯方塊被修改以産生該輸 出信號之等效物。 54.—種方法用於設計一重複邏輯裝置來産生一邏 輯輸出,該裝置包括許多路徑,各個該路徑接收至少一輸 入信號,並産生一中間輸出信號響應該至少一輸入信號, 該方法包含以下步驟: 識別具有較高使用可能性之一個路徑; 識別具有較低使用可能性之其它的該路徑; 簡化定位在具有較高使用可能性之該路徑中的邏輯方 塊,藉以縮短其長度並增加該路徑之速度;及 修改定位在該其它路徑中之邏輯方塊以産生該輸出信 號之等效值。 5 5. —種重複邏輯裝置,包括許多除法级,用於産 生一除法結果,其中該除法级包含: 裝置用於産生一部份餘數響應一追蹤部份餘數,及一 追蹤商數位由一追蹤功能塊所産生,其中該部份餘數産生 裝置具有一輸入用於接收該追蹤部份餘數,且具有三個輸 入用於接收該追蹤商數位之第一、第二及第三可能值;及 裝置用於産生一商數位響應該追蹤部份餘數及該追蹤 商數位,其中該商數位産生裝置具有一輸入用於接收該追 蹤部份餘數,且具有三個輸入用於接收該追縱商數位之該 第一、第二及第三可能值; 其中該部份餘數及該商數位分別被並聯的商數位産生 裝置及該部份餘數産生裝置所處理。 本紙張又度適用中國國家標準(CNS)甲4规格(210 X 297公釐) -16 - (請先閱讀背面之注意事項再蟥寫本頁) 丨裝· 訂. .線· A7 B7 C7 D7 六、申請專利範圍 (請先閲讀背面之注意事項再塡寫本頁) 5 6.·如申請專利範圍第5 5項之重複邏輯裝置,其 中該追蹤商數位之該第一、第二及第三可能值分別為+1 、一 1 及 0。 57·如申請專利範圍第56項之重複邏輯裝置,其 中該餘數及商數位是依據SRT演算法而被産生。 58. 如申請專利範圍第57項之重複邏輯裝置,其 中該商數位産生裝置包含: 一第一處理裝置用於産生該商數位之一第一可能值, 逛應該追蹤部份餘數及該追蹤商數位之該第一可能值; 一第二處理裝置用於産生該商數位之一第二可能值, 響應該追蹤部份餘數及該追蹤商數位之該第二可能值; 其中該追蹤商數位之一第三可能值是該商數位之該第 三可能值;及 裝置用於選定該商數位之該第一、第二及第三可能值 ,響應該追蹤商數位之真實值。 59. 如申請專利範圍第58項之重複邏輯裝置,其 中該第一及第二處理裝置包含: 一部份位元進位儲存加法器;及17 經濟部中央標準局员工消費合作社印製 一部份位元進位傳播加法器。 6 0 . —種方法用於産生除法結果給許多除法级,該 方法包含以下步驟: 産生一部份餘數響應一追蹤部份餘數,及一追蹤商數 位由一追蹤除法级所産生;及 産生一商數位響應該追蹤部份餘數及該追蹤商數位; 本紙張尺度適用中國國家標準(CNS)甲4規格(210 X 29?公货) _ 17 — ,〇314〇 A7 B7 C7 D7 烴濟部中央標準局员工消费合作社印製 六、申請專利範圍 其中該部份餘數及該商數位是被平行地處理。 61.如申請專利範圍第60項之方法,其中該商數 位具有三個可能值。 62. 如申請專利範圍第61項之方法,其中該追蹤 商數位之該第一、第二及第三可能值分別為+1、 一1及 〇 〇 63. 如申請專利範圍第62項之方法,其中該餘數 及商數位是依據S R T演算法而産生的。 64. 如申請專利範圍第63項之方法,其中用於産 生該商之步驟包含以下步驟: 産生該商數位之一第一可能值響應該追蹤部份餘數, 及該追跄商數位之該第一可能值; 産生該商數位之一第二可能值饗應該追蹤部份餘數, 及該追蹤商數位之該第二可能值; 産生該追蹤商數位之一第三可能值響應該該商數位之 該第三可能值;及 選定該商數位之該第一、第二及第三可能值響應該商 數位之真實值。 6 5 .如申請專利範圍第6 4項之方法,其中用於産 生該商數位之該第一及第二可能值之該步驟包含以下步驟 産生部份位元進位儲存算術和;及 産生部份位元進位傳遞算術和。 (請先閲讀背面之注意事項再項寫本頁) --裝. 訂· •線. 本紙張尺度適用中國國家桴準(CNS)甲4规格(210 X 2W公发) -18 -
TW081100038A 1990-10-10 1992-01-03 TW208745B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US07/595,350 US5121003A (en) 1990-10-10 1990-10-10 Zero overhead self-timed iterative logic

Publications (1)

Publication Number Publication Date
TW208745B true TW208745B (zh) 1993-07-01

Family

ID=24382899

Family Applications (1)

Application Number Title Priority Date Filing Date
TW081100038A TW208745B (zh) 1990-10-10 1992-01-03

Country Status (6)

Country Link
US (3) US5121003A (zh)
EP (1) EP0557342A4 (zh)
JP (1) JPH06502287A (zh)
KR (1) KR930702759A (zh)
TW (1) TW208745B (zh)
WO (1) WO1992007361A1 (zh)

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5434520A (en) * 1991-04-12 1995-07-18 Hewlett-Packard Company Clocking systems and methods for pipelined self-timed dynamic logic circuits
JP3279337B2 (ja) * 1991-04-12 2002-04-30 ヒューレット・パッカード・カンパニー ねずみ取り論理回路用万能パイプラインラッチ
US5796962A (en) * 1991-05-17 1998-08-18 Theeus Logic Null convention bus
US6900658B1 (en) * 1991-05-17 2005-05-31 Theseus Logic Inc. Null convention threshold gate
US5656948A (en) * 1991-05-17 1997-08-12 Theseus Research, Inc. Null convention threshold gate
WO1992021083A1 (en) * 1991-05-17 1992-11-26 Theseus Research Inc. Null convention speed independent logic
US5930522A (en) * 1992-02-14 1999-07-27 Theseus Research, Inc. Invocation architecture for generally concurrent process resolution
US5455831A (en) * 1992-02-20 1995-10-03 International Business Machines Corporation Frame group transmission and reception for parallel/serial buses
US5267240A (en) * 1992-02-20 1993-11-30 International Business Machines Corporation Frame-group transmission and reception for parallel/serial buses
JP3467286B2 (ja) * 1992-05-19 2003-11-17 ヒューレット・パッカード・カンパニー 論理評価システム
US5402012A (en) * 1993-04-19 1995-03-28 Vlsi Technology, Inc. Sequentially clocked domino-logic cells
US5459414A (en) * 1993-05-28 1995-10-17 At&T Corp. Adiabatic dynamic logic
US5592103A (en) * 1993-10-21 1997-01-07 Sun Microsystems, Inc. System for fast switching of time critical input signals
US5475320A (en) * 1994-08-11 1995-12-12 Texas Instruments Incorporated Data processing with a self-timed approach to spurious transitions
US5488319A (en) * 1994-08-18 1996-01-30 International Business Machines Corporation Latch interface for self-reset logic
EP0703530A3 (en) * 1994-09-21 1996-08-14 Texas Instruments Inc Detection in the change in logic state in a data processing system
JPH08106413A (ja) * 1994-10-06 1996-04-23 Fujitsu Ltd データ処理装置,データ転送方法及びメモリ装置
CA2209602A1 (en) * 1995-01-06 1996-07-11 Theseus Research, Inc. Null convention threshold gate
US5517136A (en) * 1995-03-03 1996-05-14 Intel Corporation Opportunistic time-borrowing domino logic
US5521538A (en) * 1995-03-30 1996-05-28 At&T Corp. Adiabatic logic
US5495188A (en) * 1995-04-13 1996-02-27 International Business Machines Corporation Pulsed static CMOS circuit
US5541537A (en) * 1995-06-02 1996-07-30 International Business Machines Corporation High speed static circuit design
US5565798A (en) * 1995-08-21 1996-10-15 International Business Machines Corporation Self-timed control circuit for self-resetting logic circuitry
US5623450A (en) * 1995-09-08 1997-04-22 International Business Machines Corporation Conditional recharge for dynamic logic
US5615160A (en) * 1995-09-08 1997-03-25 International Business Machines Corporation Minimal recharge overhead circuit for domino SRAM structures
US5559453A (en) * 1995-09-28 1996-09-24 International Business Machines Corporation Interlocked restore circuit
US5886540A (en) * 1996-05-31 1999-03-23 Hewlett-Packard Company Evaluation phase expansion for dynamic logic circuits
US6211704B1 (en) * 1996-07-24 2001-04-03 Hyundai Electronics Industries Co., Ltd. Asynchronous sensing differential logic (ASDL) circuit
US5815687A (en) * 1996-09-19 1998-09-29 International Business Machines Corporation Apparatus and method for simulating domino logic circuits using a special machine cycle to validate pre-charge
US5964866A (en) * 1996-10-24 1999-10-12 International Business Machines Corporation Elastic self-timed interface for data flow elements embodied as selective bypass of stages in an asynchronous microprocessor pipeline
JP3504088B2 (ja) * 1996-10-30 2004-03-08 株式会社東芝 論理回路
US5870411A (en) * 1996-12-13 1999-02-09 International Business Machines Corporation Method and system for testing self-timed circuitry
US5912900A (en) * 1996-12-13 1999-06-15 International Business Machines Corporation Method and system for testing self-timed circuitry
US5896046A (en) * 1997-01-27 1999-04-20 International Business Machines Corporation Latch structure for ripple domino logic
US5914618A (en) * 1997-03-11 1999-06-22 Vlsi Technology, Inc. Optimum noise isolated I/O with minimized footprint
US6040716A (en) * 1997-05-19 2000-03-21 Texas Instruments Incorporated Domino logic circuits, systems, and methods with precharge control based on completion of evaluation by the subsequent domino logic stage
FR2766275B1 (fr) * 1997-07-21 1999-10-15 Sgs Thomson Microelectronics Circuit de validation de modeles de simulation
US5907693A (en) * 1997-09-24 1999-05-25 Theseus Logic, Inc. Autonomously cycling data processing architecture
US5977663A (en) * 1997-09-24 1999-11-02 Theseus Logic, Inc. Dynamic threshold gates with embedded registration
US6031390A (en) * 1997-12-16 2000-02-29 Theseus Logic, Inc. Asynchronous registers with embedded acknowledge collection
US6262593B1 (en) 1998-01-08 2001-07-17 Theseus Logic, Inc. Semi-dynamic and dynamic threshold gates with modified pull-up structures
US6269461B1 (en) 1998-04-27 2001-07-31 International Business Machines Corporation Testing method for dynamic logic keeper device
US6169422B1 (en) 1998-07-20 2001-01-02 Sun Microsystems, Inc. Apparatus and methods for high throughput self-timed domino circuits
EP1121631B1 (en) * 1998-07-22 2007-04-25 California Institute Of Technology Reshuffled communications processes in pipelined asynchronous circuits
US6128678A (en) 1998-08-28 2000-10-03 Theseus Logic, Inc. FIFO using asynchronous logic to interface between clocked logic circuits
US6865668B1 (en) * 1998-09-15 2005-03-08 Trustees Of Columbia University In The City Of New York Variable-length, high-speed asynchronous decoder circuit
CA2247548A1 (en) * 1998-09-15 2000-03-15 Steven M. Nowick A high-speed asynchronous decompression circuit for variable-length-coded data
US6316960B2 (en) 1999-04-06 2001-11-13 Intel Corporation Domino logic circuit and method
US6265899B1 (en) 1999-06-04 2001-07-24 S3 Incorporated Single rail domino logic for four-phase clocking scheme
US6556962B1 (en) 1999-07-02 2003-04-29 Intel Corporation Method for reducing network costs and its application to domino circuits
US6529861B1 (en) 1999-07-02 2003-03-04 Intel Corporation Power consumption reduction for domino circuits
US6201415B1 (en) 1999-08-05 2001-03-13 Intel Corporation Latched time borrowing domino circuit
US6529045B2 (en) 1999-09-28 2003-03-04 Intel Corporation NMOS precharge domino logic
US6275071B1 (en) 1999-12-29 2001-08-14 Intel Corporation Domino logic circuit and method
US6492837B1 (en) 2000-03-17 2002-12-10 Intel Corporation Domino logic with output predischarge
CN1222869C (zh) * 2000-04-25 2005-10-12 纽约市哥伦比亚大学托管会 用于大容量异步流水线处理的电路和方法
US6590424B2 (en) * 2000-07-12 2003-07-08 The Trustees Of Columbia University In The City Of New York High-throughput asynchronous dynamic pipelines
US6486706B2 (en) 2000-12-06 2002-11-26 Intel Corporation Domino logic with low-threshold NMOS pull-up
US6420904B1 (en) * 2001-01-25 2002-07-16 Koninklijke Philips Electronics N.V. Domino logic with self-timed precharge
US6404234B1 (en) 2001-05-09 2002-06-11 Intel Corporation Variable virtual ground domino logic with leakage control
US7283557B2 (en) 2002-01-25 2007-10-16 Fulcrum Microsystems, Inc. Asynchronous crossbar with deterministic or arbitrated control
US7698535B2 (en) 2002-09-16 2010-04-13 Fulcrum Microsystems, Inc. Asynchronous multiple-order issue system architecture
US7065602B2 (en) * 2003-07-01 2006-06-20 International Business Machines Corporation Circuit and method for pipelined insertion
US7260753B2 (en) 2003-07-14 2007-08-21 Fulcrum Microsystems, Inc. Methods and apparatus for providing test access to asynchronous circuits and systems
US7065419B2 (en) * 2004-04-14 2006-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Job flow Petri Net and controlling mechanism for parallel processing
US7584449B2 (en) 2004-11-22 2009-09-01 Fulcrum Microsystems, Inc. Logic synthesis of multi-level domino asynchronous pipelines
US7814280B2 (en) 2005-01-12 2010-10-12 Fulcrum Microsystems Inc. Shared-memory switch fabric architecture
US7415702B1 (en) 2005-01-20 2008-08-19 Unisys Corporation Method for zero overhead switching of alternate algorithms in a computer program
US7916718B2 (en) 2007-04-19 2011-03-29 Fulcrum Microsystems, Inc. Flow and congestion control in switch architectures for multi-hop, memory efficient fabrics
US8527797B2 (en) * 2007-12-26 2013-09-03 Qualcomm Incorporated System and method of leakage control in an asynchronous system
US8104004B2 (en) * 2008-02-13 2012-01-24 Achronix Semiconductor Corporation Logic performance in cyclic structures
US8082527B1 (en) * 2008-07-07 2011-12-20 Xilinx, Inc. Representing the behaviors of a packet processor
US8706793B1 (en) 2009-04-02 2014-04-22 Xilinx, Inc. Multiplier circuits with optional shift function
US7746109B1 (en) 2009-04-02 2010-06-29 Xilinx, Inc. Circuits for sharing self-timed logic
US7948265B1 (en) 2009-04-02 2011-05-24 Xilinx, Inc. Circuits for replicating self-timed logic
US7746101B1 (en) 2009-04-02 2010-06-29 Xilinx, Inc. Cascading input structure for logic blocks in integrated circuits
US7982496B1 (en) 2009-04-02 2011-07-19 Xilinx, Inc. Bus-based logic blocks with optional constant input
US7733123B1 (en) * 2009-04-02 2010-06-08 Xilinx, Inc. Implementing conditional statements in self-timed logic circuits
US7746108B1 (en) 2009-04-02 2010-06-29 Xilinx, Inc. Compute-centric architecture for integrated circuits
US8527572B1 (en) 2009-04-02 2013-09-03 Xilinx, Inc. Multiplier architecture utilizing a uniform array of logic blocks, and methods of using the same
US9002915B1 (en) 2009-04-02 2015-04-07 Xilinx, Inc. Circuits for shifting bussed data
US9411554B1 (en) 2009-04-02 2016-08-09 Xilinx, Inc. Signed multiplier circuit utilizing a uniform array of logic blocks
US8402164B1 (en) 2010-10-27 2013-03-19 Xilinx, Inc. Asynchronous communication network and methods of enabling the asynchronous communication of data in an integrated circuit
US8788549B2 (en) 2011-05-02 2014-07-22 Saankhya Labs Private Limited Zero overhead block floating point implementation in CPU's
US10141930B2 (en) * 2013-06-04 2018-11-27 Nvidia Corporation Three state latch
US9520180B1 (en) 2014-03-11 2016-12-13 Hypres, Inc. System and method for cryogenic hybrid technology computing and memory
JPWO2021105814A1 (zh) * 2019-11-29 2021-06-03

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4503512A (en) * 1982-02-22 1985-03-05 Amdahl Corporation Cellular division circuit
US4631701A (en) * 1983-10-31 1986-12-23 Ncr Corporation Dynamic random access memory refresh control system
US4747082A (en) * 1984-11-28 1988-05-24 Hitachi Ltd. Semiconductor memory with automatic refresh means
JPS61253695A (ja) * 1985-05-07 1986-11-11 Hitachi Ltd 半導体記憶装置
US4827428A (en) * 1985-11-15 1989-05-02 American Telephone And Telegraph Company, At&T Bell Laboratories Transistor sizing system for integrated circuits
US4710650A (en) * 1986-08-26 1987-12-01 American Telephone And Telegraph Company, At&T Bell Laboratories Dual domino CMOS logic circuit, including complementary vectorization and integration
US5023827A (en) * 1988-08-18 1991-06-11 Digital Equipment Corporation Radix-16 divider using overlapped quotient bit selection and concurrent quotient rounding and correction
US4856029A (en) * 1988-10-11 1989-08-08 Eastman Kodak Company Technique for processing a digital signal having zero overhead sync
US4999528A (en) * 1989-11-14 1991-03-12 Keech Eugene E Metastable-proof flip-flop

Also Published As

Publication number Publication date
KR930702759A (ko) 1993-09-09
US5671151A (en) 1997-09-23
EP0557342A1 (en) 1993-09-01
JPH06502287A (ja) 1994-03-10
US5513132A (en) 1996-04-30
US5121003A (en) 1992-06-09
EP0557342A4 (en) 1994-09-07
WO1992007361A1 (en) 1992-04-30

Similar Documents

Publication Publication Date Title
TW208745B (zh)
US5105378A (en) High-radix divider
Kenney et al. A high-frequency decimal multiplier
EP0477011B1 (en) Processor element for calculating accumulation of data, processing unit, and processor
ARAKI et al. Fast inverters over finite field based on Euclid's algorithm
TWI325120B (en) Method and apparatus for implementing power of two floating point estimation
US6687725B1 (en) Arithmetic circuit for finite field GF (2m)
US6668267B1 (en) Device and method for the implementation of an elementary modular operation according to the Montgomery method
Namin et al. High-speed architectures for multiplication using reordered normal basis
Cortadella et al. High-radix division and square-root with speculation
US5912904A (en) Method for the production of an error correction parameter associated with the implementation of modular operations according to the Montgomery method
Balasubramanian et al. Indicating asynchronous multipliers
US20020161810A1 (en) Method and apparatus for multiplication and/or modular reduction processing
Oklobdzija et al. An integrated multiplier for complex numbers
US5948051A (en) Device improving the processing speed of a modular arithmetic coprocessor
Namin et al. A High-Speed Word Level Finite Field Multiplier in ${\BBF} _ {2^ m} $ Using Redundant Representation
Fahmy et al. Improving the effectiveness of floating point arithmetic
Kim et al. Digit-serial modular multiplication using skew-tolerant domino CMOS
US6658442B1 (en) Device and method for the implementation of an elementary modular operation according to the Montgomery method
US5329476A (en) Method and apparatus for early quotient completion in arithmetic division
Diwan et al. Design and throughput analysis of 4 bit asynchronous pipelined multiplier using null convention logic
Gaalswyk et al. A Low-Power Recurrence-Based Radix 4 Divider Using Signed-Digit Addition
CN109343825B (zh) 一种约翰逊计数器装置
Ercegovac et al. Conditional estimation of residuals with prescaling for use in low-energy division units
Cao et al. A new formulation of fast diminished-one multioperand modulo 2/sup n/+ 1 adder