TW202415276A - 矽電容結構及其製作方法 - Google Patents
矽電容結構及其製作方法 Download PDFInfo
- Publication number
- TW202415276A TW202415276A TW111134960A TW111134960A TW202415276A TW 202415276 A TW202415276 A TW 202415276A TW 111134960 A TW111134960 A TW 111134960A TW 111134960 A TW111134960 A TW 111134960A TW 202415276 A TW202415276 A TW 202415276A
- Authority
- TW
- Taiwan
- Prior art keywords
- capacitor
- silicon
- substrate
- lower electrode
- electrode material
- Prior art date
Links
- 239000003990 capacitor Substances 0.000 title claims abstract description 142
- 229910052710 silicon Inorganic materials 0.000 title claims abstract description 120
- 239000010703 silicon Substances 0.000 title claims abstract description 120
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 title claims abstract description 117
- 238000004519 manufacturing process Methods 0.000 title claims description 22
- 239000010410 layer Substances 0.000 claims abstract description 143
- 239000000758 substrate Substances 0.000 claims abstract description 103
- 239000011229 interlayer Substances 0.000 claims abstract description 37
- 239000007772 electrode material Substances 0.000 claims description 88
- 238000000034 method Methods 0.000 claims description 77
- 229910052751 metal Inorganic materials 0.000 claims description 28
- 239000002184 metal Substances 0.000 claims description 28
- 239000000463 material Substances 0.000 claims description 21
- 239000004065 semiconductor Substances 0.000 claims description 21
- 238000005530 etching Methods 0.000 claims description 16
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical group O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 8
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 7
- 238000000227 grinding Methods 0.000 claims description 6
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 5
- 229920005591 polysilicon Polymers 0.000 claims description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 3
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 3
- 229910052782 aluminium Inorganic materials 0.000 claims description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 3
- 229910052802 copper Inorganic materials 0.000 claims description 3
- 239000010949 copper Substances 0.000 claims description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 3
- 229910052721 tungsten Inorganic materials 0.000 claims description 3
- 239000010937 tungsten Substances 0.000 claims description 3
- 238000000206 photolithography Methods 0.000 description 10
- 230000002093 peripheral effect Effects 0.000 description 5
- 238000000708 deep reactive-ion etching Methods 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 235000012431 wafers Nutrition 0.000 description 4
- 238000010586 diagram Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 238000009623 Bosch process Methods 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910003855 HfAlO Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 239000004341 Octafluorocyclobutane Substances 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000003985 ceramic capacitor Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 239000012811 non-conductive material Substances 0.000 description 1
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 1
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 229910000679 solder Inorganic materials 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
Images
Abstract
本發明提出了一種一種矽電容結構,包含一基底、一層間介電層位於該基底上、一電容溝渠從該層間介電層的表面延伸至該基底中、一電容位於該電容溝渠中,其中該電容包含一下電極位於該電容溝渠的表面、一電容介電層位於該下電極的表面、以及一上電極位於該電容介電層的表面並且填滿該電容溝渠。
Description
本發明大體上與一種矽電容結構有關,更具體言之,其係關於一種使用矽穿孔(through silicon via, TSV)製程製作的矽電容以及其製作方法。
電容是電路中不可缺少的零件,其可具有阻隔直流、旁路、耦合、濾波、溫度補償、計時、調諧或是整流等功能。傳統的電容通常是設置在印刷電路板上的,如鉭電容或是多層陶瓷電容(MLCC)。但隨著系統單晶片(SoC)技術的發展,電路的功耗越來越高,操作電壓越來越低,如此電容需要設計在電路中更加靠近元件端的位置,其對於傳統的MLCC電容會有尺寸與密度的挑戰。在此趨勢下,矽電容(silicon capacitor)應運而生。矽電容是透過先進的半導體技術直接將電容製作在半導體基底上,有別於傳統將電容製作成獨立的被動元件之後再以表面黏著技術設置在印刷電路板上。故此,矽電容可以做得更薄與更高密度,更符合現今高Q、高頻的電容器在5G通訊與智能汽車上的應用。
現今的矽電容大致分為形成在金屬間介電層(IMD)中與形成在矽基底中兩種類型,各有其優缺點。將矽電容設計在IMD層中,矽電容的高度會受限於IMD金屬層的數目與厚度,而將矽電容設計在矽基底中,矽電容會受到半導體前段製程(FEOL)的高溫影響。兩者同樣有其不利的因素存在。故此,為了因應目前矽電容的發展趨勢,本領域之技術人士亟需研究並改良目前的矽電容結構,以期能解決上述習知問題。
有鑑於上述目前習知技術的現況,本發明於此提出了一種新穎的矽電容結構與其製作方法,其特點在於該矽電容結構是在半導體前段製程(FEOL)之後的矽穿孔(through silicon via, TSV)製程中一起完成,不會受到該前段製程的高溫影響,且其電容結構從層間介電層(ILD)的表面延伸到矽基底中,不會受到後續半導體後段製程(BEOL)中所形的金屬間介電層(IMD)的數目與厚度的限制。
本發明的其一面向在於提出一種矽電容結構,包含一基底、一層間介電層,位於該基底上、一電容溝渠,從該層間介電層的表面延伸至該基底中、一電容,位於該電容溝渠中,其中該電容包含一下電極,位於該電容溝渠的表面、一電容介電層,位於該下電極的表面、以及一上電極,位於該電容介電層的表面並且填滿該電容溝渠。
本發明的另一面向在於提出一種矽電容結構的製作方法,包含提供一基底、在該基底中填入第一下電極材料,其中該第一下電極材料表面與該基底正面齊平、在該基底正面上形成半導體元件與一層間介電層、在該層間介電層中填入第二下電極材料,該第二下電極材料與該第一下電極材料相接且對齊、進行第一蝕刻製程形成一矽穿孔與一電容凹槽,其中該矽穿孔從該層間介電層延伸至該基底中,該電容凹槽從該第二下電極材料延伸至該第一下電極材料中,該矽穿孔在該基底中的深度大於該第一下電極材料在該基底中的深度、以及在該矽穿孔與該電容凹槽中依序形成一介電層與一金屬層,其中位於該矽穿孔中的該介電層與該金屬層構成一矽穿孔結構,位於該電容凹槽中的該介電層以及該金屬層與該第一下電極材料以及該第二下電極材料構成一電容。
本發明的這類目的與其他目的在閱者讀過下文中以多種圖示與繪圖來描述的較佳實施例之細節說明後應可變得更為明瞭顯見。
現在下文將詳細說明本發明的示例性實施例,其會參照附圖示出所描述之特徵以便閱者理解並實現技術效果。閱者將可理解文中之描述僅透過例示之方式來進行,而非意欲要限制本案。本案的各種實施例和實施例中彼此不衝突的各種特徵可以以各種方式來加以組合或重新設置。在不脫離本發明的精神與範疇的情況下,對本案的修改、等同物或改進對於本領域技術人員來說是可以理解的,並且旨在包含在本案的範圍內。
閱者應能容易理解,本案中的「在…上」、「在…之上」和「在…上方」的含義應當以廣義的方式來解讀,以使得「在…上」不僅表示「直接在」某物「上」而且還包括在某物「上」且其間有居間特徵或層的含義,並且「在…之上」或「在…上方」不僅表示「在」某物「之上」或「上方」的含義,而且還可以包括其「在」某物「之上」或「上方」且其間沒有居間特徵或層(即,直接在某物上)的含義。此外,諸如「在…之下」、「在…下方」、「下部」、「在…之上」、「上部」等空間相關術語在本文中為了描述方便可以用於描述一個元件或特徵與另一個或多個元件或特徵的關係,如在附圖中示出的。
如本文中使用的,術語「基底」是指向其上增加後續材料的材料。可以對基底自身進行圖案化。增加在基底的頂部上的材料可以被圖案化或可以保持不被圖案化。此外,基底可以包括廣泛的半導體材料,例如矽、鍺、砷化鎵、磷化銦等。或者,基底可以由諸如玻璃、塑膠或藍寶石晶圓的非導電材料製成。
如本文中使用的,術語「層」是指包括具有厚度的區域的材料部分。層可以在下方或上方結構的整體之上延伸,或者可以具有小於下方或上方結構範圍的範圍。此外,層可以是厚度小於連續結構的厚度的均質或非均質連續結構的區域。例如,層可以位於在連續結構的頂表面和底表面之間或在頂表面和底表面處的任何水平面對之間。層可以水準、豎直和/或沿傾斜表面延伸。基底可以是層,其中可以包括一個或多個層,和/或可以在其上、其上方和/或其下方具有一個或多個層。層可以包括多個層。例如,互連層可以包括一個或多個導體和接觸層(其中形成觸點、互連線和/或通孔)和一個或多個介電層。
閱者通常可以至少部分地從上下文中的用法理解術語。例如,至少部分地取決於上下文,本文所使用的術語「一或多個」可以用於以單數意義描述任何特徵、結構或特性,或者可以用於以複數意義描述特徵、結構或特性的組合。類似地,至少部分地取決於上下文,諸如「一」、「一個」、「該」或「所述」之類的術語同樣可以被理解為傳達單數用法或者傳達複數用法。另外,術語「基於」可以被理解為不一定旨在傳達排他性的因素集合,而是可以允許存在不一定明確地描述的額外因素,這同樣至少部分地取決於上下文。
閱者更能了解到,當「包含」與/或「含有」等詞用於本說明書時,其明定了所陳述特徵、區域、整體、步驟、操作、要素以及/或部件的存在,但並不排除一或多個其他的特徵、區域、整體、步驟、操作、要素、部件以及/或其組合的存在或添加的可能性。
現在下文將參照第1圖至第7圖來說明根據本發明較佳實施例中一矽電容結構的製作流程。本發明的矽電容結構會與矽穿孔結構(through silicon via, TSV)同一製程中進行製作,特別係製程中開孔(via middle)類型的矽穿孔結構,意即其主要製程是介於半導體前段製程(front-end-of-line, FEOL)與半導體後段製程(back-end-of-line, BEOL)之間。由於BEOL製程並非本發明的重點,為了避免模糊本發明焦點之故,文中將不會對其進行細節說明。
首先請參照第1圖,提供一基底100來作為本發明矽電容結構的設置基礎。基底100的材質較佳為矽基底,如一P型摻雜的矽基底,但也可採用其他的含矽基底,包含三五族覆矽基底(如GaN-on-silicon)或是矽覆絕緣(silicon-on-insulator,SOI)基底等,或是其他摻雜類型的基底,不以此為限定。在其他實施例中,矽基底也可為一矽中介板(Si interposer),其中可形成矽穿孔結構(TSV),用於2.5D或3D先進封裝或是異質整合等應用中。
仍參照第1圖。基底100具有一正面100a與一相對的背面100b。首先從基底100的正面100a進行一光刻製程在基底100中形成一第一電極凹槽101。該光刻製程可採用深反應性離子蝕刻(deep reactive ion etching, DRIE,也可稱之為Bosch製程),其透過使用八氟環丁烷(C
4F
8)與六氟化硫(SF
6)等蝕刻氣體與矽質基底進行化學反應,可在基底中形成高深寬比、具有卓越異向性的凹槽或微結構,特別適合用於形成矽穿孔與本發明所需的矽電容凹槽。在實施例中,第一電極凹槽101的深度可接近一般矽穿孔結構的深度,但是不會達到基底100的背面100b。須注意為了圖示簡明之故,本發明的圖示中僅例示出一個第一電極凹槽101。在實作中,基底100上可界定出個別的電容區域,其上可形成多個第一電極凹槽101在該電容區域上排列成緊密的陣列型式。
仍參照第1圖。在形成第一電極凹槽101後,接著在該第一電極凹槽101中填入第一下電極材料102。在本發明較佳實施例中,基底100為P型摻雜矽基底,與之對應地,第一下電極材料102可為N型摻雜多晶矽,其可透過低壓化學氣相沉積(LPCVD)以及臨場摻雜(in-situ doping)的方式形成在第一電極凹槽101中。第一下電極材料102填入後可再進行一平坦化製程,如化學機械平坦化(CMP)製程,移除位於基底正面100a上的第一下電極材料102,使得第一下電極材料102完全位於第一電極凹槽101中且其表面與基底正面100a齊平。在本發明實施例中,須注意上述第一下電極材料102的形成是在半導體前段製程(FEOL)之前形成,亦即此時基底上還未形成任何元件與電路結構。
請參照第2圖。在基底100中形成第一下電極材料102後,接著進行半導體前段製程(FEOL)在基底正面100a上製作出半導體元件,例如記憶體陣列200、記憶體週邊電路300或是邏輯電路元件(未圖示)。由於該FEOL製程並非本發明的重點且為習知技術,文中將不會對其製程進行細節說明。FEOL製程過後,基底100上會形成有一層間介電層(interlayer dielectrics, ILD) 104,其覆蓋住基底100中的第一下電極材料102以及上述所形成的電路與元件,並可透過化學機械平坦化(CMP)製程來平坦化。層間介電層104的材質可為氧化矽、氮化矽、氧氮化矽、旋塗玻璃(spin-on glass, SOG),氟化矽玻璃(fluorinated silica glass, FSG)或是碳摻雜氧化矽等,其可透過電將輔助化學氣相製程(PECVD)形成。
請參照第3圖。在FEOL製程過後,接著在層間介電層104中形成一第二電極凹槽105。同樣地,該第二電極凹槽105可透過深反應性離子蝕刻製程形成。可透過使用與形成第一電極凹槽101的光刻製程中同樣的光罩,使得第二電極凹槽105裸露出下方的第一下電極材料102並與之在垂直基底的方向上對齊。第二電極凹槽105形成後,接著在第二電極凹槽105中填入第二下電極材料106。在本發明較佳實施例中,第二下電極材料106可為N型摻雜多晶矽。第二下電極材料106同樣可透過低壓化學氣相沉積(LPCVD)以及臨場摻雜(in-situ doping)的方式形成在第二電極凹槽105中。第二下電極材料106填入後可進行一平坦化製程,如化學機械平坦化(CMP)製程,移除位於層間介電層104表面上的第二下電極材料106,使得第二下電極材料106完全位於第二電極凹槽105中且其表面與層間介電層104的表面齊平。在本發明實施例中,第一下電極材料102與第二下電極材料106共同構成了本發明矽電容結構的下電極材料,其垂直延伸之範圍包含了整個層間介電層104與部分的基底100。
請參照第4圖。在填入第二下電極材料106後,接著進行另一光刻製程,其同樣可採用深反應性離子蝕刻,在層間介電層104中形成矽穿孔108。須注意的是在本發明實施例中,如圖所示,此光刻製程會同時在第二下電極材料106上形成一電容凹槽110,電容凹槽110較佳位於第二下電極材料106水平面的中心處。由於兩處所蝕刻的材質不同(如分別為氧化矽與多晶矽),此蝕刻製程所形成的矽穿孔108與電容凹槽110的深度會有所不同。在本發明實施例中,當矽穿孔108被蝕刻到基底100的表面時,電容凹槽110會因為該處蝕刻速率較低的緣故只被蝕刻出一點深度。
請參照第5圖。此圖接續先前第4圖的蝕刻製程,矽穿孔108會在同樣的蝕刻製程中被蝕刻至接近基底100背面100b的深度,而電容凹槽110則被蝕刻穿過第二下電極材料106並延伸進入第一下電極材料102中,但其設計成不會蝕穿整個第一下電極材料102,使得整個電容凹槽110底面還保留著第一下電極材料102,以增加電容值。由於此階段兩孔洞中所蝕刻的材質同為矽,矽穿孔108在基底100中的深度大體上接近整個電容凹槽110的深度,但整個矽穿孔108的深度大於電容凹槽110的深度。在本發明實施例中,電容凹槽110位於基底100中的部位(包括其底面)為第一下電極材料102所圍繞,電容凹槽110位於層間介電層104中的部位為第二下電極材料106所圍繞。
請參照第6圖。在形成矽穿孔108與電容凹槽110後,接著在矽穿孔108與電容凹槽110中依序形成一介電層112與一金屬層114,其中介電層112係共形地形成在矽穿孔108與電容凹槽110的表面上,金屬層114則填滿矽穿孔108與電容凹槽110,並可透過一平坦化製程移除位於層間介電層104表面的部份。介電層112的材質可為氧化矽、氮化矽、氧化鋁或是氧化鋁鉿(HfAlO
X)等介電質,其可透過原子層沉積法(ALD)共形地形成在矽穿孔108與電容凹槽110中,其中位於電容凹槽110中的介電層112為電容介電層。金屬層114的材質可為銅、鎢、鋁、鉭等金屬,其可透過物理氣相沉積法(PVD)形成並填滿矽穿孔108與電容凹槽110。在本發明較佳實施例中,位於矽穿孔108中的介電層112與金屬層114構成一矽穿孔結構(TSV)118,位於電容凹槽110中的介電層112以及金屬層114與第一下電極材料102以及第二下電極材料106共同構成一電容116。由於此實施例中的矽穿孔結構118是在FEOL製程後以及BEOL製程之前製作,故此矽穿孔結構118為製程中開孔(via middle)類型。矽穿孔結構118與電容116皆從層間介電層104的表面延伸穿過層間介電層104進入基底100中。
請參照第7圖。在矽穿孔結構118與電容116形成後,接下來進行一晶背研磨製程從基底背面100b移除一定厚度的基底100,如此裸露出基底100中的矽穿孔結構118的金屬層114。在本發明實施例中,由於電容116的深度小於矽穿孔結構118的深度,晶背研磨製程可控制成只裸露出矽穿孔結構118而不裸露出電容116。如此,矽穿孔結構118會連通層間介電層104的表面與基底100的背面100b,電容116則從層間介電層104的表面延伸進入基底100中至一定深度。在晶背研磨製程之後,接著可在基底背面100b上形成重佈層(RDL)120。重佈層120的材質可為銅或鋁,其可透過物理氣相沉積法(PVD)加光刻製程圖案化而形成。在本發明實施例中,重佈層120會電性連接矽穿孔結構118,以在水平面上起到電氣延伸之作用,對I/O埠進行重新佈局,或是其上可設置錫球來與其他晶圓或基板連接。如此,即完成了矽穿孔結構以及本發明的矽電容結構。
在矽穿孔結構與矽電容結構完成後,後續還可進行半導體後段製程(BEOL)製作出位於層間介電層104上的金屬互連結構130,或是基底100與其他的基底或是晶圓接合。由於BEOL製程與晶圓接合製程並非本發明的重點,為了避免模糊本發明焦點之故,文中將不對其進行細節說明。
根據上述實施例方法,可以了解到本發明將矽電容結構整合在半導體前段製程(FEOL)之後的矽穿孔製程中一起完成,不會增加過多的製程步驟,且不會受到該前段製程的高溫影響。另一方面,本發明的矽電容結構從層間介電層的表面一路延伸到矽基底中,其垂直長度長,不會受到半導體後段製程(BEOL)中金屬間介電層(IMD)的數目與厚度的限制,可達到較高的電容值。
根據上述實施例方法,本發明於此也提出了一種新穎的矽電容結構,如第7圖所示,包含一基底100、一層間介電層104位於該基底100上、一電容溝渠109從層間介電層104的表面延伸至基底100中、一電容116位於電容溝渠109中,其中電容116包含一下電極(即第一下電極材料102與第二下電極材料106)位於電容溝渠109的表面、一電容介電層112位於下電極的表面、以及一上電極(即金屬層114)位於電容介電層112的表面並且填滿電容溝渠109。
現在將參照第8圖至第10圖來說明根據本發明另一實施例中一矽電容結構的製作流程。首先在第8圖中,如同第1圖之步驟,在基底100中填入第一下電極材料102。在此實施例中,第一下電極材料102中還會形成一犧牲層103來作為後續蝕刻製程的停止層。犧牲層103可以透過進行光刻製程在第一下電極材料102上形成凹槽再填入材料的方式形成,其材料可為與多晶矽具有顯著蝕刻選擇比的材料,如氧化矽等。犧牲層103大體上會位於第一下電極材料102水平表面上的中心位置,即為後續電容凹槽所形成的位置。
請參照第9圖。如同第2圖與第3圖之步驟,接著進行半導體前段製程(FEOL)在基底正面100a上製作出半導體元件,例如記憶體陣列200、記憶體週邊電路300或是邏輯電路元件(未圖示),並在層間介電層104中形成第二下電極材料106。第二下電極材料106會在垂直方向上對齊第一下電極材料102並覆蓋犧牲層103。
請參照第10圖。如同第4圖與第5圖之步驟,接著進行光刻製程在層間介電層104中形成矽穿孔108以及在第二下電極材料106中形成電容凹槽110。在此實施例中,第二下電極材料106會被蝕刻至下方犧牲層103處停止。由於此蝕刻步驟具有犧牲層103作為蝕刻停止層,可以更容易地控制蝕刻製程的參數,形成發明所需均一、筆直的以及高深寬比的凹槽截面輪廓。然後可再進行一蝕刻製程將剩餘的犧牲層103移除,如此形成完整、延伸入基底100中的電容凹槽110。後續的製程即如第4圖與第7圖所示,此處不再多加贅述。
現在將參照第11圖至第13圖來說明根據本發明又一實施例中一矽電容結構的製作流程。首先在第11圖中,在形成第一下電極材料102之前,先在基底100中形成一絕緣層122,並且絕緣層122表面與基底正面100a齊平。絕緣層122可以透過先在基底100中形成一凹槽111再填入材料而形成,其材料可為氧化矽。絕緣層122形成後,如同第1圖之步驟,在絕緣層122中填入第一下電極材料102。第一下電極材料102可以透過進行光刻製程在絕緣層122上形成第一電極凹槽101再填入材料的方式形成。凹槽111的水平寬度會大於第一電極凹槽101的水平寬度。第一下電極材料102大體上會位於絕緣層122水平表面上的中心位置。絕緣層122則變為襯層的型態介於第一下電極材料102與基底100之間。
請參照第12圖。如同第2圖與第3圖之步驟,接著進行半導體前段製程(FEOL)在基底正面100a上製作出半導體元件,例如記憶體陣列200、記憶體週邊電路300或是邏輯電路元件(未圖示),並在層間介電層104中形成第二下電極材料106。第二下電極材料106會在垂直方向上對齊並覆蓋下方的第一下電極材料102。
請參照第13圖。如同第4圖與第7圖之步驟,接著進行光刻製程在層間介電層104中形成矽穿孔108以及在第二下電極材料106與第一下電極材料102中形成電容凹槽110。之後在矽穿孔108與電容凹槽110中依序形成介電層112與金屬層114,如此形成矽穿孔結構與本發明的矽電容結構。最後進行晶背研磨製程裸露出基底背面100b的矽穿孔結構118的金屬層114,再形成重佈層120連接矽穿孔結構118。後續亦可在層間介電層104上形成與電容116以及矽穿孔結構118電性連接的金屬互連結構130。在此實施例中,由於位於基底100中的電容116的第一下電極材料102與基底100之間有絕緣層122進行阻隔,其可避免同為矽質的第一下電極材料102與基底100之間有漏電的情況發生。
以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。
100:基底
100a:正面
100b:背面
101:第一電極凹槽
102:第一下電極材料(下電極)
103:犧牲層
104:層間介電層
105:第二電極凹槽
106:第二下電極材料(下電極)
108:矽穿孔
109:電容溝渠
110:電容凹槽
111:凹槽
112:介電層
114:金屬層(上電極)
116:電容
118:矽穿孔結構
120:重佈層
122:絕緣層
130:金屬互連結構
200:記憶體陣列
300:記憶體週邊電路
第1圖至第7圖為根據本發明較佳實施例中一矽電容結構的製作流程的截面示意圖;
第8圖至第10圖為根據本發明另一實施例中一矽電容結構的製作流程的截面示意圖;以及
第11圖至第13圖為根據本發明又一實施例中一矽電容結構的製作流程的截面示意圖。
須注意本說明書中的所有圖示皆為圖例性質,為了清楚與方便圖示說明之故,圖示中的各部件在尺寸與比例上可能會被誇大或縮小地呈現,一般而言,圖中相同的參考符號會用來標示修改後或不同實施例中對應或類似的元件特徵。
100:基底
100a:正面
100b:背面
102:第一下電極材料(下電極)
104:層間介電層
106:第二下電極材料(下電極)
109:電容凹槽
112:介電層
114:金屬層(上電極)
116:電容
118:矽穿孔結構
120:重佈層
130:金屬互連結構
200:記憶體陣列
300:記憶體週邊電路
Claims (16)
- 一種矽電容結構,包含: 一基底; 一層間介電層,位於該基底上; 一電容溝渠,從該層間介電層的表面延伸至該基底中; 一電容,位於該電容溝渠中,其中該電容包含: 一下電極,位於該電容溝渠的表面; 一電容介電層,位於該下電極的表面;以及 一上電極,位於該電容介電層的表面並且填滿該電容溝渠。
- 如申請專利範圍第1項所述之矽電容結構,其中該基底包含P型摻雜矽基底,該下電極的材質包含N型摻雜多晶矽。
- 如申請專利範圍第1項所述之矽電容結構,其中該電容介電層的材質為氧化矽、氮化矽、氧化鋁或是氧化鋁鉿。
- 如申請專利範圍第1項所述之矽電容結構,其中該上電極的材質包含銅、鉭、鎢或鋁。
- 如申請專利範圍第1項所述之矽電容結構,更包含一絕緣層介於該下電極與該基底之間。
- 如申請專利範圍第1項所述之矽電容結構,更包含一矽穿孔結構從該層間介電層的表面延伸至該基底的背面,該矽穿孔結構包含一介電層以及一金屬層,其中該介電層與該電容介電層同時形成,該金屬層與該上電極同時形成。
- 如申請專利範圍第6項所述之矽電容結構,更包含一重佈層位於該基底的背面上且電性連接該矽穿孔結構。
- 一種矽電容結構的製作方法,包含: 提供一基底; 在該基底中填入第一下電極材料,其中該第一下電極材料表面與該基底正面齊平; 在該基底正面上形成半導體元件與一層間介電層; 在該層間介電層中填入第二下電極材料,該第二下電極材料與該第一下電極材料相接且對齊; 進行第一蝕刻製程形成一矽穿孔與一電容凹槽,其中該矽穿孔從該層間介電層延伸至該基底中,該電容凹槽從該第二下電極材料延伸至該第一下電極材料中,該矽穿孔在該基底中的深度大於該第一下電極材料在該基底中的深度;以及 在該矽穿孔與該電容凹槽中依序形成一介電層與一金屬層,其中位於該矽穿孔中的該介電層與該金屬層構成一矽穿孔結構,位於該電容凹槽中的該介電層以及該金屬層與該第一下電極材料以及該第二下電極材料構成一電容。
- 如申請專利範圍第8項所述之矽電容結構的製作方法,更包含在該矽穿孔結構形成後進行一晶背研磨製程,裸露出該基底中的該矽穿孔結構的該金屬層,但不裸露該基底中的該第一下電極材料。
- 如申請專利範圍第9項所述之矽電容結構的製作方法,更包含在該晶背研磨製程後在該基底的背面上形成重佈層,該些重佈層電性連接該矽穿孔結構。
- 如申請專利範圍第8項所述之矽電容結構的製作方法,其中填入該第一下電極材料的步驟包含: 進行第二蝕刻製程在該基底中形成一第一電極凹槽;以及 在該第一電極凹槽中形成該第一下電極材料。
- 如申請專利範圍第8項所述之矽電容結構的製作方法,其中填入該第二下電極材料的步驟包含: 進行第三蝕刻製程在該層間介電層中形成一第二電極凹槽,該第二電極凹槽裸露出該第一下電極材料並與該第一下電極材料對齊;以及 在該第二電極凹槽中形成該第二下電極材料。
- 如申請專利範圍第8項所述之矽電容結構的製作方法,更包含在該第一下電極材料中形成一犧牲層,其中該第一蝕刻製程蝕刻該第二下電極材料至該犧牲層處停止。
- 如申請專利範圍第13項所述之矽電容結構的製作方法,更包含去除該犧牲層以形成該電容凹槽。
- 如申請專利範圍第8項所述之矽電容結構的製作方法,更包含: 在形成該第一下電極材料前先在該基底中填入一絕緣層,其中該絕緣層表面與該基底正面齊平;以及 在該絕緣層中填入該第一下電極材料。
- 如申請專利範圍第8項所述之矽電容結構的製作方法,更包含在該電容與該矽穿孔形成後在該層間介電層上形成金屬互連結構,該些金屬互連結構電性連接該矽穿孔、該電容以及該半導體元件。
Priority Applications (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN202211189499.6A CN117766522A (zh) | 2022-09-15 | 2022-09-28 | 硅电容结构及其制作方法 |
US18/116,318 US20240096927A1 (en) | 2022-09-15 | 2023-03-02 | Silicon capacitor structure and method of manufacturing the same |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202415276A true TW202415276A (zh) | 2024-04-01 |
Family
ID=
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10861788B2 (en) | Patterning approach for improved via landing profile | |
US10153338B2 (en) | Method of manufacturing a capacitor | |
US8847365B2 (en) | Inductors and methods for integrated circuits | |
CN102420210B (zh) | 具有硅通孔(tsv)的器件及其形成方法 | |
TWI719052B (zh) | 半導體元件及其製造方法 | |
US20100164062A1 (en) | Method of manufacturing through-silicon-via and through-silicon-via structure | |
US9437491B2 (en) | Method of forming chip with through silicon via electrode | |
KR100902581B1 (ko) | 반도체 소자의 스택 커패시터 및 그의 형성방법 | |
TWI708323B (zh) | 半導體結構及其製作方法 | |
KR20140131976A (ko) | 스트레인-완화된 tsv에 대한 구조 및 방법 | |
US20180033723A1 (en) | Capacitors with Barrier Dielectric Layers, and Methods of Formation Thereof | |
CN109473486B (zh) | 一种电容器结构及其制作方法 | |
US8728936B1 (en) | Copper etching integration scheme | |
TW201841311A (zh) | 分段式防護環及晶片邊緣密封件 | |
US7452804B2 (en) | Single damascene with disposable stencil and method therefore | |
CN113224038A (zh) | 电容结构以及其制作方法 | |
US10833149B2 (en) | Capacitors | |
EP1378935A2 (en) | A method to form both high and low-K materials in one plane on a substrate, and their application in mixed mode circuits | |
US11562974B2 (en) | Hybrid bonding structure and method of fabricating the same | |
TW202415276A (zh) | 矽電容結構及其製作方法 | |
US10790227B2 (en) | Semiconductor device with interconnect structure and fabrication method thereof | |
KR101459597B1 (ko) | 관통 실리콘 비아 제조방법 | |
US20240096927A1 (en) | Silicon capacitor structure and method of manufacturing the same | |
CN112530899A (zh) | 半导体器件及其制造方法 | |
KR100485157B1 (ko) | 반도체 소자의 다층 금속배선 및 그 형성 방법 |