TW202407813A - 半導體裝置結構及其形成方法 - Google Patents

半導體裝置結構及其形成方法 Download PDF

Info

Publication number
TW202407813A
TW202407813A TW112113558A TW112113558A TW202407813A TW 202407813 A TW202407813 A TW 202407813A TW 112113558 A TW112113558 A TW 112113558A TW 112113558 A TW112113558 A TW 112113558A TW 202407813 A TW202407813 A TW 202407813A
Authority
TW
Taiwan
Prior art keywords
layer
source
drain
epitaxial layer
epitaxial
Prior art date
Application number
TW112113558A
Other languages
English (en)
Inventor
張正偉
沙哈吉B 摩爾
梁順鑫
王菘豊
劉奕瑩
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202407813A publication Critical patent/TW202407813A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

提供半導體裝置結構,半導體裝置結構包含源極/汲極磊晶部件,設置於基底上方,其中源極/汲極磊晶部件包括:第一磊晶層;第二磊晶層,接觸第一磊晶層,其中第二磊晶層具有第一摻雜物濃度;及第三磊晶層,具有被第二磊晶層包圍的側壁,其中第三磊晶層具有大於第一摻雜物濃度的第二摻雜物濃度。半導體裝置結構也包含源極/汲極蓋層,設置於第二磊晶層及第三磊晶層之上並接觸第二磊晶層及第三磊晶層,其中源極/汲極蓋層具有大於第二摻雜物濃度的第三摻雜物濃度;以及矽化物層,設置於源極/汲極蓋層之上並接觸源極/汲極蓋層。

Description

半導體裝置結構及其形成方法
本發明實施例係有關於半導體技術,且特別是有關於半導體裝置結構及其形成方法。
積體電路(integrated circuit,IC)產業已經歷了快速成長。在積體電路材料和設計上的技術進步產生了數代積體電路,每一代都比前一代具有更小且更複雜的電路。在積體電路的發展史中,功能密度(即每一晶片區互連的裝置數目)增加,同時幾何尺寸(即製造過程中所產生的最小的組件或線路)縮小。此元件尺寸微縮化的製程提供增加生產效率與降低相關費用的益處。此元件尺寸微縮化也帶來了新的挑戰。舉例來說,已提出使用奈米線通道的電晶體,以實現增加的裝置密度、更大的載子移動率及裝置中的驅動電流。隨著裝置尺寸縮小,不斷需要改善加工及製造積體電路。
在一些實施例中,提供半導體裝置結構,半導體裝置結構包含源極/汲極磊晶部件,設置於基底上方,其中源極/汲極磊晶部件包含:第一磊晶層;第二磊晶層,接觸第一磊晶層,第二磊晶層具有第一摻雜物濃度;及第三磊晶層,具有被第二磊晶層包圍的側壁,第三磊晶層具有大於第一摻雜物濃度的第二摻雜物濃度;源極/汲極蓋層,設置於第二磊晶層及第三磊晶層之上並接觸第二磊晶層及第三磊晶層,源極/汲極蓋層具有大於第二摻雜物濃度的第三摻雜物濃度;以及矽化物層,設置於源極/汲極蓋層之上並接觸源極/汲極蓋層。
在一些實施例中,提供半導體裝置結構,半導體裝置結構包含兩個或更多個半導體層,垂直堆疊於基底上方;閘極電極層,圍繞兩個或更多個半導體層的其中一者的一部分;源極/汲極磊晶部件,設置於基底上方,並相鄰於兩個或更多個半導體層,源極/汲極磊晶部件包含:第一磊晶層,設置於基底上方;及第二磊晶層,具有接觸第一磊晶層的至少三個表面,第二磊晶層具有第一摻雜物濃度;以及源極/汲極蓋層,設置於第一磊晶層及第二磊晶層之上並接觸第一磊晶層及第二磊晶層,源極/汲極蓋層具有大於第一摻雜物濃度的第二摻雜物濃度,源極/汲極蓋層接觸第二磊晶層的第一側面的部分定義第一界面,源極/汲極蓋層接觸第二磊晶層的第二側面的部分定義第二界面,其中第一界面沿第一方向延伸,第二界面沿第二方向延伸,且第一方向相對於第二方向形成角度小於約90度。
在另外一些實施例中,提供半導體裝置結構的形成方法,此方法包含在由基底形成的第一鰭結構及第二鰭結構的一部分上方沉積犧牲閘極結構,其中第一鰭結構及第二鰭結構各包含交替堆疊的複數個第一半導體層及複數個第二半導體層;在第一鰭結構與第二鰭結構之間形成源極/汲極部件,其中源極/汲極部件包含第一磊晶層、第一磊晶層上的第二磊晶層及被第二磊晶層圍繞的第三磊晶層,且其中第三磊晶層具有第一摻雜物濃度;在第二磊晶層及第三磊晶層上形成源極/汲極蓋層,其中源極/汲極蓋層具有第一厚度,且其中源極/汲極蓋層具有大於第一摻雜物濃度的第二摻雜物濃度;移除複數個第二半導體層,以暴露第一鰭結構及第二鰭結構的複數個第一半導體層的一部分;形成閘極電極層,以圍繞至少第一鰭結構及第二鰭結構的複數個第一半導體層的一者的暴露部分;形成矽化物層,包含:在源極/汲極蓋層上沉積金屬源層;及進行熱退火製程,使得源極/汲極蓋層與金屬源層反應,且源極/汲極蓋層中的摻雜物擴散並堆積至及/或靠近由第三磊晶層與源極/汲極蓋層定義的界面,其中在熱退火製程之後,源極/汲極蓋層的厚度從第一厚度縮小至第二厚度;以及在矽化物層上形成金屬蓋層。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,元件之尺寸不限於本揭示之一實施方式之範圍或數值,但可取決於元件之處理條件及/或要求性質。此外,在隨後描述中在第二部件上方或在第二部件上形成第一部件之包括第一及第二部件形成為直接接觸之實施例,以及亦可包括額外部件可形成在第一及第二部件之間,使得第一及第二部件可不直接接觸之實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“在...之上”、“上方”、“上”、“頂部”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
雖然本文實施例係關於奈米片場效電晶體討論,但是本發明實施例一些方面可用於其他製程及/或其他裝置中,例如平面場效電晶體、鰭式場效電晶體、水平全繞式閘極(Horizontal Gate All Around,HGAA)場效電晶體、垂直全繞式閘極(Vertical Gate All Around,VGAA)場效電晶體及其他合適的裝置。本領域技術人員將容易理解在本發明實施例的範圍內考慮了可以進行的其他修改。在採用全繞式閘極(GAA)電晶體結構的情況中,全繞式閘極電晶體結構可透過使用合適方法來圖案化。舉例來說,這些結構可透過使用一個或多個光微影製程(包含雙重圖案化或多重圖案化製程)來圖案化。一般來說,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一實施例中,犧牲層形成於基底上方並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可接著使用剩下的間隔物來將全繞式閘極電晶體結構圖案化。
第1-25圖顯示依據一些實施例,製造半導體裝置結構100的例式性製程。可以理解的是,可在第1-25圖所示的製程之前、期間及之後提供額外的操作,且對於方法的額外實施例,可取代或消除以下描述的一些操作。操作/製程的順序不受限,且可互換這些操作/製程的順序。
第1-8圖為依據一些實施例,製造半導體裝置結構100的各階段的透視圖。如第1圖所示,半導體裝置結構100包含形成於基底101上方的半導體層堆疊物104。基底101可為半導體基底。基底101可包含結晶半導體材料,例如但不限於矽(Si)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、銻化銦(InSb)、磷化鎵(GaP)、銻化鎵(GaSb)、砷化銦鋁(InAlAs)、砷化銦鎵(InGaAs)、磷化鎵銻(GaSbP)、 銻化鎵砷(GaAsSb)及磷化銦(InP)。在一實施例中,基底101由矽製成。在一些實施例中,基底101為具有設置於兩個矽層之間用於增強的絕緣層(未顯示)的絕緣層上覆矽(silicon-on-insulator,SOI)基底。在一方面,絕緣層為含氧層。
基底101可包含已摻雜雜質的各種區域(例如具有p型或n型雜質的摻雜物)。取決於電路設計,摻雜物可為例如用於p型場效電晶體(p-type field effect transistors,p-type FETs)的硼以及用於n型場效電晶體(n-type field effect transistors,n-type FETs)的磷。
半導體層堆疊物104包含由不同材料製成的半導體層,以促進多閘極裝置(例如奈米片場效電晶體)中的奈米片通道形成。在一些實施例中,半導體層堆疊物104包含第一半導體層106及第二半導體層108。在一些實施例中,半導體層堆疊物104包含交替的第一半導體層106及第二半導體層108,且第一半導體層106及第二半導體層108彼此平行設置。第一半導體層106及第二半導體層108由具有不同蝕刻選擇性及/或氧化速率的半導體材料製成。舉例來說,第一半導體層106由Si製成,而第二半導體層108由SiGe製成。在一些範例中,第一半導體層106由SiGe製成,而第二半導體層108由Si製成。在一些實施例中,第一半導體層106可由具有第一鍺濃度範圍的SiGe製成,且第二半導體層108可由具有小於或大於第一鍺濃度範圍的第二鍺濃度範圍的SiGe製成。在任何情況中,第二半導體層108可具有鍺濃度在約20 at.%(原子百分比)與30 at.%之間的範圍中。
第一半導體層106及第二半導體層108的厚度可依據應用及/或裝置效能考量而改變。在一些實施例中,第一半導體層106及第二半導體層108的每一者可具有厚度在約5nm與約30nm之間的範圍中。每個第二半導體層108可具有厚度D1等於、小於或大於第一半導體層106的厚度。在一些實施例中,每個第一半導體層106可具有厚度在約10nm與約30nm之間的範圍中,且每個第二半導體層108可具有厚度在約5nm與約20nm之間的範圍中。可最終移除第二半導體層108,且第二半導體層108用作定義半導體裝置結構100的相鄰通道之間的垂直距離。
第一半導體層106或第一半導體層106的一部分可在後續製造階段形成半導體裝置結構100的奈米片通道。本文使用術語奈米片來標註具有奈米級或甚至微米級尺寸以及具有細長形狀的任何材料部分(無論這部分的剖面形狀如何)。因此,此術語標註圓形及大致圓形剖面細長材料部分、柱狀或棒狀材料部分(包含例如圓柱形或大致矩形剖面)。半導體裝置結構100的奈米片通道可透過閘極電極圍繞。半導體裝置結構100可包含奈米片電晶體。奈米片電晶體可被稱為奈米片電晶體、奈米線電晶體、全繞式閘極(GAA)電晶體、多橋接通道(multi-bridge channel,MBC)電晶體或具有圍繞通道的閘極電極的任何電晶體。以下進一步討論使用第一半導體層106來定義半導體裝置結構100的通道。
第一半導體層106及第二半導體層108透過任何合適沉積製程形成,例如磊晶。舉例來說,半導體層堆疊物104的磊晶成長可透過分子束磊晶(molecular beam epitaxy,MBE)製程、金屬有機化學氣相沉積(metalorganic chemical vapor deposition,MOCVD)製程及/或其他合適的磊晶成長製程來進行。雖然第1圖顯示交替排列的三個第一半導體層106及三個第二半導體層108,應可理解的是,取決於每個場效電晶體的奈米片通道的預定數量,任何數量的第一半導體層106及第二半導體層108可形成於半導體層堆疊物104中。舉例來說,第一半導體層106的數量(其為通道的數量)可在2與8之間。
在第2圖中,鰭結構112從半導體層堆疊物104形成。每個鰭結構112具有包含第一半導體層106及第二半導體層108的一部分、從基底101形成的井區部分116及遮罩結構110的一部分。在形成鰭結構112之前,遮罩結構110形成於半導體層堆疊物104上方。遮罩結構110可包含墊層110a及硬遮罩110b。墊層110a可為含氧層,例如SiO 2層。硬遮罩110b可為含氮層,例如Si 3N 4層。遮罩結構110可透過任何合適的沉積製程形成,例如化學氣相沉積(chemical vapor deposition,CVD)製程。
鰭結構112可透過使用合適的製程製造,合適的製程包含光微影及蝕刻製程。在一些實施例中,光微影製程可包含在遮罩結構110上方形成光阻層(未顯示),將光阻曝光成為一圖案,進行曝光後烘烤製程,以及將光阻顯影,以形成包含圖案化光阻層。接著,圖案化光阻層可用以保護基底101的一些區域及形成於基底101上的層,而蝕刻製程通過遮罩結構110、半導體層堆疊物104進入基底101在未受保護的區域中形成溝槽114(溝槽114的底部為基底101的頂表面103),進而形成延伸的鰭結構112。鰭結構112沿Y方向的寬度W1可在約1.5nm與約44nm之間的範圍中,例如約2nm至約6nm。溝槽114可透過使用乾蝕刻(例如反應性離子蝕刻(reactive ion etching,RIE))、濕蝕刻及/或前述之組合來蝕刻。雖然顯示兩個鰭結構112,但是鰭結構的數量不限於兩個。
在第3圖中,在形成鰭結構112之後,絕緣材料118形成於鰭結構112之間的溝槽114中。絕緣材料118填充相鄰鰭結構112之間的溝槽114,直到鰭結構112埋置於絕緣材料118中。接著,進行化學機械研磨(chemical mechanical polishing,CMP)製程及/或回蝕刻製程,以暴露鰭結構112的頂部。絕緣材料118可由氧化矽、氮化矽、氮氧化矽(SiON)、SiOCN、SiCN、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、低介電常數介電材料或任何合適的介電材料製成。絕緣材料118可透過任何合適方法形成,例如低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)、電漿輔助化學氣相沉積(plasma enhanced CVD,PECVD)或可流動化學氣相沉積(flowable CVD,FCVD)
接著,將絕緣材料118凹陷,以形成隔離區120。絕緣材料118的凹陷暴露了鰭結構112的一部分。隔離區120可透過使用合適的製程形成,例如乾蝕刻製程、濕蝕刻製程或前述之組合。由於凹陷製程的緣故,絕緣材料118的頂表面可位於或稍微低於第二半導體層108接觸井區部分116的表面。
在第4圖中,包覆層117透過磊晶製程形成於鰭結構112的暴露部分上方。在一些實施例中,半導體襯墊(未顯示)可先形成於鰭結構112上方,且包覆層117接著形成於半導體襯墊上方。半導體襯墊可在形成包覆層117期間擴散至包覆層117中。在任一情況下,包覆層117都與半導體層堆疊物104接觸。在一些實施例中,包覆層117及第二半導體層108包含具有相同蝕刻選擇性的相同材料。舉例來說,包覆層117及第二半導體層108可為或包含SiGe。可後續移除包覆層117及第二半導體層108,以形成用於後續形成的閘極電極層的空間。
在第5圖中,襯墊119形成於包覆層117及絕緣材料118的頂表面上。襯墊119可包含具有介電常數值小於7的材料,例如SiO 2、 SiN、 SiCN、 SiOC或SiOCN。襯墊119可透過順應性製程形成,例如原子層沉積製程。接著,介電材料121形成於溝槽114(第4圖)中及襯墊119上。介電材料121可為透過可流動化學氣相沉積形成的含氧材料(例如氧化物)。含氧材料可具有介電常數值小於約7,例如小於約3。可進行平坦化製程(例如化學機械研磨製程),以移除襯墊119及介電材料121形成於鰭結構112上方的部分。在平坦化製程之後,暴露了包覆層117設置於硬遮罩110b上的部分。
接著,將襯墊119及介電材料121凹陷至最頂部第一半導體層106的水平高度。舉例來說,在一些實施例中,在凹陷製程之後,襯墊119及介電材料121的頂表面可與最上方第一半導體層106的頂表面齊平。凹陷製程可為大致不影響包覆層117的半導體材料的選擇性蝕刻製程。由於凹陷製程的緣故,溝槽123形成於鰭結構112之間。
在第6圖中,介電材料125形成於溝槽123(第5圖)中及介電材料121及襯墊119上。介電材料125可包含SiO、 SiN、 SiC、 SiCN、 SiON、 SiOCN、 AlO、 AlN、 AlON、 ZrO、 ZrN、 ZrAlO、 HfO或其他合適的介電材料。在一些實施例中,介電材料125包含高介電常數介電材料(例如材料具有介電常數值大於7)。介電材料125可透過任何合適製程形成,例如化學氣相沉積、電漿輔助化學氣相沉積、可流動化學氣相沉積或原子層沉積製程。進行平坦化製程(例如化學機械研磨製程),直到暴露遮罩結構110的硬遮罩110b。平坦化製程移除介電材料125及包覆層117設置於遮罩結構110上方的部分。襯墊119、介電材料121及介電材料125可被統稱為介電部件127或混合鰭(hybrid fin)。介電部件127用作將後續形成的源極/汲極(S/D)磊晶部件及相鄰的閘極電極層隔開。
在第7圖中,將包覆層117凹陷,並移除遮罩結構110 。包覆層117的凹陷可透過任何合適製程進行,例如乾蝕刻、濕蝕刻或前述之組合。可控制凹陷製程,使得剩下的包覆層117大致與半導體層堆疊物104中的最上方第一半導體層106的頂表面在相同水平高度。蝕刻製程可為大至不影響介電材料125的選擇性蝕刻製程。遮罩結構110的移除可透過任何合適製程進行,例如乾蝕刻、濕蝕刻或前述之組合。
在第8圖中,一個或多個犧牲閘極結構130(僅顯示兩個)形成於半導體裝置結構100上方。犧牲閘極結構130形成於鰭結構112的一部分上方。每個犧牲閘極結構130可包含犧牲閘極介電層132、犧牲閘極電極層134及遮罩層136。犧牲閘極介電層132、犧牲閘極電極層134及遮罩層136可透過依序沉積犧牲閘極介電層132、犧牲閘極電極層134及遮罩層136的毯覆層,接著進行圖案化及蝕刻製程來形成。舉例來說,圖案化製程包含微影製程(例如光微影或電子束微影),微影製程可更包含光阻塗佈(例如旋塗)、軟烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、清洗、乾燥(例如旋乾及/或硬烤)、其他合適的微影技術及/或前述之組合。在一些實施例中,蝕刻製程可包含乾蝕刻(例如反應性離子蝕刻(RIE))、濕蝕刻、其他蝕刻方法及/或前述之組合。
透過圖案化犧牲閘極結構130,鰭結構112的半導體層堆疊物104部分暴露於犧牲閘極結構130的兩側。鰭結構112被犧牲閘極結構130的犧牲閘極電極層134覆蓋的部分用作半導體裝置結構100的通道區。部分暴露於犧牲閘極結構130的兩側的鰭結構112定義半導體裝置結構100的源極/汲極(source/drain,S/D)區。雖然顯示兩個犧牲閘極結構130,但是在一些實施例中,可沿X方向排列三個或更多個犧牲閘極結構130。
接著,閘極間隙壁138形成於犧牲閘極結構130的側壁上。閘極間隙壁138可透過先沉積順應層,後續回蝕刻以形成側壁閘極間隙壁來形成。舉例來說,間隙壁材料層可順應性沉積於半導體裝置結構100的暴露表面上。順應性間隙壁材料層可透過原子層沉積製程形成。之後,使用例如反應性離子蝕刻對間隙壁材料層進行非等向性蝕刻。在非等向性蝕刻製程期間,從水平表面(例如鰭結構112、包覆層117及介電材料125的頂部)移除大部分的間隙壁材料層,留下垂直表面(例如犧牲閘極結構130的側壁)上的閘極間隙壁138。閘極間隙壁138可由介電材料製成,例如氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN、碳氧化矽、SiOCN及/或前述之組合。
在沒有包覆層117及介電部件127的一些實施例中,犧牲閘極結構130及閘極間隙壁138的一部分形成於絕緣材料118上,且間隙形成於鰭結構112的暴露部分之間。
第9-25圖為依據一些實施例,沿第8圖的剖面A-A,製造半導體裝置結構100的各階段的剖面側視圖。剖面A-A在沿X方向的鰭結構112的平面中。在第9圖中,透過使用一個或多個合適的蝕刻製程(例如乾蝕刻、濕蝕刻或前述之組合)選擇性凹陷未被犧牲閘極結構130及閘極間隙壁138覆蓋的鰭結構112的暴露部分、包覆層117的暴露部分及暴露的介電材料125的部分。在一些實施例中,移除鰭結構112的半導體層堆疊物104的暴露部分,暴露井區部分116的一部分。如第9圖所示,將鰭結構112的暴露部分凹陷至第二半導體層108的底表面接觸基底101的井區部分116的水平高度或稍微低於此水平高度。凹陷製程可包含將鰭結構112的暴露部分及包覆層117的暴露部分凹陷的蝕刻製程。
在第10圖中,沿X方向水平移除半導體層堆疊物104的第二半導體層108的邊緣部分。第二半導體層108的邊緣部分的移除形成凹穴(cavities)。在一些實施例中,第二半導體層108的這些部分透過選擇性濕蝕刻製程移除。在第二半導體層108由SiGe製成且第一半導體層106由矽及/或具有比第二半導體層108更小的鍺濃度的矽鍺製成的情況中,使用濕蝕刻劑選擇性蝕刻第二半導體層108,濕蝕刻劑例如但不限於氫氧化銨(NH 4OH)、四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)、乙二胺鄰苯二酚(ethylenediamine pyrocatechol,EDP)或氫氧化鉀(KOH)溶液。
在移除每個第二半導體層108的邊緣部分之後,介電層沉積於凹穴中,以形成介電間隙壁144。介電間隙壁144可由SiON、 SiCN、 SiOC、 SiOCN或SiN製成。介電間隙壁144可透過先使用順應性沉積製程(例如原子層沉積)形成順應性介電層來形成,接著進行非等向性蝕刻,以移除順應性介電層除了介電間隙壁144之外的部分。介電間隙壁144在非等向性蝕刻製程期間受到第一半導體層106保護。剩下的第二半導體層108沿X方向覆蓋於介電間隙壁144之間。
在第11圖中,在形成介電間隙壁144之後,刻面結構148(facetted structure)形成於第一半導體層106的暴露表面及基底101的暴露表面(例如井區部分116)上,以促進後續源極/汲極部件146的磊晶成長。在一些實施例中,刻面結構148的一部分更接觸介電間隙壁144。刻面結構148可垂直及水平成長,以形成刻面,這些刻面可對應至第一半導體層106及基底101的暴露表面的材料的晶面。由於在不同表面平面上不同的成長速率的緣故,可形成刻面。舉例來說,在刻面結構148的成長期間,在第一半導體層106(例如矽)的(111)面上的成長速率可小於在其他平面(例如第一半導體層106的(110)面及(100)面)上的成長速率。因此,刻面由於不同平面的成長速率中的差異的緣故而形成。在一實施例中,刻面結構148具有菱形形狀。相較於第一半導體層106的暴露表面,刻面結構148的刻面提供了增加的表面面積,以促進源極/汲極部件146的磊晶成長。當形成刻面結構148之後,源極/汲極部件146可成長於刻面結構148上,並覆蓋刻面結構148的暴露表面。
在一些實施例中,刻面結構148包含矽。在一些實施例中,取決於形成於刻面結構148上的源極/汲極部件146的導電類型,刻面結構148包含矽及n型或p型摻雜物。舉例來說,在n型裝置區的刻面結構148可為摻雜n型摻雜物(例如磷或砷)的矽,而在p型裝置區的刻面結構148可為摻雜p型摻雜物(例如硼)的矽。在一例示性實施例中,刻面結構148為硼摻雜矽(Si:B)。刻面結構148可透過使用選擇性累積成長(selective epitaxial growth,SEG)、原子層沉積(atomic layer deposition,ALD)、分子束磊晶(MBE)或任何合適的成長製程形成。在一些實施例中,第一半導體層106可在製程腔體中暴露於含矽前驅物及n型或p型含摻雜物前驅物,以形成刻面結構148。成長製程的製程條件依據第一半導體層106及基底101的晶面來配置,以促進刻面結構148的刻面形成。當達到刻面結構148的預定體積之後,可終止n型或p型含摻雜物前驅物的流入,並將第IV族或第V族前驅物連同含矽前驅物引入製程腔體,以形成源極/汲極部件146。因此,刻面結構148由與源極/汲極部件146化學上不同的材料形成。透過佈植製程可在形成源極/汲極部件146期間及/或在形成源極/汲極部件146之後添加源極/汲極部件146的摻雜物。
在第12圖中,源極/汲極部件146形成於相鄰的犧牲閘極結構130之間的源極/汲極區中。源極/汲極部件146的形狀受限於介電部件127。源極/汲極部件146可包含第一磊晶層146a、形成於第一磊晶層146a上的第二磊晶層146b及形成於第二磊晶層146b上的第三磊晶層146c。第一磊晶層146a、第二磊晶層146b及第三磊晶層146c可透過任何合適製程形成,例如化學氣相沉積、循環沉積蝕刻(cyclic deposition etch,CDE)磊晶製程、選擇性磊晶成長(SEG)製程、原子層沉積、電漿輔助原子層沉積(plasma enhanced ALD,PEALD)、分子束磊晶(MBE)或任何前述之組合。源極/汲極部件146可為源極/汲極區。舉例來說,位於犧牲閘極結構130的一側的一對源極/汲極部件146的其中一者可為源極區,而位於犧牲閘極結構130的另一側的這對源極/汲極部件146的另外一者可為汲極區。源極/汲極部件146包含透過通道層(即第一半導體層106)連接的源極磊晶部件及汲極磊晶部件。在本文中,可互換使用源極和汲極,且源極和汲極的結構大致相同。
第一磊晶層146a形成於刻面結構148上,刻面結構148設置於基底101的井區部分116的暴露表面上。在一些實施例中,第一磊晶層146a的一部分更進一步接觸介電間隙壁144。第一磊晶層146a可包含半導體材料,例如Si、 SiP、 SiC、 SiAs、 SiCP 、SiGe或Ge。在一些實施例中,第一磊晶層146a由未摻雜矽形成。沒有摻雜物的第一磊晶層146a避免了摻雜物擴散至通道區(例如基底101位於犧牲閘極結構130下方且在相鄰的源極/汲極部件146之間的區域)中。
第二磊晶層146b順應性形成於第一磊晶層146a上,並接觸介電間隙壁144及刻面結構148。在一些實施例中,形成第二磊晶層146b,以完全覆蓋介電間隙壁144及刻面結構148的暴露表面。由於在第一磊晶層146a、介電間隙壁144及刻面結構148上的不同成長速率的緣故,第二磊晶層146b可具有在波峰和波谷之間交替的曲折形或鋸齒形輪廓。在一些實施例中,第二磊晶層146b由矽鍺形成,且鍺濃度在約25 at.%與約40 at.%之間的範圍中。取決於形成於鰭結構112上方的裝置的導電型,第二磊晶層146b可具有n型摻雜物或p型摻雜物。第二磊晶層146b用作洩漏阻障層,以防止後續背側金屬元件可能擴散至閘極區域中。第二磊晶層146b也可用作第一磊晶層146a與第三磊晶層146c之間的晶格過渡層(lattice transitional layer)。在一些實施例中,第二磊晶層146b為硼摻雜矽鍺。在這些情況中,第二磊晶層146b含有硼,且摻雜物濃度在約1E20 atoms/cm 3與約 8E20 atoms/cm 3之間的範圍中。在一些實施例中,第二磊晶層146b含有磷,且摻雜物濃度在約1E20 atoms/cm 3與約 5E20 atoms/cm 3之間的範圍中。接觸第一磊晶層146a的第二磊晶層146b的底部可具有寬度W2在約2nm至約10nm的範圍中。第二磊晶層146b可具有厚度在約0.1nm至約1nm的範圍中。如果第二磊晶層146b的厚度小於0.1nm,則第二磊晶層146b可能不足夠厚作為洩漏阻障層或第一磊晶層146a與將形成的第三磊晶層146c之間的晶格過渡層。如果第二磊晶層146b的厚度大於1nm,則在對於晶體結構轉變沒有明顯的額外優勢的情況下增加了製造成本。
第三磊晶層146c形成於第二磊晶層146b上,且具有至少被第二磊晶層146b圍繞的側壁。在一些實施例中,第三磊晶層146c的至少三個表面接觸第二磊晶層146b。因此,第三磊晶層146c承襲第二磊晶層146b的表面輪廓,且可具有相似的曲折形或鋸齒形輪廓的側壁。在一些實施例中,第三磊晶層146c的頂表面形成具有曲面(例如凹面)輪廓。第三磊晶層146c形成源極/汲極部件146的主要部分。相似地,第三磊晶層146c可為半導體材料,例如Si、 SiP、 SiC、 SiAs、 SiCP、 SiGe或Ge。在一些實施例中,第三磊晶層146c包含與第二磊晶層146b相同的材料。在一些實施例中,第三磊晶層146c由矽鍺形成,且鍺濃度在約50 at.%與約60 at.%之間的範圍中。取決於形成於鰭結構112上方的裝置的導電型,第三磊晶層146c可具有n型摻雜物或p型摻雜物。在一實施例中,第三磊晶層146c為硼摻雜矽鍺。在任一情況中,第三磊晶層146c具有摻雜物濃度比第二磊晶層146b的摻雜物濃度更大(例如更大約10%至約30%)。第三磊晶層146c的較高摻雜物濃度可減少源極/汲極部件146的接觸電阻,並與後續形成的源極/汲極金屬接點提供較好的導電性。在一些實施例中,第三磊晶層146c含有硼,且摻雜物濃度在約8E20 atoms/cm 3與約 3E21 atoms/cm 3之間的範圍中。在一些實施例中,第三磊晶層146c含有磷,且摻雜物濃度在約5E20 atoms/cm 3與約 4E21 atoms/cm 3之間的範圍中。第三磊晶層146c可具有高度H1在約5nm至約10nm的範圍中,且第三磊晶層146c的暴露部分可具有寬度W3在約5nm至約15nm的範圍中。
在第三磊晶層146c包含硼摻雜矽鍺的情況中,第三磊晶層146c可透過將半導體裝置結構100加熱至溫度200℃至約600℃來形成,並將半導體裝置結構100的暴露表面暴露於前驅物,前驅物包含至少含矽前驅物、含鍺前驅物及含硼前驅物。合適的含矽前驅物可包含但不限於矽烷(SiH 4)、乙矽烷(Si 2H 6)、丙矽烷(Si 3H 8)、丁矽烷(Si 4H 10)、二甲基矽烷((CH 3) 2SiH 2)、甲基矽烷(SiH(CH 3) 3)、二氯矽烷(SiH 2Cl 2,DCS)、三氯氫矽(SiHCl 3,TCS)或類似物。合適的含鍺前驅物可包含但不限於鍺烷(GeH 4) 、乙鍺烷(Ge 2H 6) 、丙鍺烷(Ge 3H 8)或鍺基矽烷 (GeH 6Si) 或類似物。用於含硼前驅物的合適氣體可包含但不限於硼烷(BH 3)、乙硼烷(B 2H 6)、 三氯化硼(BCl 3)、硼酸三乙酯(triethyl borate,TEB)、環硼氮烷(B 3N 3H 6)或環硼氮烷的烷基取代衍生物或類似物。稀釋劑/載氣(例如氫(H 2)及/或氬(Ar))可與用於第三磊晶層146c的前驅物一起使用。在一實施例中,第三磊晶層146c透過DCS、GeH 4及B 2H 6形成。在一實施例中,第三磊晶層146c透過DCS、GeH 4及BCl 3形成。第三磊晶層146c可在化學氣相沉積基的反應腔體中進行。使用矽鍺的第三磊晶層146c允許後續的源極/汲極蓋層147形成於第三磊晶層146c正上方。
在第13圖中,源極/汲極蓋層147形成於第三磊晶層146c上,並接觸刻面結構148及第二磊晶層146b。因此,源極/汲極蓋層147覆蓋源極/汲極部件146的暴露表面。在一些實施例中,源極/汲極蓋層147可延伸至閘極間隙壁138。舉例來說,源極/汲極蓋層147可形成於閘極間隙壁138的側壁上,如第13-1圖所示。在閘極間隙壁138的側壁上的源極/汲極蓋層147具有厚度小於接觸第二磊晶層146b、第三磊晶層146c及刻面結構148的源極/汲極蓋層147的厚度。源極/汲極蓋層147的底部可在比半導體層堆疊物104(第3圖)的頂部的最頂部第一半導體層106的底部更高的水平高度。在一些實施例中,源極/汲極蓋層147選擇性形成於p型裝置區(例如P型金屬氧化物半導體電晶體)。源極/汲極蓋層147可為含矽層。在一實施例中,源極/汲極蓋層147由矽形成。在另一實施例中,源極/汲極蓋層147由矽鍺形成。取決於形成於鰭結構112上方的裝置的導電型,源極/汲極蓋層147具有n型摻雜物或p型摻雜物。特別來說,源極/汲極蓋層147為富含摻雜物層,以幫助增加在後續矽化製程之後的磊晶/矽化物界面處的摻雜物濃度。因此,可降低源極/汲極磊晶與矽化物之間的界面處的接觸電阻。在一些實施例中,源極/汲極蓋層147為硼摻雜矽(Si:B)或硼摻雜矽鍺(SiGe:B)。在一些實施例中,源極/汲極蓋層147為錫摻雜矽(Si:Sn)或錫摻雜矽鍺(SiGe:Sn)。在一些實施例中,源極/汲極蓋層147為磷摻雜矽(Si:P)或磷摻雜矽鍺(SiGe:P)。在一些實施例中,源極/汲極蓋層147為砷摻雜矽(Si:As)或砷摻雜矽鍺(SiGe:As)。在一例示性實施例中,覆蓋源極/汲極部件146的源極/汲極蓋層147為硼摻雜矽或硼摻雜矽鍺。在一些情況中,源極/汲極蓋層147中的硼濃度在約1E5 atoms/cm 3與約 1E15 atoms/cm 3之間的範圍中。換句話說,源極/汲極蓋層147具有硼原子百分比在約3 at.%與約12 at.%之間的範圍中。在各種實施例中,源極/汲極蓋層147具有硼濃度比第三磊晶層146c的硼濃度更大約10%至約20%。
源極/汲極蓋層147可透過使用任何合適的沉積製程形成,例如用於形成源極/汲極部件146的沉積製程。在一些實施例中,源極/汲極蓋層147及第三磊晶層146c在相同的製程腔體中透過化學氣相沉積製程形成(即原位製程)。在源極/汲極蓋層147為硼摻雜矽的情況中,沉積製程可透過將半導體裝置結構100暴露於至少含矽前驅物及含硼前驅物,在高溫的沉積腔體中來進行。適用於含矽前驅物及含硼前驅物的氣體可為適用於第三磊晶層146c的氣體。在一例示性實施例中,源極/汲極蓋層147為富硼矽,且透過SiH 4、DCS及B 2H 6形成。在另一例示性實施例中,源極/汲極蓋層147為富硼矽,且透過SiH 4、DCS及BCl 3形成。稀釋劑/載氣(例如氫(H 2)及/或氬(Ar))可與用於源極/汲極蓋層147的前驅物一起使用。在一些實施例中,源極/汲極蓋層147在沉積溫度450℃至約750℃的範圍中及腔體壓力在約0.5Torr至約10Torr中形成。前驅物氣體可以流量約1 Å/秒至約10 Å/秒引入製程腔體中。形成的源極/汲極蓋層147可具有厚度T1在約2nm至約15nm的範圍中。
在第14圖中,在形成源極/汲極部件146之後,接觸蝕刻停止層(contact etch stop layer, CESL)162順應性形成於半導體裝置結構100的暴露表面上。接觸蝕刻停止層162覆蓋源極/汲極蓋層147及犧牲閘極結構130(例如遮罩層136)的暴露表面。接觸蝕刻停止層162可包含含氧材料及含氮材料,例如氮化矽、氮碳化矽、氮氧化矽、氮化碳、氧化矽、碳氧化矽、類似物或前述之組合,且可透過化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積或任何合適的沉積技術形成。接著,第一層間介電(interlayer dielectric,ILD)層164形成於半導體裝置結構100上方的接觸蝕刻停止層162上。用於第一層間介電層164的材料可包含四乙氧基矽烷(TEOS)形成的氧化物、未摻雜矽酸鹽玻璃或摻雜氧化矽,例如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融矽石玻璃 (fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼摻雜矽玻璃(boron doped silicon glass,BSG)及/或包括Si、O、C及/或H的其他合適的介電材料。第一層間介電層164可透過電漿輔助化學氣相沉積製程或其他合適的沉積技術來沉積。在一些實施例中,在形成第一層間介電層164之後,可對半導體裝置結構100進行熱製程,以將第一層間介電層164退火。
在第15圖中,對半導體裝置結構100進行平坦化操作(例如化學機械研磨),以移除第一層間介電層164、接觸蝕刻停止層162及遮罩層136的一部分,直到暴露犧牲閘極電極層134。
在第16圖中,移除犧牲閘極結構130、包覆層117(第8圖)及第二半導體層108。犧牲閘極結構130及第二半導體層108的移除在閘極間隙壁138之間及第一半導體層106之間形成開口166。第一層間介電層164在移除製程期間保護源極/汲極蓋層147及源極/汲極部件146。犧牲閘極結構130可透過使用電漿乾蝕刻及/或濕蝕刻移除。犧牲閘極電極層134可先透過任何合適製程移除,例如乾蝕刻、濕蝕刻或前述之組合,接著移除犧牲閘極介電層132,犧牲閘極介電層132也可透過任何合適製程移除,例如乾蝕刻、濕蝕刻或前述之組合。在一些實施例中,可使用濕蝕刻劑(例如四甲基氫氧化銨(TMAH))來選擇性移除犧牲閘極電極層134,但是不移除閘極間隙壁138、第一層間介電層164及接觸蝕刻停止層162。
在移除犧牲閘極結構130之後,暴露包覆層117。包覆層117及第二半導體層108的移除暴露介電間隙壁144及第一半導體層106。移除製程可為任何合適的蝕刻製程,例如乾蝕刻、濕蝕刻或前述之組合。蝕刻製程可為移除包覆層117及第二半導體層108,但是不移除閘極間隙壁138、第一層間介電層164、接觸蝕刻停止層162、介電間隙壁144及第一半導體層106的選擇性蝕刻製程。因此,第一半導體層106未被介電間隙壁144覆蓋的一部分暴露於開口166中。
在第17圖中,形成取代閘極結構190。每個取代閘極結構190包含界面層(interfacial layer,IL)178、閘極介電層180及閘極電極層182。界面層178形成沿通道區圍繞第一半導體層106的暴露表面。
界面層178可包含或由透過第一半導體層106的熱氧化或化學氧化形成的氧化物(例如氧化矽)製成、可包含或由氮化物(例如氮化矽、氮氧化矽、氮氧化物等)製成及/或可包含或由介電層(例如矽酸鉿)製成。在一實施例中,界面層178為氧化矽。界面層178可透過化學氣相沉積、原子層沉積、清潔製程或任何合適製程形成。接著,閘極介電層180形成於半導體裝置結構100的暴露表面上(例如形成於界面層178、閘極間隙壁138的側壁、第一層間介電層164的頂表面、接觸蝕刻停止層162及介電間隙壁144上)。閘極介電層180可包含或由高介電常數介電材料製成,例如氧化鉿(HfO 2)、矽酸鉿(HfSiO)、 氮氧化鉿(HfSiON)、氧化鉿鋁(HfAlO)、氧化鉿鑭(HfLaO)、氧化鉿鋯(HfZrO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鑭(LaO)、 氧化鋁(AlO)、氧化鋁矽(AlSiO)、氧化鋯(ZrO)、氧化鈦 (TiO)、 氧化鉭(Ta 2O 5)、氧化釔(Y 2O 3)、氮氧化矽(SiON)或其他合適的高介電常數材料。閘極介電層180可為透過順應性製程形成的順應層,順應性製程例如原子層沉積製程、電漿輔助化學氣相沉積製程、分子束沉積(molecular-beam deposition,MBD)製程、類似方法或前述之組合。閘極介電層180可具有厚度在約0.3nm至約5nm的範圍中。
在形成界面層178及閘極介電層180之後,閘極電極層182形成於閘極介電層180上。閘極電極層182填充開口166(第16圖)並圍繞每個第一半導體層106的一部分。閘極電極層182包含一層或多層導電材料,例如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、WCN、TiAl、TiTaN、TiAlN、TaN、TaCN、TaC、TaSiN、金屬合金、其他合適的材料及/或前述之組合。閘極電極層182可透過物理氣相沉積、化學氣相沉積、原子層沉積、電鍍或其他合適的方法形成。在一些實施例中,可在閘極介電層180與閘極電極層182之間順應性(且依序,如果多於一個)沉積一個或多個選擇性順應層(未顯示)。此一個或多個選擇性順應層可包含一個或多個阻障層及/或蓋層及一個或多個功函數調整層。一個或多個阻障層及/或蓋層可包含或為氮化物、氮化矽、氮化碳及/或鉭及/或鈦的氮化鋁;氮化物、氮化碳及/或鉭及/或鈦的氮化鋁;氮化物、氮化碳及/或鎢的碳化物;類似物或前述之組合。一個或多個功函數調整層可包含或為氮化物、氮化矽、氮化碳、氮化鋁、氧化鋁及/或鈦及/或鉭的碳化鋁;氮化物、氮化碳及/或鎢的碳化物;鈷;鉑;類似物或前述之組合。
可透過平坦化製程(例如透過化學機械研磨製程)移除閘極電極層182、一個或多個選擇性順應層(如果有)及閘極介電層180在第一層間介電層164、接觸蝕刻停止層162及閘極間隙壁138的頂表面之上的部分。
在第18圖中,在一些實施例中,取代閘極結構190可選擇性包含金屬閘極蓋層135及自對準接觸(self-aligned contact,SAC)層137。在這些情況中,可進行一個或多個金屬閘極回蝕刻(metal gate etching back,MGEB)製程(例如乾蝕刻製程、濕蝕刻製程或前述之組合),使得閘極電極層182、閘極介電層180及一個或多個順應層(如果有)凹陷至閘極間隙壁138的頂表面之下的水平高度。在一些實施例中,也將閘極間隙壁138凹陷至第一層間介電層164的頂表面之下的水平高度。接著,進行沉積製程,以至少在閘極電極層182及閘極介電層180的頂表面上形成金屬閘極蓋層135。金屬閘極蓋層135及下方的閘極電極層182及閘極介電層180包含不同的材料,使得金屬閘極蓋層135可保護下方的閘極電極層182及閘極介電層180在後續加工期間免受損壞。在一些實施例中,金屬閘極蓋層135可為或包含含金屬材料,例如鎢(例如無氟鎢)、鈷、鋁、釕、鈦、銅、鉬、前述之多層、前述之組合或類似物。金屬閘極蓋層135可透過使用任何合適的沉積製程形成,例如原子層沉積、循環化學氣相沉積(cyclic chemical vapor deposition,CCVD)、化學氣相沉積、物理氣相沉積、鍍覆、前述之組合或類似方法。閘極電極層182的功函數的多層的金屬表面促進金屬閘極蓋層135在閘極電極層182上但是不在閘極間隙壁138的介電材料上的選擇性成長。因此,金屬閘極蓋層135可以由下而上(bottom-up)方式形成。透過由下而上成長的金屬閘極蓋層135可具有較少的缺陷(例如縫隙),這可改善半導體裝置結構100的效能。
在金屬閘極蓋層135包含鎢或鉬的情況中,在沉積製程期間使用的前驅物可包含氯化鎢(WCl x,其中x=2-6)前驅物、鹵化鎢前驅物、氯化鉬(MoCl x,其中x=2-6)前驅物、鹵化鉬前驅物或類似物。在一些情況中,沉積製程可使用非氟化物前驅物,代表這些前驅物不含有氟(例如氟化鎢、氟化鉬),因為氟化物可透過蝕刻損壞沉積的閘極電極層182。在一些實施例中,金屬閘極蓋層135可具有厚度在約1nm至約10nm的範圍中。
接著,自對準接觸層137形成於金屬閘極蓋層135上方。自對準接觸層137可為相對於第一層間介電層164具有蝕刻選擇性的介電材料。適用於自對準接觸層137的材料可包含但不限於SiO、 HfSi、 SiOC、 AlO、 ZrSi、 AlON、 ZrO、 HfO、 TiO、 ZrAlO、 ZnO、 TaO、 LaO、 YO、 TaCN、 SiN、 SiOCN、 SiOCN、 ZrN、 SiCN或任何前述之組合。自對準接觸層137可透過合適的沉積製程形成,例如化學氣相沉積、可流動化學氣相沉積、物理氣相沉積或原子層沉積。將在後續製程中移除自對準接觸層137,且自對準接觸層137用作接觸通孔開口的自對準部件,以連接源極/汲極金屬接點。在一些實施例中,在形成自對準接觸層137之前,選擇性襯墊(未顯示)可順應性形成於金屬閘極蓋層135上及閘極間隙壁138的側壁上。襯墊可用作閘極電極層182的擴散阻障,且與金屬閘極蓋層135在後續製程期間共同防止閘極電極層182的氧化。襯墊可由介電層形成,例如SiON。替代地,襯墊可由不含氧原子的介電層形成,使得襯墊不將金屬閘極蓋層135及閘極電極層182氧化。在這些情況中,襯墊可包含SiN、 SiC、 SiCN、 ZrN、類似物或前述之組合。襯墊可透過合適的沉積製程形成,例如原子層沉積、化學氣相沉積或物理氣相沉積。
在第19圖中,在形成金屬閘極蓋層135及自對準接觸層137之後,接觸開口143形成通過第一層間介電層164及接觸蝕刻停止層162,以暴露源極/汲極蓋層147。在一些實施例中,接觸開口143延伸至源極/汲極蓋層147中。源極/汲極蓋層147的一部分的移除將源極/汲極蓋層147的厚度從厚度T1(第13圖)縮小至厚度T2。厚度T2可在約1.5nm至約8nm的範圍中。在一些實施例中,暴露的源極/汲極蓋層147具有(100)面。在一些實施例中,暴露的源極/汲極蓋層147具有(110)面。接觸開口143可透過圖案化製程形成,圖案化製程包含微影製程及/或一個或多個蝕刻製程,例如非等向性蝕刻製程。一個或多個蝕刻製程可為應用蝕刻劑(例如含氯氣體、含溴氣體及/或含氟氣體)的電漿蝕刻製程。可進行圖案化製程,使得源極/汲極蓋層147的頂表面具有曲面(例如凹面)輪廓。
在第20圖中,矽化物層184選擇性形成於透過接觸開口143暴露的源極/汲極蓋層147的頂表面上。在一些實施例中,矽化物層184接觸了接觸蝕刻停止層162。矽化物層184一般具有順應源極/汲極蓋層147的表面輪廓的凹形。矽化物層184將源極/汲極蓋層147及源極/汲極部件146導電耦接至後續形成的前側源極/汲極接點186(第21圖)。矽化物層184可透過金屬源層的選擇性成長形成,以覆蓋源極/汲極蓋層147(例如富硼矽)的暴露表面,並進行快速熱退火製程。在一些實施例中,金屬源層包含選自但不限於Ti、 TiSi、 TiSiN、 TiN、 Co、 CoSi、 Ni、 NiSi、 NiCo、 Pt、 Ni(Pt)、 Ir、 Pt(Ir)、 Er、 Yb、 Pd、 Rh、 Nb、 WSi、 RuSi及MoSi的金屬層。金屬源層可透過使用化學氣相沉積、低壓化學氣相沉積、物理氣相沉積、濺鍍或類似方法來沉積。
在一實施例中,金屬源層包含TiSi。在一實施例中,金屬源層包含TiSiN。用於形成TiSiN金屬源層的例示性選擇性成長製程可包含: (1)預加熱階段,其中將半導體裝置結構100加熱至基底溫度約450℃或更小,例如約200℃至約350℃,並設置於製程腔體中以腔體壓力在約1Torr至約10Torr操作約10秒至約20秒;(2)第一沉積階段,其中半導體裝置結構100暴露於氣體混合物,氣體混合物包括含鈦前驅物(例如TiCl 4)、載氣(例如H 2)、含氮前驅物(例如NH 3)及惰性氣體(例如Ar)以腔體壓力在約1Torr至約10Torr約100秒至約150秒,且具有射頻功率在約80W至約250W的範圍中,使用可調整頻率從約2MHz至約13.56MHz的範圍;(3)第二沉積階段,其中基底101連續地暴露於用於第一沉積階段的氣體混合物,在腔體壓力在約0.1Torr至約1.5Torr約1秒至約10秒,且具有射頻功率在約800W至約1500W的範圍中,使用可調整頻率從約2MHz至約13.56MHz的範圍;以及(4)電漿處理製程,其中半導體裝置結構100暴露於氫基/氬基電漿在腔體壓力在約0.1Torr至約1.5Torr約1秒至約5秒,且具有射頻功率在約200W至約500W的範圍中,使用可調整頻率從約2MHz至約13.56MHz的範圍。在一些實施例中,預加熱階段可進行0至2個循環,第一沉積階段可進行0至2個循環,第二沉積階段可進行1至10個循環,且電漿處理製程可進行1至10個循環。
在形成金屬源層之後,可進行快速熱退火製程,例如快速退火溫度在約700℃與約900℃之間。在快速熱退火製程期間,金屬源層在源極/汲極部件146上方的部分與源極/汲極蓋層147反應,以形成矽化物層184。移除金屬源層的未反應部分。留下矽化物層184接觸剩餘源極/汲極蓋層147’及選擇性的接觸蝕刻停止層162。在金屬源層包含TiSiN且源極/汲極蓋層147包含富硼矽的情況中,矽化物層184包含TiSi xN。在一些實施例中,未反應的摻雜物原子(例如硼)從源極/汲極蓋層147的頂部擴散至源極/汲極蓋層147的底部,並堆積至及/或靠近第三磊晶層146c與源極/汲極蓋層147之間的界面。源極/汲極蓋層147的頂部進而形成TiSi xN,導致剩餘源極/汲極蓋層147’(即源極/汲極蓋層147的底部)的厚度從厚度T2(第19圖)縮小至厚度T3。在一些實施例中,厚度T3在約1nm至約5nm的範圍中。在一些實施例中,厚度T3及高度H1(第12圖)具有比值(T3:H1)在約1:5至約1:10。在一些實施例中,在及/或靠近第三磊晶層146c與源極/汲極蓋層147之間的界面的摻雜物濃度(例如硼)大於第三磊晶層146c及源極/汲極蓋層147中的摻雜物濃度(例如硼)。
由於未反應的硼原子擴散至源極/汲極蓋層147的底部,因此剩餘源極/汲極蓋層147’相較於在快速熱退火製程之前的源極/汲極蓋層147具有較高的硼濃度。在一些實施例中,在剩餘源極/汲極蓋層147’中的硼在約1E8 atoms/cm 3與約 1E25 atoms/cm 3之間的範圍中。換句話說,剩餘源極/汲極蓋層147’具有硼原子百分比在約4 at.%至約15at.%,例如在約5 at.%至約10at.%。在一些實施例中,剩餘源極/汲極蓋層147’具有硼濃度比第三磊晶層146c中的硼濃度更大約10%至約30%。在快速退火製程之後,第三磊晶層146c中的鍺原子可擴散至剩餘源極/汲極蓋層147’中。在這些情況中,剩餘源極/汲極蓋層147’可具有鍺濃度在約28 at.%至約40 at.%的範圍中,矽濃度在約35 at.%至約59 at.%的範圍中,以及氧濃度在約1 at.%至約10 at.%的範圍中。可以觀察到的是,用於矽化物層184的化學氣相沉積成長的前驅物(例如TiCl 4)可產生Cl基副產物,這增加了在源極/汲極磊晶區與矽化物層184之間的界面處的接觸電阻(Rcsd)。剩餘源極/汲極蓋層147’具有有硼濃度比第三磊晶層146c中的硼濃度更大約10%至約30%可幫助減少源極/汲極磊晶/矽化物界面處的接觸電阻。此外,已觀察到的是,具有上述硼濃度的剩餘源極/汲極蓋層147’可在或靠近源極/汲極磊晶/矽化物界面處產生偶極偏移(dipole shift)約0.1eV至約0.2eV,這為裝置提供了良好的界面性質(例如低固定電荷密度)。
接觸第三磊晶層146c的剩餘源極/汲極蓋層147’的底部具有寬度W4大致相同於寬度W3(第12圖)。矽化物層184可具有厚度T4在約3nm至約7nm的範圍中。在快速退火製程之後,矽化物層184的底部在或稍微低於透過界面層178及半導體層堆疊物104的頂部的最頂部第一半導體層106的頂表面(第14圖)定義的界面。在快速退火製程之後,第三磊晶層146c中的鍺原子可擴散至矽化物層184中。在這些情況中,矽化物層184可具有鍺濃度在約20 at.%至約30 at.%的範圍中,鈦濃度在約20 at.%至約30 at.%的範圍中,矽濃度在約35 at.%至約45 at.%的範圍中,以及氧濃度在約5 at.%至約10 at.%的範圍中。在快速退火製程之後,第三磊晶層146c具有較低的鍺濃度。在一些實施例中,第三磊晶層146c具有鍺濃度在約35 at.%至約45 at.%的範圍中,以及矽濃度在約55 at.%至約65 at.%的範圍中。
在形成矽化物層184之後,金屬蓋層185選擇性形成於矽化物層184上。沉積金屬蓋層185,以覆蓋矽化物層184的暴露表面。金屬蓋層185可作為保護層。金屬蓋層185可由Ti、 Ta、 TiN、 TaN、 W、 Co、 Ru或類似物形成。在一實施例中,金屬蓋層185為金屬氮化物,例如TiN。在一些實施例中,金屬蓋層185可具有鈦濃度在約10 at.%至約30 at.%的範圍中,以及氮濃度在約1 at.%至約5 at.%的範圍中。金屬蓋層185也可具有氧濃度在約25 at.%至約55 at.%的範圍中。金屬蓋層185可作為保護層,同時促進後續的由下而上金屬填充。在任一情況中,金屬蓋層185選擇性形成於包含金屬材料的矽化物層184上,且不形成於或大致不形成於包含介電材料的接觸蝕刻停止層162的側壁上。金屬蓋層185可透過使用任何合適的沉積製程沉積,例如原子層沉積、循環化學氣相沉積、化學氣相沉積、低壓化學氣相沉積、物理氣相沉積、鍍覆、前述之組合或類似方法。
用於形成金屬蓋層185的例示性沉積製程可包含將半導體裝置結構100暴露於氣體混合物,氣體混合物包括含氮前驅物(例如NH 3)、載氣(例如H 2)及惰性氣體(例如Ar)以腔體壓力在約5Torr至約15Torr約1秒至約15秒,且具有射頻功率在約450W至約1000W的範圍中,使用可調整頻率從約2MHz至約13.56MHz的範圍。在一些實施例中,沉積製程可進行1至2個循環。金屬蓋層185可具有厚度大於或小於矽化物層184的厚度。在一實施例中,金屬蓋層185具有厚度在約5nm至約7nm的範圍中。矽化物層184及金屬蓋層185可在相同腔體中沉積,或在相同平台上沉積,而不將矽化物層184暴露於環境。
在第21圖中,導電材料沉積於金屬蓋層185上方,以形成前側源極/汲極接點186。導電材料填充接觸開口143(第20圖),並填充至在自對準接觸層137的頂表面上方的高度。前側源極/汲極接點186可由導電材料形成,導電材料可包含但不限於W、 Co、 Ru、 Ti、 Ni、 Cu、 Au、 Ag、 Pt、 Pd、 Ir、 Os、 Rh、 Al、 Mo、 TaN或類似物。前側源極/汲極接點186可透過合適的沉積製程形成,例如化學氣相沉積、物理氣相沉積、鍍覆、原子層沉積或其他合適的技術。之後,進行化學機械研磨製程,以移除導電材料層的一部分,直到暴露自對準接觸層137的頂表面。
第21-1圖顯示依據一些實施例,半導體裝置結構100的一部分的放大視圖,顯示了剩餘源極/汲極蓋層147’的輪廓。如圖所示,剩餘源極/汲極蓋層147’在金屬閘極蓋層135下方的水平高度處。剩餘源極/汲極蓋層147’具有凹面輪廓。舉例來說,剩餘源極/汲極蓋層147’可具有大致U型或V型輪廓。特別來說,接觸第二磊晶層146b的第一側面146bs-1的剩餘源極/汲極蓋層147’的底部的一部分定義第一界面195a,且接觸第二磊晶層146b的第二側面146bs-2的剩餘源極/汲極蓋層147’的底部的一部分定義第二界面195b。第一界面195a沿第一方向198a延伸,第二界面195b沿第二方向198b延伸,且第一方向198a相對於第二方向198b形成角度ꞵ小於約90度。在一些實施例中,角度ꞵ在約40度至約80度之間的範圍中。考慮到此角度關係也適用於由剩餘源極/汲極蓋層147’及矽化物層 184 定義的界面。
在一些實施例中,在第三磊晶層146c與矽化物層184之間的剩餘源極/汲極蓋層147’的一部分(例如剩餘源極/汲極蓋層147’的中心部分)具有厚度T5,且在刻面結構148與矽化物層184之間的剩餘源極/汲極蓋層147’的一部分(例如剩餘源極/汲極蓋層147’的邊緣部分)具有小於厚度T5的厚度T6。在一實施例中,厚度T5及厚度T6具有比例(T5:T6)在約1.5:1至約3:1,例如約2:1。
在第22圖中,第二層間介電層188形成於前側源極/汲極接點186、接觸蝕刻停止層162、閘極間隙壁138及自對準接觸層137上。第二層間介電層188可包含與第一層間介電層164相同的材料。
在第23圖中,移除第二層間介電層188及自對準接觸層137的一部分,以形成接觸通孔開口187。接觸通孔開口187對齊,使得一些接觸通孔開口187延伸通過第二層間介電層188,以暴露前側源極/汲極接點186的頂表面,而其他接觸通孔開口187延伸通過第二層間介電層188及自對準接觸層137,以暴露金屬閘極蓋層135。接觸通孔開口187可透過使用一個或多個蝕刻製程形成,例如非等向姓蝕刻製程。選擇一個或多個蝕刻製程期間使用的蝕刻劑,以選擇性移除介電材料(例如第二層間介電層188及自對準接觸層137),而不顯著影響金屬材料(例如金屬閘極蓋層135)。
在第24圖中,接觸通孔開口187(第23圖)填充導電材料,以形成導電部件189。接觸前側源極/汲極接點186的導電部件189可被稱為源極/汲極接觸導通孔,而接觸金屬閘極蓋層135(如果有)或閘極電極層182的導電部件189可被稱為金屬閘極接觸導通孔。導電材料可為或包含鎢、鈷、銅、釕、鋁、金、銀、前述之合金或前述之組合,且可透過化學氣相沉積、原子層沉積、物理氣相沉積或任何合適的沉積技術來沉積。雖未顯示,但是每個導電部件189可更包含黏著層(例如Ti、Ta或類似物),黏著層順應性沉積於第二層間介電層188、前側源極/汲極接點186及金屬閘極蓋層135(如果有)的暴露表面上的接觸通孔開口187中,且阻障層(例如TiN、TaN或類似物)順應性沉積於黏著層上,且可皆透過原子層沉積、化學氣相沉積或任何合適的沉積技術沉積。導電部件189在第二層間介電層188的頂表面之上的部分可透過平坦化製程(例如化學機械研磨製程)移除。由於平坦化製程的緣故,第二層間介電層188及導電部件189的頂表面大致共平面。
在第25圖中,互連結構層158形成於半導體裝置結構100上方。互連結構層158可包含形成於半導體裝置結構100的前側上的後段(back-end-of-line,BEOL)互連結構。互連結構層158可包含複數個介電層(未顯示)及埋置於介電層中的複數個垂直互連部件(例如導通孔)(未顯示)以及複數個水平互連部件(例如金屬線)(未顯示)。具有金屬線或導通孔的介電層可被稱為金屬層。在一些情況中,取決於應用,互連結構層158可包含8個或更多個金屬層,例如10個或更多個金屬層。雖未顯示,但是介電層中的一個或多個金屬線可對齊並接觸了接觸前側源極/汲極接點186的導電部件189(例如源極/汲極接觸導通孔),而介電層中的一個或多個金屬線可對齊並接觸了接觸閘極電極層182的導電部件189(例如金屬閘極接觸導通孔),進而在金屬線與取代閘極堆疊物之間提供電性連接。在一些實施例中,配置金屬線及導通孔,使得電晶體的元件(例如汲極區的源極/汲極部件146及取代閘極堆疊物的閘極電極層182)電性連接至焊球或接合墊,焊球或接合墊可見於通過介電層中的各種金屬線及導通孔的後端封裝結構(未顯示)。
本發明各種實施例為有關於具有設置於源極/汲極磊晶部件與矽化物層之間的富含摻雜物的源極/汲極蓋層的半導體裝置結構。富含摻雜物的源極/汲極蓋層可為富含硼的矽層,其中矽的部分在矽化製程期間與矽化物層(例如TiSi x)反應。富含摻雜物的源極/汲極蓋層中的摻雜物(例如硼)擴散並堆積至源極/汲極磊晶/矽化物界面,以幫助減少源極/汲極磊晶/矽化物界面處的接觸電阻。富含摻雜物的源極/汲極蓋層中的矽的部分的消耗導致TiSi x的厚度增加至超過4nm,這更減少了最終矽化物層的電阻。
一實施例為半導體裝置結構,半導體裝置結構包含源極/汲極磊晶部件,設置於基底上方,其中源極/汲極磊晶部件包括:第一磊晶層;第二磊晶層,接觸第一磊晶層,其中第二磊晶層具有第一摻雜物濃度;及第三磊晶層,具有被第二磊晶層包圍的側壁,第三磊晶層具有大於第一摻雜物濃度的第二摻雜物濃度。半導體裝置結構也包含源極/汲極蓋層,設置於第二磊晶層及第三磊晶層之上並接觸第二磊晶層及第三磊晶層,其中源極/汲極蓋層具有大於第二摻雜物濃度的第三摻雜物濃度;以及矽化物層,設置於源極/汲極蓋層之上並接觸源極/汲極蓋層。
在一些其他實施例中,其中源極/汲極磊晶部件位於基底的p型裝置區,且源極/汲極蓋層為硼摻雜矽。
在一些其他實施例中,其中源極/汲極蓋層具有硼原子百分比在約4 at.%至約15 at.%的範圍中。
在一些其他實施例中,其中第三磊晶層為具有第一硼濃度的硼摻雜矽鍺,且源極/汲極蓋層具有比第一硼濃度更大約10%至約30%的第二硼濃度。
在一些其他實施例中,其中源極/汲極蓋層更包含鍺摻雜物。
在一些其他實施例中,其中源極/汲極蓋層具有第一鍺濃度,且第三磊晶層具有大於第一鍺濃度的第二鍺濃度。
在一些其他實施例中,其中矽化物層包含鍺摻雜物,且矽化物層具有小於第一鍺濃度的第三鍺濃度。
在一些其他實施例中,其中第二磊晶層具有曲折形或鋸齒形輪廓。
在一些其他實施例中,其中接觸第一磊晶層的第二磊晶層的底部具有第一寬度,且接觸第三磊晶層的源極/汲極蓋層的底部小於第一寬度的第二寬度。
在一些其他實施例中,其中源極/汲極蓋層具有第一厚度,且矽化物層具有大於第一厚度的第二厚度。
另一實施例為半導體裝置結構,半導體裝置結構包含兩個或更多個半導體層,垂直堆疊於基底上方;閘極電極層,圍繞兩個或更多個半導體層的其中一者的一部分;源極/汲極磊晶部件,設置於基底上方,並相鄰於兩個或更多個半導體層,源極/汲極磊晶部件包括:第一磊晶層,設置於基底上方;及第二磊晶層,具有接觸第一磊晶層的至少三個表面,其中第二磊晶層具有第一摻雜物濃度。半導體裝置結構也包含源極/汲極蓋層,設置於第一磊晶層及第二磊晶層之上並接觸第一磊晶層及第二磊晶層,源極/汲極蓋層具有大於第一摻雜物濃度的第二摻雜物濃度,其中源極/汲極蓋層接觸第二磊晶層的第一側面的部分定義第一界面,且源極/汲極蓋層接觸第二磊晶層的第二側面的部分定義第二界面,且其中第一界面沿第一方向延伸,第二界面沿第二方向延伸,且第一方向相對於第二方向形成角度小於約90度。
在一些其他實施例中,其中上述角度在約40度至約80度。
在一些其他實施例中,上述半導體裝置結構更包含矽化物層,設置於源極/汲極蓋層之上,並接觸源極/汲極蓋層。
在一些其他實施例中,其中源極/汲極蓋層位於第二磊晶層與矽化物層之間的部分具有第一厚度,且源極/汲極蓋層位於第一磊晶層與矽化物層之間的部分具有小於第一厚度的第二厚度。
在一些其他實施例中,上述半導體裝置結構更包含刻面結構,設置於源極/汲極蓋層與兩個或更多個半導體層的最頂部半導體層之間,並接觸源極/汲極蓋層及兩個或更多個半導體層的最頂部半導體層。
在一些其他實施例中,其中源極/汲極蓋層為富硼矽,且第三磊晶層為硼摻雜矽鍺。
在一些其他實施例中,其中源極/汲極蓋層具有硼濃度比第三磊晶層的硼濃度更大約10%至約30%。
另一實施例為半導體裝置結構的形成方法,此方法包含在由基底形成的第一鰭結構及第二鰭結構的一部分上方沉積犧牲閘極結構,其中第一鰭結構及第二鰭結構各包含交替堆疊的複數個第一半導體層及複數個第二半導體層。此方法也包含在第一鰭結構與第二鰭結構之間形成源極/汲極部件,其中源極/汲極部件包括第一磊晶層、第一磊晶層上的第二磊晶層及被第二磊晶層圍繞的第三磊晶層,且其中第三磊晶層具有第一摻雜物濃度。此方法也包含在第二磊晶層及第三磊晶層上形成源極/汲極蓋層,其中源極/汲極蓋層具有第一厚度,且其中源極/汲極蓋層具有大於第一摻雜物濃度的第二摻雜物濃度。此方法也包含移除複數個第二半導體層,以暴露第一鰭結構及第二鰭結構的複數個第一半導體層的一部分。此方法也包含形成閘極電極層,以圍繞至少第一鰭結構及第二鰭結構的複數個第一半導體層的一者的暴露部分。此方法也包含形成矽化物層,包括:在源極/汲極蓋層上沉積金屬源層;及進行熱退火製程,使得源極/汲極蓋層與金屬源層反應,且源極/汲極蓋層中的摻雜物擴散並堆積至及/或靠近由第三磊晶層與源極/汲極蓋層定義的界面,其中在熱退火製程之後,源極/汲極蓋層的厚度從第一厚度縮小至第二厚度。此方法更包含在矽化物層上形成金屬蓋層。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100:半導體裝置結構 101:基底 103:頂表面 104:半導體層堆疊物 106:第一半導體層 108:第二半導體層 110:遮罩結構 110a:墊層 110b:硬遮罩 112:鰭結構 114,123:溝槽 116:井區部分 117:包覆層 118:絕緣材料 119:襯墊 120:隔離區 121,125:介電材料 127:介電部件 130:犧牲閘極結構 132:犧牲閘極介電層 134:犧牲閘極電極層 135:金屬閘極蓋層 136:遮罩層 137:自對準接觸層 138:閘極間隙壁 143:接觸開口 144:介電間隙壁 146:源極/汲極部件 146a:第一磊晶層 146b:第二磊晶層 146c:第三磊晶層 146bs-1:第一側面 146bs-2:第二側面 147:源極/汲極蓋層 147’:剩餘源極/汲極蓋層 148:刻面結構 158:互連結構層 162:接觸蝕刻停止層 164:第一層間介電層 166:開口 178:界面層 180:閘極介電層 182:閘極電極層 184:矽化物層 185:金屬蓋層 186:前側源極/汲極接點 187:接觸通孔開口 188:第二層間介電層 189:導電部件 190:取代閘極結構 195a:第一界面 195b:第二界面 198a:第一方向 198b:第二方向 D1:厚度 H1:高度 T1,T2,T3,T4,T5,T6:厚度 W1,W2,W3,W4:寬度 ꞵ:角度
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1、2、3、4、5、6、7、8圖為依據一些實施例,製造半導體裝置結構的各階段的透視圖。 第9、10、11、12、13、14、15、16、17、18、19、20、21、22、23、24、25圖為依據一些實施例,沿第8圖的剖面A-A截取之製造半導體裝置結構的各階段的剖面側視圖。 第13-1圖為依據一些實施例,第13圖的半導體裝置結構的一部分的放大視圖。 第21-1圖為依據一些實施例,第21圖的半導體裝置結構的一部分的放大視圖。
100:半導體裝置結構
101:基底
106:第一半導體層
116:井區部分
135:金屬閘極蓋層
137:自對準接觸層
138:閘極間隙壁
144:介電間隙壁
146:源極/汲極部件
146a:第一磊晶層
146b:第二磊晶層
146c:第三磊晶層
147:源極/汲極蓋層
148:刻面結構
158:互連結構層
162:接觸蝕刻停止層
178:界面層
180:閘極介電層
182:閘極電極層
184:矽化物層
185:金屬蓋層
186:前側源極/汲極接點
188:第二層間介電層
189:導電部件

Claims (20)

  1. 一種半導體裝置結構,包括: 一源極/汲極磊晶部件,設置於一基底上方,其中該源極/汲極磊晶部件包括: 一第一磊晶層; 一第二磊晶層,接觸該第一磊晶層,該第二磊晶層具有一第一摻雜物濃度;及 一第三磊晶層,具有被該第二磊晶層包圍的側壁,該第三磊晶層具有大於該第一摻雜物濃度的一第二摻雜物濃度; 一源極/汲極蓋層,設置於該第二磊晶層及該第三磊晶層之上並接觸該第二磊晶層及該第三磊晶層,該源極/汲極蓋層具有大於該第二摻雜物濃度的一第三摻雜物濃度;以及 一矽化物層,設置於該源極/汲極蓋層之上並接觸該源極/汲極蓋層。
  2. 如請求項1之半導體裝置結構,其中該源極/汲極磊晶部件位於該基底的一p型裝置區,且該源極/汲極蓋層為硼摻雜矽。
  3. 如請求項2之半導體裝置結構,其中該源極/汲極蓋層具有硼原子百分比在約4 at.%至約15 at.%的範圍中。
  4. 如請求項3之半導體裝置結構,其中該第三磊晶層為具有一第一硼濃度的硼摻雜矽鍺,且該源極/汲極蓋層具有比該第一硼濃度更大約10%至約30%的一第二硼濃度。
  5. 如請求項4之半導體裝置結構,其中該源極/汲極蓋層更包括鍺摻雜物。
  6. 如請求項5之半導體裝置結構,其中該源極/汲極蓋層具有一第一鍺濃度,且該第三磊晶層具有大於該第一鍺濃度的一第二鍺濃度。
  7. 如請求項6之半導體裝置結構,其中該矽化物層包括鍺摻雜物,且該矽化物層具有小於該第一鍺濃度的一第三鍺濃度。
  8. 如請求項1之半導體裝置結構,其中該第二磊晶層具有曲折形或鋸齒形輪廓。
  9. 如請求項1之半導體裝置結構,其中接觸該第一磊晶層的該第二磊晶層的底部具有一第一寬度,且接觸該第三磊晶層的該源極/汲極蓋層的底部小於該第一寬度的一第二寬度。
  10. 如請求項1之半導體裝置結構,其中該源極/汲極蓋層具有一第一厚度,且該矽化物層具有大於該第一厚度的一第二厚度。
  11. 一種半導體裝置結構,包括: 兩個或更多個半導體層,垂直堆疊於一基底上方; 一閘極電極層,圍繞該兩個或更多個半導體層的其中一者的一部分; 一源極/汲極磊晶部件,設置於該基底上方,並相鄰於該兩個或更多個半導體層,該源極/汲極磊晶部件包括: 一第一磊晶層,設置於該基底上方;及 一第二磊晶層,具有接觸該第一磊晶層的至少三個表面,該第二磊晶層具有一第一摻雜物濃度;以及 一源極/汲極蓋層,設置於該第一磊晶層及該第二磊晶層之上並接觸該第一磊晶層及該第二磊晶層,該源極/汲極蓋層具有大於該第一摻雜物濃度的一第二摻雜物濃度,該源極/汲極蓋層接觸該第二磊晶層的一第一側面的部分定義一第一界面,該源極/汲極蓋層接觸該第二磊晶層的一第二側面的部分定義一第二界面,其中該第一界面沿一第一方向延伸,該第二界面沿一第二方向延伸,且該第一方向相對於該第二方向形成一角度小於約90度。
  12. 如請求項11之半導體裝置結構,其中該角度在約40度至約80度。
  13. 如請求項11之半導體裝置結構,更包括: 一矽化物層,設置於該源極/汲極蓋層之上,並接觸該源極/汲極蓋層。
  14. 如請求項13之半導體裝置結構,其中該源極/汲極蓋層位於該第二磊晶層與該矽化物層之間的部分具有一第一厚度,且該源極/汲極蓋層位於該第一磊晶層與該矽化物層之間的部分具有小於該第一厚度的一第二厚度。
  15. 如請求項11之半導體裝置結構,更包括: 一刻面結構,設置於該源極/汲極蓋層與該兩個或更多個半導體層的一最頂部半導體層之間,並接觸該源極/汲極蓋層及該兩個或更多個半導體層的該最頂部半導體層。
  16. 如請求項11之半導體裝置結構,其中該源極/汲極蓋層為富硼矽,且該第三磊晶層為硼摻雜矽鍺。
  17. 如請求項16之半導體裝置結構,其中該源極/汲極蓋層具有硼濃度比該第三磊晶層的硼濃度更大約10%至約30%。
  18. 一種半導體裝置結構的形成方法,包括: 在由一基底形成的一第一鰭結構及一第二鰭結構的一部分上方沉積一犧牲閘極結構,其中該第一鰭結構及該第二鰭結構各包括交替堆疊的複數個第一半導體層及複數個第二半導體層; 在該第一鰭結構與該第二鰭結構之間形成一源極/汲極部件,其中該源極/汲極部件包括一第一磊晶層、該第一磊晶層上的一第二磊晶層及被該第二磊晶層圍繞的一第三磊晶層,且其中該第三磊晶層具有一第一摻雜物濃度; 在該第二磊晶層及該第三磊晶層上形成一源極/汲極蓋層,其中該源極/汲極蓋層具有一第一厚度,且其中該源極/汲極蓋層具有大於該第一摻雜物濃度的一第二摻雜物濃度; 移除該複數個第二半導體層,以暴露該第一鰭結構及該第二鰭結構的該複數個第一半導體層的一部分; 形成一閘極電極層,以圍繞至少該第一鰭結構及該第二鰭結構的該複數個第一半導體層的一者的暴露部分; 形成一矽化物層,包括: 在該源極/汲極蓋層上沉積一金屬源層;及 進行一熱退火製程,使得該源極/汲極蓋層與該金屬源層反應,且該源極/汲極蓋層中的摻雜物擴散並堆積至及/或靠近由該第三磊晶層與該源極/汲極蓋層定義的一界面,其中在該熱退火製程之後,該源極/汲極蓋層的厚度從該第一厚度縮小至一第二厚度;以及 在該矽化物層上形成一金屬蓋層。
  19. 如請求項18之半導體裝置結構的形成方法,其中該源極/汲極蓋層為硼摻雜矽,且該第三磊晶層為具有硼濃度比該源極/汲極蓋層的硼濃度更小約10%至約30%的硼摻雜矽鍺。
  20. 如請求項19之半導體裝置結構的形成方法,其中該源極/汲極蓋層位於該基底的一p型裝置區。
TW112113558A 2022-08-12 2023-04-12 半導體裝置結構及其形成方法 TW202407813A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/886,797 US20240055485A1 (en) 2022-08-12 2022-08-12 Semiconductor device and methods of fabrication thereof
US17/886,797 2022-08-12

Publications (1)

Publication Number Publication Date
TW202407813A true TW202407813A (zh) 2024-02-16

Family

ID=89846775

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112113558A TW202407813A (zh) 2022-08-12 2023-04-12 半導體裝置結構及其形成方法

Country Status (3)

Country Link
US (1) US20240055485A1 (zh)
CN (1) CN220856578U (zh)
TW (1) TW202407813A (zh)

Also Published As

Publication number Publication date
CN220856578U (zh) 2024-04-26
US20240055485A1 (en) 2024-02-15

Similar Documents

Publication Publication Date Title
TWI813775B (zh) 半導體裝置及其製造方法
US11469332B2 (en) Semiconductor device and manufacturing method thereof
TW202109678A (zh) 半導體裝置之製造方法
US20220130730A1 (en) Semiconductor Device and Method
US20240145543A1 (en) Semiconductor device and method of forming the same
US20230261051A1 (en) Transistor Gate Structures and Methods of Forming the Same
US20230215866A1 (en) Integrated circuit devices and methods of manufacturing the same
US20230064735A1 (en) Semiconductor Devices and Methods of Manufacture
US11476342B1 (en) Semiconductor device with improved source and drain contact area and methods of fabrication thereof
CN220856578U (zh) 半导体装置结构
US20240030136A1 (en) Semiconductor device with backside power rail and methods of fabrication thereof
US20230178418A1 (en) Multigate device structure with engineered cladding and method making the same
KR102610582B1 (ko) 알루미늄을 함유하지 않는 일함수 층을 갖는 nfet 및 이를 형성하는 방법
US20220336677A1 (en) Semiconductor devices and methods of manufacture
US20230420455A1 (en) Semiconductor device and manufacturing method thereof
TWI804087B (zh) 電晶體裝置及其製造方法
US11948981B2 (en) Seam-filling of metal gates with Si-containing layers
TWI837803B (zh) 半導體結構及其製造方法
CN220121845U (zh) 半导体装置
TWI836346B (zh) 半導體裝置和其形成方法
TWI772935B (zh) 半導體裝置及其製造方法
US20230123484A1 (en) Semiconductor device structure and method for forming the same
US20230420520A1 (en) Transistor Source/Drain Regions and Methods of Forming the Same
US20230343583A1 (en) Methods of forming semiconductor device structure
US20240096997A1 (en) Semiconductor device structure and methods of forming the same