TW202401777A - 記憶體結構及其形成方法 - Google Patents
記憶體結構及其形成方法 Download PDFInfo
- Publication number
- TW202401777A TW202401777A TW112104679A TW112104679A TW202401777A TW 202401777 A TW202401777 A TW 202401777A TW 112104679 A TW112104679 A TW 112104679A TW 112104679 A TW112104679 A TW 112104679A TW 202401777 A TW202401777 A TW 202401777A
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- electrode layer
- top electrode
- laser source
- ferroelectric
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 134
- 125000006850 spacer group Chemical group 0.000 claims abstract description 30
- 229910044991 metal oxide Inorganic materials 0.000 claims abstract description 15
- 150000004706 metal oxides Chemical class 0.000 claims abstract description 15
- 230000008569 process Effects 0.000 claims description 74
- XLOMVQKBTHCTTD-UHFFFAOYSA-N Zinc monoxide Chemical compound [Zn]=O XLOMVQKBTHCTTD-UHFFFAOYSA-N 0.000 claims description 55
- 239000012212 insulator Substances 0.000 claims description 45
- XKRFYHLGVUSROY-UHFFFAOYSA-N argon Substances [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 30
- 238000000151 deposition Methods 0.000 claims description 27
- 238000005224 laser annealing Methods 0.000 claims description 27
- 239000000203 mixture Substances 0.000 claims description 27
- 230000005855 radiation Effects 0.000 claims description 27
- 239000011787 zinc oxide Substances 0.000 claims description 27
- -1 argon ions Chemical class 0.000 claims description 23
- 229910052786 argon Inorganic materials 0.000 claims description 20
- 238000002425 crystallisation Methods 0.000 claims description 15
- 230000008025 crystallization Effects 0.000 claims description 15
- 238000005530 etching Methods 0.000 claims description 15
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 13
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims description 13
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 12
- CPBQJMYROZQQJC-UHFFFAOYSA-N helium neon Chemical compound [He].[Ne] CPBQJMYROZQQJC-UHFFFAOYSA-N 0.000 claims description 11
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 claims description 10
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 claims description 10
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 10
- JNDMLEXHDPKVFC-UHFFFAOYSA-N aluminum;oxygen(2-);yttrium(3+) Chemical compound [O-2].[O-2].[O-2].[Al+3].[Y+3] JNDMLEXHDPKVFC-UHFFFAOYSA-N 0.000 claims description 10
- UIZLQMLDSWKZGC-UHFFFAOYSA-N cadmium helium Chemical compound [He].[Cd] UIZLQMLDSWKZGC-UHFFFAOYSA-N 0.000 claims description 10
- 229910052733 gallium Inorganic materials 0.000 claims description 10
- 229910052743 krypton Inorganic materials 0.000 claims description 10
- 229910019901 yttrium aluminum garnet Inorganic materials 0.000 claims description 10
- 229910001218 Gallium arsenide Inorganic materials 0.000 claims description 9
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 9
- 239000004020 conductor Substances 0.000 claims description 9
- JAONJTDQXUSBGG-UHFFFAOYSA-N dialuminum;dizinc;oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Al+3].[Al+3].[Zn+2].[Zn+2] JAONJTDQXUSBGG-UHFFFAOYSA-N 0.000 claims description 9
- 229910052735 hafnium Inorganic materials 0.000 claims description 9
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 claims description 9
- 229910000449 hafnium oxide Inorganic materials 0.000 claims description 9
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 claims description 9
- 229910052707 ruthenium Inorganic materials 0.000 claims description 9
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 9
- SKRWFPLZQAAQSU-UHFFFAOYSA-N stibanylidynetin;hydrate Chemical compound O.[Sn].[Sb] SKRWFPLZQAAQSU-UHFFFAOYSA-N 0.000 claims description 9
- AMGQUBHHOARCQH-UHFFFAOYSA-N indium;oxotin Chemical compound [In].[Sn]=O AMGQUBHHOARCQH-UHFFFAOYSA-N 0.000 claims description 8
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 claims description 8
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 8
- 229910052721 tungsten Inorganic materials 0.000 claims description 8
- 239000010937 tungsten Substances 0.000 claims description 8
- 229910001887 tin oxide Inorganic materials 0.000 claims description 7
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims description 6
- 229910052750 molybdenum Inorganic materials 0.000 claims description 6
- 239000011733 molybdenum Substances 0.000 claims description 6
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 claims description 6
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 claims description 5
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 claims description 5
- 229910052451 lead zirconate titanate Inorganic materials 0.000 claims description 5
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 5
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 claims description 5
- 229910052697 platinum Inorganic materials 0.000 claims description 5
- 229910052715 tantalum Inorganic materials 0.000 claims description 5
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 5
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 5
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 claims description 5
- 229910001928 zirconium oxide Inorganic materials 0.000 claims description 5
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 claims description 4
- 239000005751 Copper oxide Substances 0.000 claims description 4
- VNSWULZVUKFJHK-UHFFFAOYSA-N [Sr].[Bi] Chemical compound [Sr].[Bi] VNSWULZVUKFJHK-UHFFFAOYSA-N 0.000 claims description 4
- RFEISCHXNDRNLV-UHFFFAOYSA-N aluminum yttrium Chemical compound [Al].[Y] RFEISCHXNDRNLV-UHFFFAOYSA-N 0.000 claims description 4
- 229910052454 barium strontium titanate Inorganic materials 0.000 claims description 4
- JRPBQTZRNDNNOP-UHFFFAOYSA-N barium titanate Chemical compound [Ba+2].[Ba+2].[O-][Ti]([O-])([O-])[O-] JRPBQTZRNDNNOP-UHFFFAOYSA-N 0.000 claims description 4
- 229910002113 barium titanate Inorganic materials 0.000 claims description 4
- 229910052797 bismuth Inorganic materials 0.000 claims description 4
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 claims description 4
- FJICDAJBGTWIEH-UHFFFAOYSA-N calcium dioxido(oxo)manganese Chemical compound [Ca+2].[O-][Mn]([O-])=O FJICDAJBGTWIEH-UHFFFAOYSA-N 0.000 claims description 4
- 229910000431 copper oxide Inorganic materials 0.000 claims description 4
- NKZSPGSOXYXWQA-UHFFFAOYSA-N dioxido(oxo)titanium;lead(2+) Chemical compound [Pb+2].[O-][Ti]([O-])=O NKZSPGSOXYXWQA-UHFFFAOYSA-N 0.000 claims description 4
- 229910052741 iridium Inorganic materials 0.000 claims description 4
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 claims description 4
- HFGPZNIAWCZYJU-UHFFFAOYSA-N lead zirconate titanate Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ti+4].[Zr+4].[Pb+2] HFGPZNIAWCZYJU-UHFFFAOYSA-N 0.000 claims description 4
- 229910000476 molybdenum oxide Inorganic materials 0.000 claims description 4
- 229910000480 nickel oxide Inorganic materials 0.000 claims description 4
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 claims description 4
- PQQKPALAQIIWST-UHFFFAOYSA-N oxomolybdenum Chemical compound [Mo]=O PQQKPALAQIIWST-UHFFFAOYSA-N 0.000 claims description 4
- GNRSAWUEBMWBQH-UHFFFAOYSA-N oxonickel Chemical compound [Ni]=O GNRSAWUEBMWBQH-UHFFFAOYSA-N 0.000 claims description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 4
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 claims description 4
- 229910001936 tantalum oxide Inorganic materials 0.000 claims description 4
- 229910001930 tungsten oxide Inorganic materials 0.000 claims description 4
- 229910000859 α-Fe Inorganic materials 0.000 claims description 4
- 229910002601 GaN Inorganic materials 0.000 claims description 3
- RNQKDQAVIXDKAG-UHFFFAOYSA-N aluminum gallium Chemical compound [Al].[Ga] RNQKDQAVIXDKAG-UHFFFAOYSA-N 0.000 claims description 3
- LUKDNTKUBVKBMZ-UHFFFAOYSA-N aluminum scandium Chemical compound [Al].[Sc] LUKDNTKUBVKBMZ-UHFFFAOYSA-N 0.000 claims description 3
- 150000004767 nitrides Chemical class 0.000 claims description 3
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 3
- 239000010410 layer Substances 0.000 description 536
- 229910052751 metal Inorganic materials 0.000 description 86
- 239000002184 metal Substances 0.000 description 86
- 239000011229 interlayer Substances 0.000 description 25
- 239000000758 substrate Substances 0.000 description 24
- 239000000463 material Substances 0.000 description 23
- 238000000137 annealing Methods 0.000 description 22
- 238000005229 chemical vapour deposition Methods 0.000 description 17
- 230000005621 ferroelectricity Effects 0.000 description 17
- 239000007789 gas Substances 0.000 description 15
- 239000010949 copper Substances 0.000 description 13
- 239000002019 doping agent Substances 0.000 description 13
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 12
- 229920002120 photoresistant polymer Polymers 0.000 description 12
- 229910052710 silicon Inorganic materials 0.000 description 11
- 229910052732 germanium Inorganic materials 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 9
- 239000010703 silicon Substances 0.000 description 9
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 8
- 238000000231 atomic layer deposition Methods 0.000 description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 8
- 229910052802 copper Inorganic materials 0.000 description 8
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 8
- 238000002955 isolation Methods 0.000 description 8
- 239000001301 oxygen Substances 0.000 description 8
- 229910052760 oxygen Inorganic materials 0.000 description 8
- 238000005240 physical vapour deposition Methods 0.000 description 8
- 239000010936 titanium Substances 0.000 description 8
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 7
- 230000005669 field effect Effects 0.000 description 7
- 239000004065 semiconductor Substances 0.000 description 7
- 230000005641 tunneling Effects 0.000 description 7
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 6
- 230000005540 biological transmission Effects 0.000 description 6
- 229910052796 boron Inorganic materials 0.000 description 6
- 239000000460 chlorine Substances 0.000 description 6
- 239000005368 silicate glass Substances 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 5
- 238000001312 dry etching Methods 0.000 description 5
- 239000012071 phase Substances 0.000 description 5
- 239000005360 phosphosilicate glass Substances 0.000 description 5
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 4
- 229910017052 cobalt Inorganic materials 0.000 description 4
- 239000010941 cobalt Substances 0.000 description 4
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 230000009977 dual effect Effects 0.000 description 4
- 230000001747 exhibiting effect Effects 0.000 description 4
- 229910052731 fluorine Inorganic materials 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- 230000006870 function Effects 0.000 description 4
- 230000007246 mechanism Effects 0.000 description 4
- 229910052759 nickel Inorganic materials 0.000 description 4
- 238000001020 plasma etching Methods 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 3
- 206010073306 Exposure to radiation Diseases 0.000 description 3
- 229910004129 HfSiO Inorganic materials 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 3
- MCMNRKCIXSYSNV-UHFFFAOYSA-N ZrO2 Inorganic materials O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 3
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 3
- RVSGESPTHDDNTH-UHFFFAOYSA-N alumane;tantalum Chemical compound [AlH3].[Ta] RVSGESPTHDDNTH-UHFFFAOYSA-N 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 229910052785 arsenic Inorganic materials 0.000 description 3
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 3
- 229910052801 chlorine Inorganic materials 0.000 description 3
- 238000011161 development Methods 0.000 description 3
- 230000009969 flowable effect Effects 0.000 description 3
- KQHQLIAOAVMAOW-UHFFFAOYSA-N hafnium(4+) oxygen(2-) zirconium(4+) Chemical compound [O--].[O--].[O--].[O--].[Zr+4].[Hf+4] KQHQLIAOAVMAOW-UHFFFAOYSA-N 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 238000001451 molecular beam epitaxy Methods 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 238000000206 photolithography Methods 0.000 description 3
- 230000010287 polarization Effects 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- 238000004528 spin coating Methods 0.000 description 3
- 229910052712 strontium Inorganic materials 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 3
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 2
- 229910015801 BaSrTiO Inorganic materials 0.000 description 2
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- 229910052688 Gadolinium Inorganic materials 0.000 description 2
- 229910020684 PbZr Inorganic materials 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 229910052740 iodine Inorganic materials 0.000 description 2
- 239000011630 iodine Substances 0.000 description 2
- 229910052746 lanthanum Inorganic materials 0.000 description 2
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000007517 polishing process Methods 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 239000011241 protective layer Substances 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 229910052706 scandium Inorganic materials 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- 238000002834 transmittance Methods 0.000 description 2
- 239000012808 vapor phase Substances 0.000 description 2
- 238000000927 vapour-phase epitaxy Methods 0.000 description 2
- 238000001429 visible spectrum Methods 0.000 description 2
- 229910052727 yttrium Inorganic materials 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910017121 AlSiO Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- GEIAQOFPUVMAGM-UHFFFAOYSA-N Oxozirconium Chemical compound [Zr]=O GEIAQOFPUVMAGM-UHFFFAOYSA-N 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- 229910006501 ZrSiO Inorganic materials 0.000 description 1
- DBOSVWZVMLOAEU-UHFFFAOYSA-N [O-2].[Hf+4].[La+3] Chemical compound [O-2].[Hf+4].[La+3] DBOSVWZVMLOAEU-UHFFFAOYSA-N 0.000 description 1
- YAIQCYZCSGLAAN-UHFFFAOYSA-N [Si+4].[O-2].[Al+3] Chemical compound [Si+4].[O-2].[Al+3] YAIQCYZCSGLAAN-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000001066 destructive effect Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- OKZIUSOJQLYFSE-UHFFFAOYSA-N difluoroboron Chemical compound F[B]F OKZIUSOJQLYFSE-UHFFFAOYSA-N 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- ZQXQADNTSSMHJI-UHFFFAOYSA-N hafnium(4+) oxygen(2-) tantalum(5+) Chemical compound [O-2].[Ta+5].[Hf+4] ZQXQADNTSSMHJI-UHFFFAOYSA-N 0.000 description 1
- KUVFGOLWQIXGBP-UHFFFAOYSA-N hafnium(4+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Hf+4] KUVFGOLWQIXGBP-UHFFFAOYSA-N 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000001678 irradiating effect Effects 0.000 description 1
- YVNNLJMTJUPBDD-UHFFFAOYSA-N lanthanum(3+) oxygen(2-) silicon(4+) Chemical compound [O-2].[La+3].[Si+4] YVNNLJMTJUPBDD-UHFFFAOYSA-N 0.000 description 1
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 239000002073 nanorod Substances 0.000 description 1
- 239000002135 nanosheet Substances 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 239000003870 refractory metal Substances 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000003980 solgel method Methods 0.000 description 1
- 238000010561 standard procedure Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910019655 synthetic inorganic crystalline material Inorganic materials 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B53/00—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
- H10B53/30—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B51/00—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
- H10B51/30—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L28/00—Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
- H01L28/40—Capacitors
- H01L28/55—Capacitors with a dielectric comprising a perovskite structure material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/516—Insulating materials associated therewith with at least one ferroelectric layer
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B51/00—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
- H10B51/20—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B51/00—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
- H10B51/50—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the boundary region between the core and peripheral circuit regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B53/00—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Ceramic Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Semiconductor Memories (AREA)
Abstract
本公開的一種裝置結構包括配置於第一介電層中的導電特徵、配置在導電特徵上方的鐵電隧道接面堆疊、沿著鐵電穿隧接面堆疊的側壁配置的間隔物、配置在間隔物及鐵電穿隧接面堆疊上方的第二介電層,及延伸穿過第二介電層的接觸通孔。鐵電穿隧接面堆疊包括電性耦接至導電特徵的底部電極層、在底部電極層上方的鐵電層,及在鐵電層上的頂部電極層。頂部電極層由導電金屬氧化物所形成。
Description
無
積體電路(integrated circuit,IC)產業已經歷指數式成長。IC材料及設計的技術進步已產生多代IC,其中每一代的電路比前一代更小且更複雜。在IC演化的過程中,功能密度(即,每晶片面積的互連裝置的數目)已大體上增加,而幾何大小(即,使用製造製程可生產的最小組件(或導線))已減小。這樣的尺寸縮小製程通常藉由提高生產效率及降低相關聯成本來提供益處。
尺寸縮小製程促使電路設計者將裝置自前段製程(front-end-of-line,FEOL)層級移動至互連結構所在的後段製程(back-end-of-line,BEOL)層級。舉例而言,基於鐵電性的記憶體裝置可在BEOL層級形成。在BEOL層級形成基於鐵電性的記憶體裝置並非沒有挑戰。儘管基於鐵電性的記憶體裝置的現有製程及結構通常對於現在的記憶體裝置的預期目標而言是足夠的,但這些現有製程及結構並非在所有方面令人滿意。
無
本公開內容大體上是關於積體電路裝置,且更特定而言是關於用於積體電路裝置的互連結構。
為了實現提及主題的不同特徵,以下公開內容提供了許多不同的實施例或示例。以下描述組件、配置等的具體示例以簡化本公開。當然,這些僅僅是示例,而不是限制性的。例如,在以下的描述中,在第二特徵之上或上方形成第一特徵可以包括第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包括在第一特徵和第二特徵之間形成附加特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本公開可以在各種示例中重複參考數字和/或字母。此重複是為了簡單和清楚的目的,並且本身並不表示所討論的各種實施例和/或配置之間的關係。
此外,本文可以使用空間相對術語,例如「在…下面」、「在…下方」、「下部」、「在…上面」、「上部」等,以便於描述一個元件或特徵與如圖所示的另一個元件或特徵的關係。除了圖中所示的取向之外,空間相對術語旨在包括使用或操作中的裝置的不同取向。裝置可以以其他方式定向(旋轉90度或在其他方向上),並且同樣可以相應地解釋在此使用的空間相對描述符號。
此外,當用「約」、「近似」及類似詞語描述數字或數字範圍時,術語意欲涵蓋本領域技術人員所瞭解在製造期間固有出現的誤差的合理範圍內的數字。舉例而言,數字或數字範圍涵蓋包括所描述數字的合理範圍,此範圍是基於與製造與數字相關聯特性的特徵有關的已知製造公差,例如在所描述數字的+/–10%內。舉例而言,具有「約5 nm」的厚度的材料層可涵蓋4.25 nm至5.75 nm的尺寸範圍,其中與沉積材料層相關聯的製造公差如本領域技術人員已知為+/–15%。更進一步而言,本公開內容可在各種實例中重複參考數字及/或字母。此重複是出於簡單及清楚的目的且本身並不限定所論述的各種實施例及/或組態之間的關係。
IC製造製程流程典型地劃分成三種類別,前段製程(front-end-of-line,FEOL)製程、中段製程(middle-end-of-line,MEOL)製程及後段製程(back-end-of-line,BEOL)製程。FEOL製程通常涵蓋與製造例如電晶體的IC裝置有關的製程。舉例而言,FEOL製程可包括形成隔離特徵、通道特徵、閘極特徵及源極及汲極特徵(通常被稱為源極/汲極特徵)。MEOL製程通常涵蓋與製造至多閘極裝置的接觸件有關的製程,多閘極裝置例如鰭式場效電晶體(fin-type field effect transistor,FinFET)或閘極全環繞(gate-all-around,GAA)電晶體(亦被稱為多橋通道(multi-bridge-channel,MBC)電晶體或環繞閘極式電晶體(surrounding gate transistor,SGT))。實例MEOL特徵包括至多閘極電晶體的閘極結構及/或源極/汲極特徵的接觸件。BEOL製程通常涵蓋有關於製造用於互連FEOL的IC特徵的多層互連(multilayer interconnect,MLI)特徵的製程,由此實現IC裝置的操作。為了節約FEOL層級的佔用面積,可將不要求光微影精度位準的電晶體的較大裝置移動至FEOL結構。舉例而言,可在BEOL層級製造基於鐵電性的記憶體裝置,例如鐵電隧道接面(ferroelectric tunnel junction,FTJ)記憶體裝置。
鐵電隧道接面記憶體為非揮發性記憶體,包括夾置鐵電隧道阻障層的兩個電極。儘管鐵電隧道接面記憶體與鐵電隨機存取記憶體(ferroelectric random access memory,FeRAM)共用一些類似屬性,但這兩種記憶體在許多方面不同。在FeRAM中,厚鐵電膜夾在兩個電極之間,且藉由在兩個電極之間施加電場來切換剩餘極化(remnant polarization)。然而,剩餘極化的電容讀取可能擾亂極化且需要重寫入資訊。另外,厚鐵電膜上的讀取電流傾向偏低,因此在小型化或整合至BEOL結構中造成挑戰。與FeRAM相比,鐵電隧道接面記憶體包括允許量子力學穿隧的薄鐵電層(以奈米量測)。量子力學穿隧引起具有高度可辨識度的導通/關斷(ON/OFF)電阻的隧道電阻,導致可能的非破壞性電阻讀取。此外,鐵電隧道接面記憶體具有允許在BEOL結構中整合記憶體的讀取電流。
已觀察到,對鐵電隧道接面記憶體中的鐵電層的充分熱處理是達成結晶及良好鐵電性的必要條件。在一些現有技術中,對鐵電層的熱處理要小心地進行,因為過量的熱可能導致例如閘極結構的FEOL結構損壞。熱處理的溫度經常保持低於400℃,但這可能導致鐵電層的結晶化不充分。
本公開內容提供一種製程及一種鐵電隧道接面記憶體結構,以達成鐵電層的結晶化而不對FEOL結構造成非預期損害。本公開內容的鐵電隧道接面記憶體包括透光的頂部電極層,允許來自雷射源的輻射在雷射退火製程期間透過頂部電極層。在根據本公開內容的製程中,在工件上方沉積底部電極層、鐵電層及透光的頂部電極層,且執行雷射退火。在雷射退火期間,來自雷射源的輻射透射穿過透光的頂部電極層,以將鐵電層局部加熱至約400℃與約1000℃之間的溫度,而不使FEOL結構受到過量的熱。同時,透光的頂部電極層對鐵電層施加應力,使得鐵電層可以展現鐵電性的晶相結晶。鐵電隧道接面記憶體結構及製程在損壞FEOL結構的風險很低或不會損壞FEOL結構的情況下提供鐵電層的改良結晶。
現將參考附圖來更詳細地描述本公開內容的各種態樣。關於這點,根據本公開內容的各種態樣,第1圖及第14圖繪示自工件200形成裝置結構的方法100及方法400的流程圖。方法100及方法400僅為實例且不欲將本公開內容限於在方法100及方法400中明確繪示的內容。對於方法的額外實施例,可在方法100或方法400之前、期間及之後提供額外步驟,且所描述的一些步驟可予以替換、消除或移動。為簡單起見,並非所有步驟都在本文中進行詳細描述。方法100將結合第2圖至第13圖在下文描述,這些圖式為根據方法100的各種實施例而處於不同製造階段的工件200的片段橫截面圖。類似地,方法400將結合第2圖至第4圖及第15圖至第22圖在下文描述,這些圖式為根據方法400的各種實施例而處於不同製造階段的工件200的片段橫截面圖。因為工件200將製造成裝置結構,所以視上下文所需,工件200在本文中可被稱為裝置結構200。為免產生疑問,附圖中的X方向、Y方向及Z方向彼此垂直。貫穿本公開內容,除非另有明確描述,否則相似的參考數字代表相似特徵。
本公開內容的附圖中所示的裝置結構200是簡化的,且並非裝置結構200中的所有特徵都予以詳細說明或描述。附圖所示的裝置結構200可為包括各種被動及主動微電子裝置的IC晶片的一部分、晶片上系統(system on chip,SoC)或其部分,微電子裝置例如是電阻器、電容器、電感器、二極體、p型場效電晶體(p-type field effect transistor,PFET)、n型場效電晶體(n-type field effect transistor,NFET)、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistor,MOSFET)、互補式金屬氧化物半導體(complementary metal-oxide semiconductor,CMOS)電晶體、雙極接面電晶體(bipolar junction transistor,BJT)、橫向擴散金屬氧化物半導體(laterally diffused MOS,LDMOS)電晶體、高壓電晶體、高頻電晶體、其他合適的組件或其組合。
參考第1圖及第2圖,方法100包括在步驟102時提供工件200。工件200包括基板202。在一實施例中,基板202包括矽(Si)。另外或替代地,基板202可包括另一種元素半導體(例如鍺(Ge))、化合物半導體(例如碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)及/或銻化銦)、合金半導體(例如矽鍺(SiGe)、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP)及/或其組合。替代地,基板202可為絕緣體上半導體基板,例如絕緣體上矽(silicon-on-insulator,SOI)基板、絕緣體上矽鍺(silicon germanium-on-insulator,SGOI)基板或絕緣體上鍺(germanium-on-insulator,GeOI)基板。絕緣體上半導體基板可使用藉由氧氣植入(implantation of oxygen,SIMOX)、晶圓接合及/或其他合適方法的分離步驟來製造。基板202可視裝置結構200的設計需求而包括各種摻雜區域(未示出)。在一些實施方式中,基板202包括經摻雜而具有p型摻雜劑的p型摻雜區域(例如,p型阱),p型摻雜劑例如是硼(例如,BF
2)、銦、其他p型摻雜劑或其組合。在一些實施方式中,基板202包括經摻雜而具有n型摻雜劑的n型摻雜區域(例如,n型阱),n型摻雜劑例如是磷(P)、砷(As)、其他n型摻雜劑或其組合。在一些實施方式中,基板202包括具有p型摻雜劑與n型摻雜劑組合的摻雜區域。各種摻雜區域可例如是直接形成於基板202上及/或中,從而提供p阱結構、n阱結構、雙阱結構、凸起結構或其組合。可執行離子植入製程、擴散製程及/或其他合適的摻雜製程以形成各種摻雜區域。
在所描繪實施例中,工件200包括製造在基板202上的裝置20。裝置20可為平面電晶體或多閘極電晶體,例如鰭式場效電晶體或閘極全環繞電晶體。閘極全環繞電晶體可包括各種形狀的通道區域,所述形狀包括可共同被稱為奈米結構的奈米線、奈米棒或奈米片。閘極全環繞電晶體亦可被稱為多橋通道電晶體或環繞閘極式電晶體(surrounding-gate-transistor,SGT)。代表性展示於第2圖中的裝置20為平面裝置,此平面裝置包括配置在主動區域204的通道區域上方的閘極結構206,及源極/汲極區域208。主動區域204可由可以是矽(Si)基板的基板202所形成,或由形成於基板202上的磊晶層所形成。在後者情況下,磊晶層可包括鍺(Ge)或矽鍺(SiGe)。儘管裝置20在第2圖及後續圖中繪示為平面裝置,但應理解,裝置20亦可為鰭式場效電晶體或閘極全環繞電晶體。
儘管未明確地展示,但閘極結構206包括接合鰭片結構的界面層、在界面層上方的閘極介電層及在閘極介電層上方的閘極電極層。界面層可包括介電材料,例如氧化矽、矽酸鉿或氮氧化矽。界面層可藉由化學氧化、熱氧化、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)及/或其他合適的方法來形成。閘極介電層可包括高介電常數(high-k)介電材料,例如氧化鉿。替代地,閘極介電層可包括其他高介電常數介電材料,例如氧化鈦(TiO
2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta
2O
5)、矽氧化鉿(HfSiO
4)、二氧化鋯(ZrO
2)、矽氧化鋯(ZrSiO
2)、氧化鑭(La
2O
3)、氧化鋁(Al
2O
3)、氧化鋯(ZrO)、氧化釔(Y
2O
3)、SrTiO
3(STO)、BaTiO
3(BTO)、BaZrO、氧化鉿鑭(HfLaO)、矽氧化鑭(LaSiO)、矽氧化鋁(AlSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、(Ba,Sr)TiO
3(BST)、氮化矽(SiN)、氮氧化矽(SiON)、其組合或其他合適的材料。閘極介電層可藉由原子層沉積、物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、氧化及/或其他合適的方法來形成。
閘極結構206的閘極電極層可包括單一層或替代地包括多層結構,例如具有選定的功函數以增強裝置效能的金屬層(功函數金屬層)、襯裡層、潤濕層、黏合層、金屬合金或金屬矽化物的多種組合。舉例說明,閘極電極層可包括氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鉭(TaN)、鉭鋁(TaAl)、氮化鉭鋁(TaAlN)、碳化鉭鋁(TaAlC)、碳氮化鉭(TaCN)、鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、碳化鉭(TaC)、矽氮化鉭(TaSiN)、銅(Cu)、其他耐火金屬或其他合適的金屬材料或其組合。
源極/汲極區域208可為摻雜區域或使用氣相磊晶(vapor-phase epitaxy,VPE)、超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD)、分子束磊晶(molecular beam epitaxy,MBE)及/或其他合適製程沉積而成。當源極/汲極區域208為n型時,其可包括摻雜例如磷(P)或砷(As)的n型摻雜劑的矽。當源極/汲極區域208為p型時,其可包括摻雜例如硼(B)或二氟化硼(BF
2)的p型摻雜劑的矽鍺(SiGe)。在附圖中未明確繪示的一些替代實施例中,源極/汲極區域208可包括多個層。在一個實例中,源極/汲極區域208可包括在鰭片結構的源極/汲極區域上方的輕摻雜第一磊晶層、在輕摻雜第一磊晶層上方的重摻雜第二磊晶層及配置在重摻雜第二磊晶層上方的覆蓋磊晶層。第一磊晶層具有比第二磊晶層低的摻雜劑濃度或較小鍺含量(當鍺存在時)以減少晶格失配缺陷。第二磊晶層具有最高的摻雜劑濃度或最高的鍺含量(當鍺存在時)以減小電阻且增大通道上的應變。覆蓋磊晶層可具有比第二磊晶層小的摻雜劑濃度及鍺含量(當鍺存在時)以增大蝕刻抗性。
儘管在第2圖中未明確展示,但類似於主動區域204的多個主動區域形成於基板202上方。這些主動區域可由隔離特徵彼此隔離。在一些實施方式中,隔離特徵的形成可藉由使用乾式蝕刻製程蝕刻基板202中的溝槽或基板202上的磊晶層及使用化學氣相沉積製程、可流動化學氣相沉積(flowable CVD,FCVD)製程或旋塗玻璃製程而用絕緣體材料填充溝槽。可執行化學機械研磨(chemical mechanical polishing,CMP)製程以移除過量的絕緣體材料且提供平坦表面。在所描繪的實施例中,隔離特徵在化學機械研磨製程後形成。當裝置20為包括鰭片結構或類鰭結構的多閘極裝置時,絕緣體材料可經回蝕以形成隔離特徵,使得鰭片結構或類鰭結構凸出於隔離結構之上。在一些實施方式中,隔離特徵可包括多層結構,此多層結構包括襯裡介電層及塊材介電層。隔離特徵可包括氧化矽、氮氧化矽、硼矽酸鹽玻璃(boron silicate glass,BSG)或磷矽酸鹽玻璃(phosphosilicate glass,PSG)。儘管在附圖中未明確展示,但當裝置20為多閘極裝置時,工件200亦可包括MEOL結構,此MEOL結構可包括配置在一或多個層間介電層(interlayer dielectric,ILD)中的源極/汲極接觸件或閘極接觸通孔。層間介電層可包括氧化矽、四乙基正矽酸鹽(tetraethylorthosilicate,TEOS)氧化物、無摻雜矽酸鹽玻璃(un-doped silicate glass,USG)、摻雜矽酸鹽玻璃(例如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融矽酸鹽玻璃(fused silicate glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼摻雜矽酸鹽玻璃(boron doped silicate glass,BSG))及/或其他合適的介電材料。源極/汲極接觸件可包括釕(Ru)、鈷(Co)、鎳(Ni)或銅(Cu)。閘極接觸通孔可包括鎢(W)、釕(Ru)、鈷(Co)、鎳(Ni)或銅(Cu)。
在第2圖描繪的實施例中,工件200進一步包括互連結構201的一部分。互連結構201包括多個金屬層,包括所繪示的第一金屬層M
1至第n金屬層M
n,點表示第一金屬層M
1與第n金屬層M
n之間的介入金屬層。互連結構201的額外金屬層將形成於第n金屬層M
n上方。在一些實施例中,互連結構可包括約9至約13個金屬層且第n金屬層M
n的數字n可大於2。儘管有可能在形成裝置20之後立即執行本公開內容的製程,但這樣做可能招致損壞FEOL結構的更大風險。也就是說,第一金屬層M
1與第n金屬層M
n之間可能存在0至11個層。互連結構的金屬層中的每一者包括嵌入至少一個金屬間介電層(intermetal dielectric,IMD)中的多個通孔及金屬線。通孔及金屬線可由鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)或鋁(Al)所形成。在一個實施例中,通孔及金屬線由銅(Cu)形成。金屬間介電層可具有與上述的層間介電層類似的組成。在所描繪的實施例中,第一金屬層M
1包括第一通孔214及配置於第一通孔214上的第一金屬線216。第一通孔214及第一金屬線216均嵌入或配置於第一層間介電層212中。類似地,第n金屬層M
n包括嵌入或配置於第n層間介電層222中的頂部通孔224及頂部金屬線226。在第2圖表示的實施例中,n為3且在第一金屬層M
1與第n金屬層M
n之間存在一個額外金屬層。請注意,如本文中所使用,頂部金屬線226表示上面形成記憶體堆疊的頂部金屬線。更多的金屬層將形成於記憶體堆疊及第n金屬層M
n上方。
參考第1圖及第3圖,方法100包括在步驟104時,在工件200上方沉積第一蝕刻終止層(etch stop layer,ESL)230。在一些實施例中,第一蝕刻終止層230包括碳化矽且可使用化學氣相沉積或電漿增強化學氣相沉積(plasma enhanced CVD,PECVD)來沉積。第一蝕刻終止層230不僅充當蝕刻終止層,而且可以在頂部金屬線226由銅或含銅材料所形成時用以防止頂部金屬線226中的金屬電遷移(electromigration)。在一些實施方式中,第一蝕刻終止層230可具有在約200 nm與約350 nm之間的厚度。這個厚度並非不重要。當厚度小於200 nm時,第一蝕刻終止層230可能無法充分抑制頂部金屬線226中的電遷移。當厚度大於350 nm時,第一蝕刻終止層230可能佔用過多厚度而阻止對具有較小總厚度的金屬層(例如來自裝置20的前三個或前四個金屬層)執行整個製程。
參考第1圖及第4圖,方法100包括在步驟106時,穿過第一蝕刻終止層230形成開口232以暴露頂部金屬線226。可使用光微影製程與蝕刻製程的組合來穿過第一蝕刻終止層230形成開口232。舉例而言,使用化學氣相沉積、可流動化學氣相沉積或合適製程沉積至少一個硬遮罩在第一蝕刻終止層230上方。接著使用旋轉塗佈沉積光阻層在至少一個硬遮罩層上方。沉積的光阻層可經受曝光前烘烤製程、曝光於反射自光罩或透射穿過光罩的輻射、曝光後烘烤製程及顯影製程,以便形成經圖案化光阻。接著使用經圖案化光阻作為蝕刻遮罩來蝕刻至少一個硬遮罩層以形成經圖案化硬遮罩。接著使用經圖案化硬遮罩作為蝕刻遮罩來蝕刻第一蝕刻終止層230以形成開口232。步驟106的適當蝕刻製程可為乾式蝕刻製程、濕式蝕刻製程或其組合。在一些實施例中,步驟106的蝕刻製程可為乾式蝕刻製程(例如,反應離子蝕刻(reactive ion etching,RIE)製程),此製程包括使用含氧氣體(例如,O
2)、含氟氣體(例如,SF
6或NF
3)或含氯氣體(例如,Cl
2及/或BCl
3)。如第4圖所示,開口232完全延伸穿過第一蝕刻終止層230,使得頂部金屬線226的頂表面暴露。
參考第1圖及第5圖,方法100包括在步驟108時,在開口232中形成接觸通孔234以耦接至頂部金屬線226。在一些實施例中,接觸通孔234可包括氮化鈦(TiN)、鈦(Ti)、釕(Ru)、鉬(Mo)、鎢(W)或鋁(Al)。在一個實施例中,接觸通孔234由氮化鈦(TiN)所形成,因為氮化鈦傾向於減少下層頂部金屬線226中的銅的電遷移。在一個實例製程中,用於接觸通孔234的導電材料是先使用化學氣相沉積或物理氣相沉積來沉積在第一蝕刻終止層230及開口232上方,然後執行例如化學機械研磨製程的平坦化製程以移除第一蝕刻終止層230上方的過量材料。在另一實施例中,接觸通孔234可使用例如原子層沉積或金屬有機化學氣相沉積(metal organic CVD,MOCVD)的由下而上沉積方法來沉積而成。在後者的實例中,接觸通孔234可選擇性地沉積在由開口232所暴露的頂部金屬線226的導電表面上。
參考第1圖及第6圖,方法100包括在步驟110時,在接觸通孔234及第一蝕刻終止層230上方沉積底部電極層236。在一些實施例中,底部電極層236包括氮化鉭(TaN)、氮化鈦(TiN)、鉭(Ta)、鎢(W)、鉑(Pt)、釕(Ru)、銥(Ir)或鉬(Mo)。底部電極層236是使用物理氣相沉積或化學氣相沉積毯覆沉積在工件200的頂表面(包括第一蝕刻終止層230及接觸通孔234的頂表面)上方。請注意,因為底部電極層236沒有允許雷射輻射透射的作用,所以底部電極層236不透光且並非由半透明或透明的金屬氧化物所形成。在一些例子中,底部電極層236可具有在約10 nm與約20 nm之間的厚度。這個厚度範圍並非不重要。當厚度小於10 nm時,底部電極層236可能由於這個厚度下的導電機制而變得導電性較低。當厚度大於20 nm時,由例如氮化鈦(TiN)的較低導電性材料所形成的底部電極層236可能造成過大的電阻。
參考第1圖及第7圖,方法100包括在步驟112時,在底部電極層236上方沉積鐵電層238。鐵電層238可為展現鐵電性的二元氧化物、三元氧化物、三元氮化物或四元氧化物。鐵電層238可由以下材料所形成,例如氧化鉿、矽酸鉿(HfSiO
x)、鋯酸鉿(HfZrO
x)、鈦酸鋇(BaTiO
3)、鈦酸鉛(PbTiO
3)、鈦酸鍶(SrTiO
3)、亞錳酸鈣(CaMnO
3)、鐵酸鉍(BiFeO
3)、氮化鋁鈧(AlScN)、氮化鋁鎵(AlGaN)、氮化鋁釔(AlYN)、摻雜的HfO
2(摻雜劑例如是Si、Zr、Y、Al、Gd、Sr、La、Sc、Ge等)、鋯鈦酸鉛(PbZr
xTi
yO
z,PZT)、鈦酸鋇鍶(BaSrTiO
x)或鉭酸鍶鉍(SrBi
2Ta
2O
9,SBT)。在一個實施例中,鐵電層238包括鋯摻雜的氧化鉿或氧化鉿鋯(hafnium zirconium oxide,HZO)。如第7圖所示,鐵電層238可使用物理氣相沉積、化學氣相沉積或原子層沉積來毯覆沉積在工件200上方,包括在底部電極層236上方。請注意,當在步驟112沉積時,鐵電層238可不展現鐵電性,因為鐵電層的沉積方法可能使鐵電層不具備充足的結晶性。從這方面來說,在步驟112所沉積的鐵電層238可被視為鐵電前驅物。如上所述,鐵電層238足夠薄以允許量子力學穿隧。在一些例子中,鐵電層238可具有在約1 nm與約10 nm之間的厚度。鐵電層238的厚度小於底部電極層236的厚度。
參考第1圖及第8圖,方法100包括在步驟114時,在鐵電層238上方沉積頂部電極層240。頂部電極層240由透光導電材料所形成。在一些實施例中,頂部電極層240由例如以下的導電金屬氧化物所形成,例如氧化銦錫(indium-tin oxide,ITO)、氧化鋅(ZnO)、氟摻雜的氧化錫(fluorine doped tin oxide,FTO)、氧化鎵鋅(gallium zinc oxide,GZO)、氧化鋁鋅(aluminum zinc oxide,AZO)、氧化銻錫(antimony tin oxide,ATO)。頂部電極層240可使用物理氣相沉積或溶膠-凝膠(sol-gel)製程來沉積。在一些實施方式中,沉積的頂部電極層240可經退火以改良導電性。在一些例子中,頂部電極層240的退火可包括使用二氧化碳(CO
2)雷射源。根據本公開內容,頂部電極層240由允許至少部分雷射源的輻射透射的材料所形成。在一些例子中,頂部電極層240的透射率可大於30%,否則將失去具有透光的頂部電極層240的目的。也就是說,頂部電極層240對來自雷射源的輻射是半透明或透明的。如本文中所使用,雷射源是指用於雷射退火操作的雷射源。實例雷射源包括氦氖(He-Ne)雷射源、摻釹釔鋁石榴石(Nd:YAG)雷射源、氬離子(Ar+)雷射源、連續波(continuous-wave,CW)氬雷射源、氪離子(Kr+)雷射源、砷化鎵二極體雷射源或氦鎘(He-Cd)雷射源。因為大部分的這些實例雷射源發射在可見光譜中的輻射,所以頂部電極層240可視為對可見光是半透明或透明的。在一些例子中,頂部電極層240可具有在約10 nm與約20 nm之間的厚度。當厚度小於10 nm時,頂部電極層240可能由於這個厚度下的導電機制而變得導電性較低。當厚度大於20 nm時,由導電性較低的金屬氧化物所形成的頂部電極層240可能造成過大的電阻。儘管在附圖中未明確地圖示,但步驟114的操作可包括對頂部電極層240的低溫退火以提高此層的光透射及導電性。在一些例子中,較低溫度退火可包括使用烘箱及在100℃與約200℃之間的退火溫度。
參考第1圖及第9圖,方法100包括在步驟116時,對鐵電層238執行雷射退火300。如上所述,剛沉積的鐵電層238可能由於缺少結晶性而不展現鐵電性。為了增加鐵電層238中的結晶化,在步驟116執行雷射退火300。儘管雷射退火300在第9圖中展示為在整個工件200上的輻照,但雷射退火300可包括遍佈頂部電極層240的實質上整個頂表面的掃描或步進。如在上文關於步驟114的操作的大體描述,頂部電極層240對來自雷射退火步驟(例如第9圖中的雷射退火300)的雷射源輻射是半透明或透明的。來自雷射退火300的輻射接著可至少部分地透射穿過頂部電極層240的整個厚度且有效地到達鐵電層238。然而,下方層(例如底部電極層236或第一蝕刻終止層230)阻斷輻射而使輻射未到達例如裝置20的FEOL結構。也就是說,藉由在鐵電層238上方具有透光的頂部電極層240,雷射退火300可使鐵電層238有效地退火以促進結晶化及鐵電性,而不會有損壞FEOL結構的很大風險。在一些實施例中,雷射退火300包括使用氦氖雷射源、摻釹釔鋁石榴石雷射源、氬離子雷射源、連續波氬雷射源、氪離子雷射源、砷化鎵二極體雷射源或氦鎘雷射源,且可包括在約400℃與約1000℃之間的退火溫度。這個退火溫度範圍並非不重要。當退火溫度低於400℃時,鐵電層238的結晶化緩慢地發生,且雷射退火300可能無法達成足夠的結晶化以保證鐵電性質。當退火溫度高於1000℃時,熱能可能造成頂部金屬線226或頂部通孔224的損害。為了表示雷射退火300的效應,退火後的鐵電層238被重新標記為鐵電層2380。鐵電層2380與鐵電層238共用相同的組成,但鐵電層2380更結晶化以展現較強的鐵電性。
據觀察,當鐵電層238經退火而不經受來自頂部電極層240的應變時,鐵電層238並不形成展現鐵電性的相態。因此可以看出,本公開內容的頂部電極層240提供幾個功能。首先,頂部電極層240充當記憶體堆疊的頂部電極。為了提供此功能,頂部電極層240是導電的。其次,頂部電極層240對鐵電層238施加張應力(tensile stress),使得鐵電層238可以展現鐵電性的結晶相。在此方面,頂部電極層240充當應力源或應變層。第三,本公開內容的頂部電極層240對用於雷射退火300中的雷射源的輻射是半透明或透明的。
參考第1圖及第10圖,方法100包括在步驟118時,對底部電極層236、鐵電層2380及頂部電極層240進行圖案化以形成第一記憶體堆疊250。在步驟116的穿過頂部電極層240對鐵電層238的雷射退火300之後,執行光微影製程及蝕刻製程的組合以對底部電極層236、鐵電層2380及頂部電極層240進行圖案化。在一實例製程中,使用化學氣相沉積毯覆沉積硬遮罩層242在頂部電極層240上方。硬遮罩層242可包括氧化矽、氮化矽或氮氧化矽。請注意,硬遮罩層242的組成不同於第一蝕刻終止層230的組成。接著使用旋轉塗佈沉積光阻層在硬遮罩層242上方。沉積的光阻層可經受曝光前烘烤製程、曝光於反射自光罩或透射穿過光罩的輻射、曝光後烘烤製程及顯影製程,以便形成經圖案化光阻。接著使用經圖案化光阻作為蝕刻遮罩來蝕刻硬遮罩層242以形成經圖案化硬遮罩層242。接著經圖案化硬遮罩層242作為蝕刻遮罩,用以對底部電極層236、鐵電層2380及頂部電極層240進行蝕刻以形成第一記憶體堆疊250。步驟118的適當蝕刻製程可為乾式蝕刻製程(例如,反應離子蝕刻製程),包括使用含氧氣體(例如
,O
2)、含氟氣體(例如,SF
6或NF
3)、含氯氣體(例如,Cl
2及/或BCl
3)、含溴氣體(例如,HBr)、含碘氣體、其他合適的氣體及/或電漿及/或其組合。如第10圖所示,第一記憶體堆疊250包括底部電極層236、鐵電層2380、頂部電極層240及經圖案化硬遮罩層242。因為鐵電層2380具有允許量子力學穿隧的厚度,所以第一記憶體堆疊250為鐵電穿隧接面堆疊或鐵電隧道接面記憶體裝置。第一記憶體堆疊250直接配置在接觸通孔234上方,使得接觸通孔234的頂表面物理上耦接至底部電極層236的底表面。在所描繪的實施例中,經圖案化硬遮罩層242保留在第一記憶體堆疊250中。在這些實施例中,經圖案化硬遮罩層242留在原地,此是因為移除經圖案化硬遮罩層242可能損壞頂部電極層240,且經圖案化硬遮罩層242並不會實質上阻礙任何接觸結構形成於第一記憶體堆疊250上方。
參考第1圖、第11圖及第12圖,方法100包括在步驟120時,執行進一步的加工。步驟120的進一步加工可包括沿著第一記憶體堆疊250的側壁形成間隔物244(展示於第11圖中)、在第一記憶體堆疊250及間隔物244上方沉積第二蝕刻終止層252(展示於第12圖中)、在第二蝕刻終止層252上方沉積第(n+1)層間介電層254(展示於第12圖中),及穿過層間介電層254及第二蝕刻終止層252形成第(n+1)通孔256及第(n+1)金屬線258(展示於第12圖中)。第11圖所示的間隔物244可藉由在工件200上方(包括在第一記憶體堆疊250上方)保形地沉積間隔物材料層,接著對間隔物材料層進行各向異性回蝕來形成。如第11圖所示,間隔物244僅在第一蝕刻終止層230的一部分上方,且在間隔物244形成之後暴露大部分的第一蝕刻終止層230。在一些實施例中,間隔物244可包括氮化矽。接著,參考第12圖,第二蝕刻終止層252保形地沉積在第一蝕刻終止層230上方。第二蝕刻終止層252由與第一蝕刻終止層230不同的材料所形成。在一些實施方式中,第二蝕刻終止層252包括氮化矽。針對第二蝕刻終止層252的材料選擇並非不重要。第二蝕刻終止層252不僅可充當除了經圖案化硬遮罩層242之外的附加蝕刻終止層或保護層,第二蝕刻終止層252也可用以對第一記憶體堆疊250(尤其對鐵電層2380)施加額外應力。在一實例製程中,由氮化矽所形成的第二蝕刻終止層252保形地沉積在第一記憶體堆疊250上方,且執行退火溫度在約350℃與約400℃之間的退火製程以在第二蝕刻終止層252中引入應力。第二蝕刻終止層252施加額外應力以使鐵電層2380中的鐵電性穩定。又一次可瞭解,僅進行退火本身不能保證鐵電層2380的鐵電性。
在沉積第二蝕刻終止層252之後,在工件200上方沉積第(n+1)層間介電層254。層間介電層254與第一層間介電層212共用相同的組成,且為了簡潔起見,省略對層間介電層254的詳細描述。接著可執行雙鑲嵌以穿過層間介電層254及第二蝕刻終止層252形成第(n+1)通孔256及第(n+1)金屬線258,使得第(n+1)通孔256物理上耦接至頂部電極層240。第(n+1)通孔256及第(n+1)金屬線258就組成而言可類似於第一通孔214及第一金屬線216,且為了簡潔起見,省略對第(n+1)通孔256及第(n+1)金屬線258的詳細描述。請注意,由於通孔及金屬線是使用雙鑲嵌製程所形成,通孔及金屬線中的每一者可為連續結構。圖式中的通孔與上覆金屬線之間的線段僅是用於理解。儘管在附圖中未明確地展示,但更多的金屬層(例如M
n+2、M
n+3等)可形成於第(n+1)金屬層M
n+1上方以完成互連結構201。
現在參考第13圖,第13圖繪示在沉積鐵電層238之前,沉積絕緣體層260在底部電極層236上方的替代實施例。絕緣體層260用以在鐵電層2380的不同側上造成不平衡。研究已指出,藉由在一側(例如第13圖所示的底部側)上引入薄的絕緣體層,可使第一記憶體堆疊250的導通電阻及關斷電阻可更容易分辨或偵測。也就是說,在一些實施例中,引入絕緣體層260可改良第一記憶體堆疊250的訊號雜訊比(signal-to-noise ratio,SNR)。在一些實施例中,絕緣體層260可包括氧化鎳、氧化鉿、氧化鋅、氧化鈦、氧化矽、氧化鋯、氧化鎢、氧化鋁、氧化鉭、氧化鉬或氧化銅,且可使用化學氣相沉積或原子層沉積來沉積。請注意,儘管提及氧化鋅作為頂部電極層240及絕緣體層260的候選材料,但用於頂部電極層240的氧化鋅及用於絕緣體層260的氧化鋅具有不同的氧含量。用作頂部電極層240的氧化鋅的氧含量小於用作絕緣體層260的氧化鋅。為了確保絕緣體層260可達到改良第一記憶體堆疊250的訊號雜訊比的作用,絕緣體層260的組成不同於鐵電層238的組成。絕緣體層260可具有在約1 nm與約10 nm之間的厚度。當厚度小於1 nm時,絕緣體層260不會改良第一記憶體堆疊250的訊號雜訊比。當厚度大於10 nm時,絕緣體層260可能造成過大的電阻。在方法100中,可剛好在沉積鐵電層238之前,在步驟112沉積絕緣體層260。
第14圖繪示方法400,其中沒有形成單獨的接觸通孔以將底部電極層物理上耦接至頂部金屬線226。如在以下方法400的描述中將顯而易見,方法400的一些步驟類似於方法100的對應步驟。舉例而言,步驟402的操作可類似於步驟102的操作,步驟404的操作可類似於步驟104的操作,步驟406的操作可類似於步驟106的操作,步驟414的操作可類似於步驟116的操作,步驟416的操作可類似於步驟118的操作,且步驟418的操作可類似於步驟120的操作。為了簡潔起見,可縮減或甚至省略方法400中的這些類似步驟的描述。
參考第14圖及第2圖,方法400包括在步驟402時,提供工件200。由於步驟402的操作類似於方法100的步驟102的操作,因此為了簡潔起見,省略對步驟402的詳細描述。
參考第14圖及第3圖,方法400包括在步驟404時,在工件200上方沉積第一蝕刻終止層230。由於步驟404的操作類似於方法100的步驟104的操作,因此為了簡潔起見,省略對步驟404的詳細描述。
參考第14圖及第4圖,方法400包括在步驟406時,穿過第一蝕刻終止層230形成開口232以暴露頂部金屬線226。由於步驟406的操作類似於方法100的步驟106的操作,因此為了簡潔起見,省略對步驟406的詳細描述。
參考第14圖及第15圖,方法400包括在步驟408時,在開口232及第一蝕刻終止層230上方沉積底部電極層266。步驟408的操作將方法400與方法100分開,因為是在沒有先形成接觸通孔234(如展示於第5圖中)的情況下沉積底部電極層266在工件200上方。如第15圖所示,底部電極層266保形地沉積在第一蝕刻終止層230、暴露的頂部金屬線226及開口232上方,使得底部電極層266物理上接觸頂部金屬線226的暴露頂表面。在一些實施例中,底部電極層266包括氮化鉭(TaN)、氮化鈦(TiN)、鉭(Ta)、鎢(W)、鉑(Pt)、釕(Ru)、銥(Ir)或鉬(Mo)。請注意,因為底部電極層266沒有允許雷射輻射透射的作用,所以底部電極層266不透光且並非由半透明或透明的金屬氧化物所形成。在一些例子中,底部電極層266可具有在約10 nm與約20 nm之間的厚度。這個厚度範圍並非不重要。當厚度小於10 nm時,底部電極層266可能由於這個厚度下的導電機制而變得導電性較低。當厚度大於20 nm時,由例如氮化鈦(TiN)的較低導電性材料所形成的底部電極層266可能造成過大的電阻。歸因於沉積底部電極層266的保形性質,底部電極層266可包括直接在開口232上方的溝或凹部。
參考第14圖及第16圖,方法400包括在步驟410時,在底部電極層266上方沉積鐵電層268。鐵電層268可為展現鐵電性的二元氧化物、三元氧化物、三元氮化物或四元氧化物。鐵電層268可由以下材料所形成,例如氧化鉿、矽酸鉿(HfSiO
x)、鋯酸鉿(HfZrO
x)、鈦酸鋇(BaTiO
3)、鈦酸鉛(PbTiO
3)、鈦酸鍶(SrTiO
3)、亞錳酸鈣(CaMnO
3)、鐵酸鉍(BiFeO
3)、氮化鋁鈧(AlScN)、氮化鋁鎵(AlGaN)、氮化鋁釔(AlYN)、摻雜的HfO
2(摻雜劑例如是Si、Zr、Y、Al、Gd、Sr、La、Sc、Ge等)、鋯鈦酸鉛(PbZr
xTi
yO
z)、鈦酸鋇鍶(BaSrTiO
x)或鉭酸鍶鉍(SrBi
2Ta
2O
9)。在一個實施例中,鐵電層268包括鋯摻雜的氧化鉿或氧化鉿鋯。如第16圖所示,鐵電層268可使用物理氣相沉積、化學氣相沉積或原子層沉積而保形地沉積在工件200上方,包括在底部電極層266及其凹部上方。請注意,當在步驟410沉積時,鐵電層268可不展現鐵電性(或至少不展現充足的鐵電性),因為鐵電層268的沉積方法不會使鐵電層268具備充足的結晶性。從這方面來說,在步驟410所沉積的鐵電層268可被視為鐵電前驅物。如上所述,鐵電層268足夠薄以允許量子力學穿隧。在一些例子中,鐵電層268可具有在約1 nm與約10 nm之間的厚度。鐵電層268的厚度小於底部電極層266的厚度。由於鐵電層268的保形性質,底部電極層266中的凹部或溝亦可傳遞至鐵電層268。
參考第14圖及第17圖,方法400包括在步驟412時,在鐵電層268上方沉積頂部電極層270。頂部電極層270由透光導電材料所形成。在一些實施例中,頂部電極層270由導電金屬氧化物所形成,例如氧化銦錫、氧化鋅、氟摻雜的氧化錫、氧化鎵鋅、氧化鋁鋅、氧化銻錫。根據本公開內容,頂部電極層270由允許雷射源的輻射至少部分透射的材料所形成。在一些例子中,頂部電極層270的透射率可大於30%,否則將失去具有透光的頂部電極層270的目的。也就是說,頂部電極層270對來自雷射源的輻射是半透明或透明的。如本文中所使用,雷射源是指用於雷射退火操作的雷射源。實例雷射源包括氦氖雷射源、摻釹釔鋁石榴石雷射源、氬離子雷射源、連續波氬雷射源、氪離子雷射源、砷化鎵二極體雷射源或氦鎘雷射源。因為大部分的這些實例雷射源發射在可見光譜中的輻射,所以頂部電極層270可視為對可見光是半透明或透明的。在一些例子中,頂部電極層270可具有在約10 nm與約20 nm之間的厚度。當厚度小於10 nm時,頂部電極層270可能由於這個厚度下的導電機制而變得導電性較低。當厚度大於20 nm時,由導電性較低的金屬氧化物所形成的頂部電極層270可能造成過大的電阻。儘管在附圖中未明確地繪示,但步驟412的操作可包括低溫退火頂部電極層270以提高頂部電極層270的光透射及導電性。在一些例子中,較低溫度退火可包括使用烘箱及在100℃與約200℃之間的退火溫度。頂部電極層270可使用物理氣相沉積或化學氣相沉積而保形地沉積在鐵電層268上方。由於頂部電極層270的保形性質,鐵電層268中的凹部或溝可傳遞至頂部電極層270。
參考第14圖及第18圖,方法400包括在步驟414時,對鐵電層268執行雷射退火300。如上所述,剛沉積的鐵電層268可能由於缺少結晶性而不展現鐵電性。為了增加鐵電層268中的結晶化,在步驟414執行雷射退火300。儘管雷射退火300在第18圖中展示為同時照射整個工件200,雷射退火300可包括遍佈頂部電極層270的實質上整個頂表面的掃描或步進。如在上文關於步驟412大體描述的操作,頂部電極層270對來自雷射退火操作(例如第18圖中的雷射退火300)的雷射源輻射是半透明或透明的。來自雷射退火300的輻射接著可至少部分地透射穿過頂部電極層270的整個厚度,且有效地到達下面的鐵電層268。然而,下伏層(例如底部電極層266或第一蝕刻終止層230)阻斷輻射,且使輻射無法到達例如裝置20的FEOL結構。也就是說,藉由在鐵電層268上方具有透光的頂部電極層270,雷射退火300可使鐵電層268有效地退火以促進結晶化及鐵電性,而不具有損壞FEOL結構的很大風險。在一些實施例中,雷射退火300包括使用例如氦氖雷射源、摻釹釔鋁石榴石雷射源、氬離子雷射源、連續波氬雷射源、氪離子雷射源、砷化鎵二極體雷射源或氦鎘雷射源,且可包括在約400℃與約1000℃之間的退火溫度。這個退火溫度範圍並非不重要。當退火溫度低於400℃時,鐵電層268的結晶緩慢地發生,且雷射退火300可能無法導致鐵電層268的足夠結晶化以保證鐵電性質。當退火溫度高於1000℃時,熱能可能對頂部金屬線226或頂部通孔224造成損害。為了表示雷射退火300的效應,退火後鐵電層268被重新標記為鐵電層2680。鐵電層2680與鐵電層268共用相同的組成,但鐵電層2680結晶化更多以展現較強的鐵電性。
據觀察,鐵電層268在經退火且不經受來自頂部電極層270的應變時,鐵電層268並不形成展現鐵電性的相態。如同上述的頂部電極層240,可以看出頂部電極層270提供幾個功能。首先,頂部電極層270充當記憶體堆疊的頂部電極。為了提供此功能,頂部電極層270是導電的。其次,頂部電極層270對鐵電層268施加張應力,使得鐵電層268可以展現鐵電性的結晶相。在這方面,頂部電極層270充當應力源或應變層。第三,本公開內容的頂部電極層270對用於雷射退火300中的雷射源輻射是半透明或透明的。
參考第14圖及第19圖,方法400包括在步驟416時,對底部電極層266、鐵電層2680及頂部電極層270進行圖案化以形成第二記憶體堆疊280。在步驟414中穿過頂部電極層270對鐵電層268的雷射退火300之後,執行光微影製程及蝕刻製程的組合以對底部電極層266、鐵電層2680及頂部電極層270進行圖案化。在一實例製程中,使用化學氣相沉積來毯覆沉積硬遮罩層272在頂部電極層270上方。硬遮罩層272可包括氧化矽、氮化矽或氮氧化矽。如第19圖所示,在一些實施例中,硬遮罩層272的一部分可部分延伸至頂部電極層270中的凹部或溝槽中。在示於第19圖的一些實施例中,硬遮罩層272的頂表面亦可以具有凹部或溝槽作為特徵。請注意,硬遮罩層272的組成不同於第一蝕刻終止層230的組成。接著使用旋轉塗佈沉積光阻層在硬遮罩層272上方。沉積的光阻層可經受曝光前烘烤製程、曝光於反射自光罩或透射穿過光罩的輻射、曝光後烘烤製程及顯影製程,以便形成經圖案化光阻。接著使用經圖案化光阻作為蝕刻遮罩來蝕刻硬遮罩層272,以形成經圖案化硬遮罩層272。接著使用經圖案化硬遮罩層272作為蝕刻遮罩以對底部電極層266、鐵電層2680及頂部電極層270進行蝕刻,而形成第二記憶體堆疊280。步驟416的適當蝕刻製程可為乾式蝕刻製程(例如,反應離子蝕刻製程),包括使用例如含氧氣體(例如
,O
2)、含氟氣體(例如,SF
6或NF
3)、含氯氣體(例如,Cl
2及/或BCl
3)、含溴氣體(例如,HBr)、含碘氣體、其他合適的氣體及/或電漿,及/或其組合。如第19圖所示,第二記憶體堆疊280包括底部電極層266、鐵電層2680、頂部電極層270及經圖案化硬遮罩層272。因為鐵電層2680具有允許量子力學穿隧的厚度,所以第二記憶體堆疊280為鐵電穿隧接面堆疊或鐵電隧道接面記憶體裝置。第二記憶體堆疊280直接配置在頂部金屬線226的暴露部分上方,使得頂部金屬線226的暴露頂表面物理上接觸底部電極層266的底表面。
參考第14圖、第20圖及第21圖,方法400包括在步驟418時,執行進一步的加工。步驟418的這些進一步加工可包括沿著第二記憶體堆疊280的側壁形成間隔物244(展示於第20圖中)、在第二記憶體堆疊280及間隔物244上方沉積第二蝕刻終止層252(展示於第21圖中)、在第二蝕刻終止層252上方沉積第(n+1)層間介電層254(展示於第21圖中)及穿過層間介電層254及第二蝕刻終止層252形成第(n+1)通孔256及第(n+1)金屬線258(展示於第21圖中)。第20圖所示的間隔物244可藉由在工件200上方(包括在第二記憶體堆疊280上方)保形地沉積間隔物材料層,然後對間隔物材料層進行各向異性回蝕來形成。如第20圖所示,間隔物244僅在第一蝕刻終止層230的一部分上方,且在間隔物244形成之後暴露大部分的第一蝕刻終止層230。在一些實施例中,間隔物244可包括氮化矽。接著,參考第21圖,第二蝕刻終止層252保形地沉積在第一蝕刻終止層230、間隔物244及第二記憶體堆疊280上方。第二蝕刻終止層252由與第一蝕刻終止層230不同的材料所形成。在一些實施方式中,第二蝕刻終止層252包括氮化矽。針對第二蝕刻終止層252的這種材料選擇並非不重要。第二蝕刻終止層252除了充當經圖案化硬遮罩層272之外的附加蝕刻終止層或保護層外,第二蝕刻終止層252也用以對第二記憶體堆疊280(尤其對鐵電層2680)施加額外應力。在一實例製程中,由氮化矽所形成的第二蝕刻終止層252保形地沉積在第二記憶體堆疊280上方,且執行退火溫度在約350℃與約400℃之間的退火製程以在第二蝕刻終止層252中引入應力。第二蝕刻終止層252施加額外應力以使鐵電層2680中的鐵電性穩定。又一次可瞭解,僅進行退火本身不能保證鐵電層2680中的鐵電性。
在沉積第二蝕刻終止層252之後,在工件200上方沉積第(n+1)層間介電層254。層間介電層254與第一層間介電層212共用相同的組成,且為了簡潔起見,省略對層間介電層254的詳細描述。接著可執行雙鑲嵌以穿過層間介電層254及第二蝕刻終止層252形成第(n+1)通孔256及第(n+1)金屬線258,使得第(n+1)通孔256物理上耦接至頂部電極層270。在所繪示的實施例中,第(n+1)通孔256亦延伸穿過經圖案化硬遮罩層272且部分穿過頂部電極層270,以移除垂直處於第(n+1)通孔256與頂部電極層270之間的任何經圖案化硬遮罩層272。就組成而言,第(n+1)通孔256及第(n+1)金屬線258可類似於第一通孔214及第一金屬線216,且為了簡潔起見,省略對第(n+1)通孔256及第(n+1)金屬線258的詳細描述。請注意,因為通孔及金屬線是使用雙鑲嵌製程所形成,通孔及金屬線中的每一者可為連續結構。圖式中的通孔與上覆金屬線之間的線段僅展示以利於理解。儘管在附圖中未明確地展示,但更多的金屬層(例如M
n+2、M
n+3等)可形成於第(n+1)金屬層M
n+1上方以完成互連結構201。
現在參考第22圖,第22圖繪示在沉積鐵電層268之前,沉積絕緣體層2600在底部電極層266上方的替代實施例。絕緣體層2600用以在鐵電層2680的不同側上造成不平衡。研究已指出,藉由在一側(例如第22圖所示的底部側)上引入薄的絕緣體層,可更容易分辨或偵測第二記憶體堆疊280的導通電阻及關斷電阻。也就是說,在一些實施例中,引入絕緣體層2600可改良第二記憶體堆疊280的訊號雜訊比。在一些實施例中,絕緣體層2600可包括氧化鎳、氧化鉿、氧化鋅、氧化鈦、氧化矽、氧化鋯、氧化鎢、氧化鋁、氧化鉭、氧化鉬或氧化銅,且可使用化學氣相沉積或原子層沉積來沉積。請注意,儘管提及氧化鋅作為頂部電極層270及絕緣體層2600的候選材料,但用於頂部電極層270的氧化鋅及用於絕緣體層2600的氧化鋅具有不同的氧含量。用作頂部電極層270的氧化鋅的氧含量小於用作絕緣體層2600的氧化鋅。為了確保絕緣體層2600達到改良第二記憶體堆疊280的訊號雜訊比的作用,絕緣體層2600的組成不同於鐵電層268的組成。絕緣體層2600可具有在約1 nm與約10 nm之間的厚度。當厚度小於1 nm時,絕緣體層2600不會改良第二記憶體堆疊280的訊號雜訊比。當厚度大於10 nm時,絕緣體層2600可能造成過大的電阻。在方法400中,可剛好在沉積鐵電層268之前,在步驟410沉積絕緣體層2600。
在一個示例態樣中,本公開內容是關於一種裝置結構。裝置結構包括配置於第一介電層中的導電特徵、配置在導電特徵上方的鐵電隧道接面堆疊、沿著鐵電穿隧接面堆疊的側壁配置的間隔物、配置在間隔物及鐵電穿隧接面堆疊上方的第二介電層,及延伸穿過第二介電層且與頂部電極層的頂表面接觸的接觸通孔。鐵電穿隧接面堆疊包括電性耦接至導電特徵的底部電極層、在底部電極層上方的鐵電層,及在鐵電層上的頂部電極層。頂部電極層由導電金屬氧化物所形成。
在一些實施例中,頂部電極層允許輻射透射穿過頂部電極層的整個深度,輻射來自氦氖雷射源、摻釹釔鋁石榴石雷射源、氬離子雷射源、連續波氬雷射源、氪離子雷射源、砷化鎵二極體雷射源或氦鎘雷射源。頂部電極層包括氧化銦錫、氧化鋅、氟摻雜的氧化錫、氧化鎵鋅、氧化鋁鋅或氧化銻錫。在一些實施例中,鐵電層包括氧化鉿、矽酸鉿、鋯酸鉿、鈦酸鋇、鈦酸鉛、鈦酸鍶、亞錳酸鈣、鐵酸鉍、氮化鋁鈧、氮化鋁鎵、氮化鋁釔、鋯鈦酸鉛、鈦酸鋇鍶、鉭酸鍶鉍。在一些實施例中,頂部電極層的組成不同於底部電極層的組成。在一些實施例中,底部電極層包括氮化鉭、氮化鈦、鉭、鎢、鉑、釕、銥或鉬。在一些實施例中,裝置結構可進一步包括在導電特徵及第一介電層上方的蝕刻終止層。底部電極層的一部分完全延伸穿過蝕刻終止層。在一些實施例中,蝕刻終止層的組成不同於間隔物的組成。在一些實施例中,間隔物包括氮化矽,而蝕刻終止層包括碳化矽。
在另一個示例態樣中,本公開內容是關於一種結構。結構包括配置於第一介電層中的導電特徵、在導電特徵及第一介電層上方的蝕刻終止層、延伸穿過蝕刻終止層以接觸導電特徵的底部接觸通孔,及配置於蝕刻終止層及底部接觸通孔上的記憶體堆疊。記憶體堆疊包括與底部接觸通孔接觸的底部電極層、在底部電極層上方的鐵電層,及在鐵電層上的頂部電極層。頂部電極層由導電材料所形成,導電材料允許輻射透射穿過頂部電極層的整個深度,輻射來自氦氖雷射源、摻釹釔鋁石榴石雷射源、氬離子雷射源、連續波氬雷射源、氪離子雷射源、砷化鎵二極體雷射源或氦鎘雷射源。
在一些實施例中,頂部電極層包括氧化銦錫、氧化鋅、氟摻雜的氧化錫、氧化鎵鋅、氧化鋁鋅或氧化銻錫。在一些實施例中,底部電極層的組成不同於頂部電極層的組成。在一些實施例中,結構可進一步包括夾在底部電極層與鐵電層之間的絕緣體層。絕緣體層包括氧化鎳、氧化鈦、氧化矽、氧化鋯、氧化鎢、氧化鋁、氧化鉭、氧化鉬或氧化銅。在一些例子中,頂部電極層包括第一厚度,鐵電層包括第二厚度,且第二厚度小於第一厚度。
在又一個示例態樣中,本公開內容是關於一種方法,方法包括以下步驟。提供工件,其中工件包括配置於第一介電層中的導電特徵。在工件上方沉積蝕刻終止層。穿過蝕刻終止層形成接觸通孔以接觸導電特徵。在蝕刻終止層及接觸通孔上方沉積底部電極層。在底部電極層上方沉積鐵電層。在鐵電層上方沉積頂部電極層。在沉積頂部電極層之後,使用雷射源執行雷射退火製程以促進鐵電層的結晶化。在雷射退火製程之後,對底部電極層、鐵電層及頂部電極層進行圖案化以形成記憶體堆疊。頂部電極層由導電材料所形成,導電材料允許來自雷射源的輻射透射。
在一些實施例中,雷射退火製程包括在約400℃與約1000℃之間的溫度。在一些實施例中,雷射源包括氦氖雷射源、摻釹釔鋁石榴石雷射源、氬離子雷射源、連續波氬雷射源、氪離子雷射源、砷化鎵二極體雷射源或氦鎘雷射源。在一些實施例中,頂部電極層包括導電金屬氧化物。在一些實施例中,頂部電極層包括氧化銦錫、氧化鋅、氟摻雜的氧化錫、氧化鎵鋅、氧化鋁鋅或氧化銻錫。在一些例子中,鐵電層包括在約1 nm與約10 nm之間的第一深度且頂部電極層包括在約10 nm與約20 nm之間的第二深度。
前面概述一些實施例的特徵,使得本領域技術人員可更好地理解本公開的觀點。本領域技術人員應該理解,他們可以容易地使用本公開作為設計或修改其他製程和結構的基礎,以實現相同的目的和/或實現與本文介紹之實施例相同的優點。本領域技術人員還應該理解,這樣的等同構造不脫離本公開的精神和範圍,並且在不脫離本公開的精神和範圍的情況下,可以進行各種改變、替換和變更。
20:裝置
100:方法
102:步驟
104:步驟
106:步驟
108:步驟
110:步驟
112:步驟
114:步驟
116:步驟
118:步驟
120:步驟
200:工件/裝置結構
201:互連結構
202:基板
204:主動區域
206:閘極結構
208:源極/汲極區域
212:第一層間介電層
214:第一通孔
216:第一金屬線
222:層間介電層
224:頂部通孔
226:頂部金屬線
230:第一蝕刻終止層
232:開口
234:接觸通孔
236:底部電極層
238:鐵電層
240:頂部電極層
242:硬遮罩層
244:間隔物
250:第一記憶體堆疊
252:第二蝕刻終止層
254:層間介電層
256:通孔
258:金屬線
260:絕緣體層
266:底部電極層
268:鐵電層
270:頂部電極層
272:硬遮罩層
280:第二記憶體堆疊
300:雷射退火
400:方法
402:步驟
404:步驟
406:步驟
408:步驟
410:步驟
412:步驟
414:步驟
416:步驟
418:步驟
2380:鐵電層
2600:絕緣體層
2680:鐵電層
M
1:第一金屬層
M
n:第n金屬層
M
n+1:第(n+1)金屬層
X:方向
Y:方向
Z:方向
當結合附圖閱讀時,從以下詳細描述中可以最好地理解本公開的各方面。應注意,根據工業中的標準方法,各種特徵未按比例繪製。實際上,為了清楚地討論,可任意增加或減少各種特徵的尺寸。
根據本公開內容的各種態樣,第1圖繪示形成裝置結構的實例方法100的流程圖。
根據本公開內容的各種態樣,第2圖至第13圖是經歷第1圖中方法100的步驟的工件的片段橫截面圖。
根據本公開內容的各種態樣,第14圖繪示形成裝置結構的實例方法400的流程圖。
根據本公開內容的各種態樣,第15圖至第22圖是經歷第14圖中方法400的步驟的工件的片段橫截面圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記)
無
國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記)
無
200:工件/裝置結構
201:互連結構
202:基板
204:主動區域
206:閘極結構
208:源極/汲極區域
212:第一層間介電層
214:第一通孔
216:第一金屬線
222:層間介電層
224:頂部通孔
226:頂部金屬線
230:第一蝕刻終止層
234:接觸通孔
236:底部電極層
240:頂部電極層
242:硬遮罩層
244:間隔物
250:第一記憶體堆疊
252:第二蝕刻終止層
254:層間介電層
256:通孔
258:金屬線
260:絕緣體層
2380:鐵電層
M1:第一金屬層
Mn:第n金屬層
Mn+1:第(n+1)金屬層
X:方向
Y:方向
Z:方向
Claims (20)
- 一種裝置結構,包括: 一導電特徵,配置於一第一介電層中; 一鐵電隧道接面堆疊,配置於該導電特徵上方,該鐵電隧道接面堆疊包括: 一底部電極層,電性耦接至該導電特徵; 一鐵電層,位於該底部電極層上方;及 一頂部電極層,位於該鐵電層上; 一間隔物,沿著該鐵電隧道接面堆疊的多個側壁配置; 一第二介電層,配置於該間隔物及該鐵電隧道接面堆疊上方;及 一接觸通孔,延伸穿過該第二介電層且與該頂部電極層的一頂表面接觸, 其中該頂部電極層由一導電金屬氧化物所形成。
- 如請求項1所述之裝置結構,其中該頂部電極層允許一輻射透射穿過該頂部電極層的整個深度,該輻射來自氦氖雷射源、摻釹釔鋁石榴石雷射源、氬離子雷射源、連續波氬雷射源、氪離子雷射源、砷化鎵二極體雷射源或氦鎘雷射源。
- 如請求項1所述之裝置結構,其中該頂部電極層包括氧化銦錫、氧化鋅、氟摻雜的氧化錫、氧化鎵鋅、氧化鋁鋅或氧化銻錫。
- 如請求項1所述之裝置結構,其中該鐵電層包括氧化鉿、矽酸鉿、鋯酸鉿、鈦酸鋇、鈦酸鉛、鈦酸鍶、亞錳酸鈣、鐵酸鉍、氮化鋁鈧、氮化鋁鎵、氮化鋁釔、鋯鈦酸鉛、鈦酸鋇鍶、鉭酸鍶鉍。
- 如請求項1所述之裝置結構,其中該頂部電極層的一組成不同於該底部電極層的一組成。
- 如請求項1所述之裝置結構,其中該底部電極層包括氮化鉭、氮化鈦、鉭、鎢、鉑、釕、銥或鉬。
- 如請求項1所述之裝置結構,進一步包括: 一蝕刻終止層,位於該導電特徵及該第一介電層上方, 其中該底部電極層的一部分完全延伸穿過該蝕刻終止層。
- 如請求項7所述之裝置結構,其中該蝕刻終止層的一組成不同於該間隔物的一組成。
- 如請求項8所述之裝置結構, 其中該間隔物包括氮化矽, 其中該蝕刻終止層包括碳化矽。
- 一種結構,包括: 一導電特徵,配置於一第一介電層中; 一蝕刻終止層,位於該導電特徵及該第一介電層上方; 一底部接觸通孔,延伸穿過該蝕刻終止層以接觸該導電特徵;及 一記憶體堆疊,配置於該蝕刻終止層及該底部接觸通孔上,該記憶體堆疊包括: 一底部電極層,與該底部接觸通孔接觸; 一鐵電層,位於該底部電極層上方;及 一頂部電極層,位於該鐵電層上, 其中該頂部電極層由一導電材料所形成,該導電材料允許一輻射透射穿過該頂部電極層的整個深度,該輻射來自氦氖雷射源、摻釹釔鋁石榴石雷射源、氬離子雷射源、連續波氬雷射源、氪離子雷射源、砷化鎵二極體雷射源或氦鎘雷射源。
- 如請求項10所述之結構,其中該頂部電極層包括氧化銦錫、氧化鋅、氟摻雜的氧化錫、氧化鎵鋅、氧化鋁鋅或氧化銻錫。
- 如請求項11所述之結構,其中該底部電極層的一組成不同於該頂部電極層的一組成。
- 如請求項11所述之結構,進一步包括: 一絕緣體層,夾在該底部電極層與該鐵電層之間, 其中該絕緣體層包括氧化鎳、氧化鈦、氧化矽、氧化鋯、氧化鎢、氧化鋁、氧化鉭、氧化鉬或氧化銅。
- 如請求項10所述之結構, 其中該頂部電極層包括一第一厚度, 其中該鐵電層包括一第二厚度, 其中該第二厚度小於該第一厚度。
- 一種方法,包括: 提供一工件,該工件包括配置於一第一介電層中的一導電特徵; 在該工件上方沉積一蝕刻終止層; 穿過該蝕刻終止層形成一接觸通孔以接觸該導電特徵; 在該蝕刻終止層及該接觸通孔上方沉積一底部電極層; 在該底部電極層上方沉積一鐵電層; 在該鐵電層上方沉積一頂部電極層; 在沉積該頂部電極層之後,使用一雷射源執行一雷射退火製程以促進該鐵電層的結晶化;及 在該雷射退火製程之後,對該底部電極層、該鐵電層及該頂部電極層進行圖案化以形成一記憶體堆疊, 其中該頂部電極層由一導電材料所形成,該導電材料允許來自該雷射源的輻射透射。
- 如請求項15所述之方法,其中該雷射退火製程包括在約400℃與約1000℃之間的一溫度。
- 如請求項15所述之方法,其中該雷射源包括氦氖雷射源、摻釹釔鋁石榴石雷射源、氬離子雷射源、連續波氬雷射源、氪離子雷射源、砷化鎵二極體雷射源或氦鎘雷射源。
- 如請求項15所述之方法,其中該頂部電極層包括一導電金屬氧化物。
- 如請求項15所述之方法,其中該頂部電極層包括氧化銦錫、氧化鋅、氟摻雜的氧化錫、氧化鎵鋅、氧化鋁鋅或氧化銻錫。
- 如請求項15所述之方法, 其中該鐵電層包括在約1 nm與約10 nm之間的一第一深度, 其中該頂部電極層包括在約10 nm與約20 nm之間的一第二深度。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202263319085P | 2022-03-11 | 2022-03-11 | |
US63/319,085 | 2022-03-11 | ||
US17/751,363 | 2022-05-23 | ||
US17/751,363 US20230292525A1 (en) | 2022-03-11 | 2022-05-23 | Memory structure and method of forming the same |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202401777A true TW202401777A (zh) | 2024-01-01 |
TWI847541B TWI847541B (zh) | 2024-07-01 |
Family
ID=87080240
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW112104679A TWI847541B (zh) | 2022-03-11 | 2023-02-10 | 記憶體結構及其形成方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20230292525A1 (zh) |
JP (1) | JP2023133142A (zh) |
KR (1) | KR20230133744A (zh) |
CN (1) | CN116437794A (zh) |
DE (1) | DE102023102393A1 (zh) |
TW (1) | TWI847541B (zh) |
Family Cites Families (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003298021A (ja) * | 2002-03-29 | 2003-10-17 | Seiko Epson Corp | 強誘電体薄膜の形成方法、強誘電体メモリならびに強誘電体メモリの製造方法、および半導体装置ならびに半導体装置の製造方法 |
US11283005B2 (en) * | 2019-09-30 | 2022-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Spacer scheme and method for MRAM |
-
2022
- 2022-05-23 US US17/751,363 patent/US20230292525A1/en active Pending
- 2022-07-21 KR KR1020220090482A patent/KR20230133744A/ko not_active Application Discontinuation
- 2022-08-10 CN CN202210955726.5A patent/CN116437794A/zh active Pending
-
2023
- 2023-02-01 DE DE102023102393.4A patent/DE102023102393A1/de active Pending
- 2023-02-03 JP JP2023015278A patent/JP2023133142A/ja active Pending
- 2023-02-10 TW TW112104679A patent/TWI847541B/zh active
Also Published As
Publication number | Publication date |
---|---|
TWI847541B (zh) | 2024-07-01 |
JP2023133142A (ja) | 2023-09-22 |
US20230292525A1 (en) | 2023-09-14 |
KR20230133744A (ko) | 2023-09-19 |
CN116437794A (zh) | 2023-07-14 |
DE102023102393A1 (de) | 2023-09-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10854458B2 (en) | Method and structure for semiconductor device having gate spacer protection layer | |
US11804486B2 (en) | Backside power rail and methods of forming the same | |
US11729986B2 (en) | Ferroelectric memory device and method of forming the same | |
TWI808130B (zh) | 半導體裝置及其製造方法 | |
US9117692B2 (en) | Semiconductor device having dual metal silicide layers and method of manufacturing the same | |
US9093316B2 (en) | Semiconductor device and method of manufacturing the same | |
US12040387B2 (en) | Negative-capacitance field effect transistor | |
US11696448B2 (en) | Memory device and method of forming the same | |
US11647635B2 (en) | Ferroelectric memory device and method of forming the same | |
US20220367515A1 (en) | Ferroelectric memory device and method of forming the same | |
KR20160067729A (ko) | 금속-절연체-금속 구조물 및 그 형성 방법 | |
TW202217994A (zh) | 半導體裝置 | |
CN113394297A (zh) | 场效晶体管、半导体器件及其制造方法 | |
US20220173250A1 (en) | FeFET OF 3D STRUCTURE FOR CAPACITANCE MATCHING | |
US20230328997A1 (en) | Ferroelectric memory device and method of forming the same | |
CN111081773B (zh) | 氧化物半导体装置以及其制作方法 | |
TWI847541B (zh) | 記憶體結構及其形成方法 | |
US11404570B2 (en) | Semiconductor devices with embedded ferroelectric field effect transistors | |
US20240081077A1 (en) | Transistor, memory device and manufacturing method of memory device | |
US20230328998A1 (en) | Memory device and forming method thereof | |
US20240088263A1 (en) | Manufacturing method of integrated circuit device | |
TW202401800A (zh) | 半導體裝置及其製造方法以及包括記憶體堆疊的半導體裝置的製造方法 | |
CN113113413A (zh) | 半导体装置的形成方法 | |
CN116347894A (zh) | 半导体器件及其制造方法 | |
CN114649331A (zh) | 半导体结构及其形成方法 |