JP2023133142A - メモリ構造及びその形成方法 - Google Patents

メモリ構造及びその形成方法 Download PDF

Info

Publication number
JP2023133142A
JP2023133142A JP2023015278A JP2023015278A JP2023133142A JP 2023133142 A JP2023133142 A JP 2023133142A JP 2023015278 A JP2023015278 A JP 2023015278A JP 2023015278 A JP2023015278 A JP 2023015278A JP 2023133142 A JP2023133142 A JP 2023133142A
Authority
JP
Japan
Prior art keywords
layer
electrode layer
ferroelectric
laser source
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023015278A
Other languages
English (en)
Inventor
建達 黄
Chien Ta Huang
家齊 范
Chia Chi Fan
竣揚 蔡
Chun-Yang Tsai
國欽 黄
Kuo-Ching Huang
學理 莊
Gakuri Sho
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2023133142A publication Critical patent/JP2023133142A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/50Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Memories (AREA)

Abstract

【課題】装置構造を提供する。【解決手段】第1の誘電体層内に配置される導電特徴と、導電特徴に電気的に結合する下部電極層と、下部電極層の上方に位置する強誘電体層と、強誘電体層に位置する上部電極層と、を含み、導電特徴の上方に配置される強誘電体トンネル接合スタックと、強誘電体トンネル接合スタックの側壁に沿って配置されるスペーサと、スペーサ及び強誘電体トンネル接合スタックの上方に配置される第2の誘電体層と、延伸して第2の誘電体層を通過するコンタクトホールと、を備え、上部電極層は、導電性金属酸化物で形成される装置構造。【選択図】図13

Description

メモリ構造及びその形成方法に関する。
集積回路(integrated circuit;IC)産業は、指数関数的に成長してきた。IC材料及び設計の技術的進歩により、多世代のICは登場し、そのうち各世代の回路が前世代よりも小さく且つ複雑になる。ICの進化過程中に、機能密度(即ち、チップ面積当たりの相互接続装置の数)がおおむね増加してきたが、幾何学的な大きさ(即ち、製造プロセスにより生産可能な最小アセンブリ(又はワイヤ))が小さくなってきた。このような寸法縮小プロセスは、通常、生産効率の向上及び関連コストの削減により利益を提供する。
寸法縮小プロセスにより、回路設計者は、装置を基板工程(front-end-of-line;FEOL)階層から相互接続構造の所在する配線工程(back-end-of-line;BEOL)階層に移すように促す。例としては、強誘電性に基づくメモリ装置は、BEOL階層で形成されることができる。BEOL階層で強誘電性に基づくメモリ装置を形成することは、課題がないわけではない。強誘電性に基づくメモリ装置の従来のプロセス及び構造は、通常、現在のメモリ装置の所期の目標にとって十分であるが、これらの従来のプロセス及び構造は、全ての面で満足に思われるわけではない。
米国特許第20100264123号
本開示の幾つかの実施例によれば、装置構造は、第1の誘電体層内に配置される導電特徴と、導電特徴に電気的に結合する下部電極層と、下部電極層の上方に位置する強誘電体層と、強誘電体層に位置する上部電極層と、を含み、導電特徴の上方に配置される強誘電体トンネル接合スタックと、強誘電体トンネル接合スタックの複数の側壁に沿って配置されるスペーサと、スペーサ及び強誘電体トンネル接合スタックの上方に配置される第2の誘電体層と、延伸して第2の誘電体層を通過し、且つ上部電極層の上面に接触するコンタクトホールと、を備え、上部電極層は、導電性金属酸化物で形成される。
本開示の幾つかの実施例によれば、構造は、第1の誘電体層内に配置される導電特徴と、導電特徴及び第1の誘電体層の上方に位置するエッチングストップ層と、導電特徴に接触するように延伸してエッチングストップ層を通過する底部コンタクトホールと、底部コンタクトホールに接触する下部電極層と、下部電極層の上方に位置する強誘電体層と、強誘電体層に位置する上部電極層と、を含み、エッチングストップ層及び底部コンタクトホールに配置されるメモリスタックと、を備え、上部電極層は、ヘリウムネオンレーザ源、ネオジムドープイットリウムアルミニウムガーネットレーザ源、アルゴンイオンレーザ源、連続波アルゴンレーザ源、クリプトンイオンレーザ源、ヒ化ガリウムダイオードレーザ源又はヘリウムカドミウムレーザ源からの放射線が上部電極層全体の深さにわたって透過することを可能にする導電性材料で形成される。
本開示の幾つかの実施例によれば、方法は、第1の誘電体層内に配置される導電特徴を含むワークを提供するステップと、ワークの上方にエッチングストップ層を堆積するステップと、導電特徴に接触するようにエッチングストップ層を通過してコンタクトホールを形成するステップと、エッチングストップ層及びコンタクトホールの上方に下部電極層を堆積するステップと、下部電極層の上方に強誘電体層を堆積するステップと、強誘電体層の上方に上部電極層を堆積するステップと、上部電極層を堆積した後、強誘電体層の結晶化を促進するように、レーザ源によりレーザアニーリングプロセスを実行するステップと、レーザアニーリングプロセスの後、下部電極層、強誘電体層及び上部電極層をパターニングしてメモリスタックを形成するステップと、を含み、上部電極層は、レーザ源からの放射線の透過を可能にする導電性材料で形成される。
添付図面に合わせて読むと、以下の詳細な説明から本開示の各態様を最適に理解することができる。注意すべきなのは、業界における基準方法に従い、各種の特徴が縮尺通りに描かれていないことである。実際には、明瞭に検討するために、各種の特徴の寸法を任意に増減可能である。
本開示内容の各種の態様による装置構造を形成する実例の方法100を示すフローチャートである。 本開示内容の各種の態様による図1における方法100のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図1における方法100のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図1における方法100のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図1における方法100のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図1における方法100のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図1における方法100のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図1における方法100のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図1における方法100のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図1における方法100のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図1における方法100のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図1における方法100のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図1における方法100のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による装置構造を形成する実例の方法400を示すフローチャートである。 本開示内容の各種の態様による図14における方法400のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図14における方法400のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図14における方法400のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図14における方法400のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図14における方法400のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図14における方法400のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図14における方法400のステップを経たワークの断片的な横断面図である。 本開示内容の各種の態様による図14における方法400のステップを経たワークの断片的な横断面図である。
本開示内容は、おおむね、集積回路装置に関し、より具体的には、集積回路装置に用いられる相互接続構造に関する。
言及された主題の異なる特徴を実現するために、以下の開示内容は、多くの異なる実施例又は例を提供する。以下、本開示を簡略化するために、アセンブリや配置等の具体例を説明する。勿論、これらは単に例に過ぎず、限定的なものではない。例えば、以下の説明では、第2の特徴の上又は上方に第1の特徴を形成することは、第1の特徴と第2の特徴が直接接触するように形成される実施例を含んでもよく、第1の特徴と第2の特徴の間に付加的な特徴を形成し、第1の特徴と第2の特徴が直接接触しないことを可能にする実施例を含んでもよい。また、本開示は、各種の例において数字及び/又はアルファベットを繰り返して参照してよい。この繰り返しは、簡単且つ明瞭にするためであり、且つそれ自体は、検討される各種の実施例及び/又は配置の間の関係を表さない。
また、本明細書において、1つの素子又は特徴と図示される別の素子又は特徴との関係を説明しやすいように、「~下に」、「~下方に」、「下部に」、「~上に」、「上部に」等の空間相対的用語を使用してよい。図示される配向の他、空間相対的用語は、使用又は操作中における装置の異なる配向を含むことを意図する。装置は、他の形で配向されてもよく(90度回転又は他の方向)、同様に、それに応じてここで使用される空間相対的記述符号を解釈してよい。
また、「約」、「およそ」及び類似する用語で数字又は数字範囲を記述する時、用語は、当業者に理解される製造中に固有に発生する誤差の合理的な範囲内の数字を包含することを意図する。例えば、数字又は数字範囲は、記述される数字を含む合理的な範囲を包含し、この範囲は数字関連特性の特徴の製造に関する既知の製造公差に基づくものであり、例えば記述される数字の+/-10%内にある。例えば、「約5nm」の厚さを有する材料層は、4.25nm~5.75nmの寸法範囲を包含してよく、材料層の堆積に関連する製造公差は、当業者に知られているように+/-15%である。更に、本開示内容は、各種の実例において数字及び/又はアルファベットを繰り返して参照してよい。この繰り返しは、簡単且つ明瞭にするためであり、且つそれ自体は、説明される各種の実施例及び/又は配置の間の関係を限定しない。
ICの製造プロセスの流れは、典型的に、基板工程(front-end-of-line;FEOL)と、中間工程(middle-end-of-line;MEOL)と、配線工程(back-end-of-line;BEOL)との3つの種類に分けられる。FEOLプロセスは、通常、トランジスタ等のIC装置の製造に関するプロセスを包含する。例としては、FEOLプロセスは、隔離特徴、チャネル特徴、ゲート特徴及びソースとドレイン特徴(通常、ソース/ドレイン特徴と称される)の形成を含んでよい。MEOLプロセスは、通常、フィン型電界効果トランジスタ(fin-type field effect transistor;FinFET)又はゲートオールアラウンド(gate-all-around;GAA)トランジスタ(マルチブリッジチャネル(multi-bridge-channel;MBC)型トランジスタ又はサラウンディング・ゲート・トランジスタ(surrounding gate transistor;SGT)とも呼ばれる)等のマルチゲート装置へのコンタクトの製造に関するプロセスを包含する。MEOL特徴の実例としては、マルチゲートトランジスタのゲート構造及び/又はソース/ドレイン特徴へのコンタクトを含む。BEOLプロセスは、通常、相互接続FEOLのIC特徴に用いられる多層相互接続(multilayer interconnect;MLI)特徴の製造に関するプロセスを包含し、このように、IC装置の動作を実現する。FEOL階層の占用面積を節約するために、フォトリソグラフィ精度レベルを要求しないトランジスタの大きい装置をFEOL構造に移してよい。例としては、BEOL階層で、強誘電体トンネル接合(ferroelectric tunnel junction;FTJ)メモリ装置等の強誘電性に基づくメモリ装置を製造してよい。
強誘電体トンネル接合メモリは、強誘電体トンネルバリア層を挟む2つの電極を含む不揮発性メモリである。強誘電体トンネル接合メモリと強誘電体ランダムアクセスメモリ(ferroelectric random access memory;FeRAM)は、一部の類似するプロパティを共有するが、この2種類のメモリは、多くの点で異なる。FeRAMでは、厚い強誘電体膜が2つの電極の間に挟まれ、且つ2つの電極の間に電界を印加することで残留分極(remnant polarization)を切り替える。しかしながら、残留分極の容量読み出しによって、分極が乱され、情報を改めて書き込む必要がある場合がある。また、厚い強誘電体膜における読み出し電流が低い傾向があるため、コンパクト化又はBEOL構造への統合が課題となる。FeRAMに比べ、強誘電体トンネル接合メモリは、量子力学的トンネリングを可能にする薄い強誘電体層(ナノメートルで計測)を含む。量子力学的トンネリングによって、高度に識別可能なオン/オフ(ON/OFF)抵抗を有するトンネル抵抗が引き起こされ、非破壊性抵抗読み出しが可能になってしまう。また、強誘電体トンネル接合メモリは、BEOL構造にメモリを統合することを可能にする読み出し電流を有する。
強誘電体トンネル接合メモリにおける強誘電体層に対する十分な熱処理は、結晶化と優れた強誘電性を達成するための必要条件であることが観察された。幾つかの従来技術において、過剰な熱によりゲート構造等のFEOL構造が損傷される可能性があるため、強誘電体層に対する熱処理を慎重に行う必要がある。熱処理の温度は、400℃より低く保持される場合が多いが、これにより、強誘電体層の結晶化が不十分になるおそれがある。
本開示内容は、FEOL構造に予期外の損害を与えることなく強誘電体層の結晶化を達成するために、プロセス及び強誘電体トンネル接合メモリ構造を提供する。本開示内容の強誘電体トンネル接合メモリは、レーザ源からの放射線がレーザアニーリングプロセス中に上部電極層を透過可能な光透過性の上部電極層を含む。本開示内容によるプロセスにおいて、ワークの上方に下部電極層、強誘電体層及び光透過性の上部電極層を堆積し、且つレーザアニーリングを実行する。レーザアニーリング中に、レーザ源からの放射線は、光透過性の上部電極層を透過して、FEOL構造が過剰な熱を受けることなく強誘電体層を局所的に約400℃~約1000℃の温度まで加熱する。同時に、光透過性の上部電極層は、強誘電体層が強誘電性の結晶相結晶化を示すことができるように、強誘電体層に応力を印加する。強誘電体トンネル接合メモリ構造及びプロセスは、FEOL構造を損傷するリスクが非常に低く、又はFEOL構造を損傷しない場合に、強誘電体層の改良された結晶化を提供する。
以下、添付図面を参照しながら、本開示内容の各種の態様をより詳細に記述する。この点に関して、図1及び図14は、本開示内容の各種の態様によるワーク200から装置構造を形成する方法100及び方法400を示すフローチャートである。方法100及び方法400は、実例に過ぎず、本開示内容を方法100及び方法400において明確に示されている内容に限定しようとするものではない。方法の更なる実施例について、方法100又は方法400の前、その間及びその後に更なるステップを提供してよく、且つ記述される幾つかのステップは、置換、削除又は移動されてよい。簡単にするために、全てのステップは、本明細書において詳細に記述されるわけではない。方法100について、図2~図13に合わせて下記に記述し、これらの図面は、方法100の各種の実施例による異なる製造階段にあるワーク200の断片的な横断面図である。これに類似し、方法400について、図2~図4及び図15~図22に合わせて下記に記述し、これらの図面は、方法400の各種の実施例による異なる製造階段にあるワーク200の断片的な横断面図である。ワーク200が装置構造として製造されるため、文脈上の必要に応じて、ワーク200は、本明細書において装置構造200と呼ばれてもよい。誤解を回避するために、図面におけるX方向、Y方向及びZ方向は、互いに垂直である。本開示内容の全文において、別途明確に記述されていない限り、類似する参照数字は、類似する特徴を表す。
本開示内容の図面に示される装置構造200は、簡略化されたものであり、装置構造200における全ての特徴が詳細に説明又は記述されるわけではない。図面に示される装置構造200は、各種の受動及び能動マイクロ電子装置を含むICチップの一部、システムオンチップ(system on chip;SoC)又はその一部であってよく、マイクロ電子装置は、例えば、抵抗器、コンデンサ、インダクタ、ダイオード、p型電界効果トランジスタ(p-type field effect transistor;PFET)、n型電界効果トランジスタ(n-type field effect transistor;NFET)、金属酸化膜半導体電界効果トランジスタ(metal-oxide semiconductor field effect transistor;MOSFET)、相補型金属酸化膜半導体(complementary metal-oxide semiconductor;CMOS)トランジスタ、バイポーラ接合トランジスタ(bipolar junction transistor;BJT)、横方向拡散金属酸化膜半導体(laterally diffused MOS;LDMOS)トランジスタ、高電圧トランジスタ、高周波トランジスタ、他の適切なアセンブリ又はそれらの組み合わせである。
図1及び図2を参照し、方法100は、ステップ102において、ワーク200を提供することを含む。ワーク200は、基板202を含む。一実施例において、基板202は、シリコン(Si)を含む。追加的又は代替的に、基板202は、別の元素半導体(例えばゲルマニウム(Ge))、化合物半導体(例えば炭化シリコン(SiC)、ヒ化ガリウム(GaAs)、リン化ガリウム(GaP)、リン化インジウム(InP)、ヒ化インジウム(InAs)及び/又はアンチモン化インジウム)、合金半導体(例えばシリコンゲルマニウム(SiGe)、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP)及び/又はそれらの組み合わせを含んでよい。代替的に、基板202は、シリコン・オン・インシュレータ(silicon-on-insulator;SOI)基板、シリコンゲルマニウム・オン・インシュレータ(silicon germanium-on-insulator;SGOI)基板又はゲルマニウム・オン・インシュレータ(germanium-on-insulator;GeOI)基板等の半導体・オン・インシュレータ基板であってもよい。半導体・オン・インシュレータ基板は、酸素注入(implantation of oxygen;SIMOX)、ウェハ接合及び/又は他の適切な方法の分離ステップにより製造されてよい。基板202は、装置構造200の設計要件に応じて各種のドープ領域(図示されていない)を含んでよい。幾つかの実施形態において、基板202は、ドープによってp型ドーパントを有するp型ドープ領域(例えば、p型ウェル)を含み、p型ドーパントは、例えばホウ素(例えば、BF)、インジウム、他のp型ドーパント又はそれらの組み合わせである。幾つかの実施形態において、基板202は、ドープによってn型ドーパントを有するn型ドープ領域(例えば、n型ウェル)を含み、n型ドーパントは、例えばリン(P)、ヒ素(As)、他のn型ドーパント又はそれらの組み合わせである。幾つかの実施形態において、基板202は、p型ドーパントとn型ドーパントの組み合わせを有するドープ領域を含む。各種のドープ領域は、例えば、pウェル構造、nウェル構造、二重ウェル構造、突起構造又はそれらの組み合わせを提供するように、基板202の上及び/又はその中に直接形成されてよい。各種のドープ領域を形成するために、イオン注入プロセス、拡散プロセス及び/又は他の適切なドーププロセスを実行してよい。
記述される実施例において、ワーク200は、基板202上に製造される装置20を含む。装置20は、プレーナ型トランジスタ、又はフィン型電界効果トランジスタやゲートオールアラウンドトランジスタ等のマルチゲートトランジスタであってよい。ゲートオールアラウンドトランジスタは、様々な形状のチャネル領域を含んでよく、前記形状は、ナノメートル構造と総称され得るナノワイヤ、ナノロッド又はナノシートを含む。ゲートオールアラウンドトランジスタは、マルチブリッジチャネルトランジスタ又はサラウンディング・ゲート・トランジスタ(surrounding-gate-transistor;SGT)と称されてもよい。図2に代表的に示される装置20は、プレーナ型装置であり、このプレーナ型装置は、能動領域204のチャネル領域の上方に配置されるゲート構造206、及びソース/ドレイン領域208を含む。能動領域204は、シリコン(Si)基板であり得る基板202で形成され、又は基板202上に形成されるエピタキシャル層で形成されてよい。後者の場合、エピタキシャル層は、ゲルマニウム(Ge)又はシリコンゲルマニウム(SiGe)を含んでよい。装置20は、図2及び後続の図面においてプレーナ型装置であると示されているが、フィン型電界効果トランジスタ又はゲートオールアラウンドトランジスタであってもよいことを理解すべきである。
明確に示されていないが、ゲート構造206は、フィン構造に接合する界面層、界面層の上方に位置するゲート誘電体層及びゲート誘電体層の上方に位置するゲート電極層を含む。界面層は、酸化シリコン、ケイ酸ハフニウム又は酸窒化シリコン等の誘電体材料を含んでよい。界面層は、化学酸化、熱酸化、原子層堆積(atomic layer deposition;ALD)、化学気相成長(chemical vapor deposition;CVD)及び/又は他の適切な方法により形成されてよい。ゲート誘電体層は、酸化ハフニウム等の高誘電率(high-k)誘電体材料を含んでよい。代替的に、ゲート誘電体層は、酸化チタン(TiO)、ハフニウム酸化ジルコニウム(HfZrO)、酸化タンタル(Ta)、ハフニウムシリケート(HfSiO)、二酸化ジルコニウム(ZrO)、ジルコニウムシリケート(ZrSiO)、酸化ランタン(La)、酸化アルミニウム(Al)、酸化ジルコニウム(ZrO)、酸化イットリウム(Y)、SrTiO(STO)、BaTiO(BTO)、BaZrO、酸化ハフニウムランタン(HfLaO)、ランタンシリケート(LaSiO)、アルミニウムシリケート(AlSiO)、酸化ハフニウムタンタル(HfTaO)、酸化ハフニウムチタン(HfTiO)、(Ba,Sr)TiO(BST)、窒化シリコン(SiN)、酸窒化シリコン(SiON)、それらの組み合わせ又は他の適切な材料等の他の高誘電率誘電体材料を含んでもよい。ゲート誘電体層は、原子層堆積、物理気相成長(physical vapor deposition;PVD)、化学気相成長、酸化及び/又は他の適切な方法により形成されてよい。
ゲート構造206のゲート電極層は、単一層を含んでよく、又は、代替的に装置の性能を補強するように選定された仕事関数を有する金属層(仕事関数金属層)、ライナー層、湿潤層、接着層、金属合金又は金属シリサイドの複数種の組み合わせ等の多層構造を含む。例を挙げて説明すれば、ゲート電極層は、窒化チタン(TiN)、チタンアルミニウム(TiAl)、窒化チタンアルミニウム(TiAlN)、窒化タンタル(TaN)、タンタルアルミニウム(TaAl)、窒化タンタルアルミニウム(TaAlN)、炭化タンタルアルミニウム(TaAlC)、炭窒化タンタル(TaCN)、アルミニウム(Al)、タングステン(W)、ニッケル(Ni)、チタン(Ti)、ルテニウム(Ru)、コバルト(Co)、白金(Pt)、炭化タンタル(TaC)、窒化タンタルシリコン(TaSiN)、銅(Cu)、他の耐火金属又は他の適切な金属材料又はそれらの組み合わせを含んでよい。
ソース/ドレイン領域208は、ドープ領域、又は気相エピタキシ(vapor-phase epitaxy;VPE)、超高真空化学気相成長(ultra-high vacuum CVD;UHV-CVD)、分子線エピタキシ(molecular beam epitaxy;MBE)及び/又は他の適切なプロセスにより堆積されてなるものであってよい。ソース/ドレイン領域208は、n型である場合、リン(P)やヒ素(As)等のn型ドーパントがドープされたシリコンを含んでよい。ソース/ドレイン領域208は、p型である場合、ホウ素(B)や二フッ化ホウ素(BF)等のp型ドーパントがドープされたシリコンゲルマニウム(SiGe)を含んでよい。図面に明確に示されていない幾つかの代替的な実施例において、ソース/ドレイン領域208は、複数の層を含んでよい。1つの実例において、ソース/ドレイン領域208は、フィン構造のソース/ドレイン領域の上方に位置する少量ドープの第1のエピタキシャル層、少量ドープの第1のエピタキシャル層の上方に位置する大量ドープの第2のエピタキシャル層及び大量ドープの第2のエピタキシャル層の上方に配置される被覆エピタキシャル層を含んでよい。第1のエピタキシャル層は、格子不整合の欠陥を減らすように、第2のエピタキシャル層より低いドーパント濃度又は小さいゲルマニウム含有量(ゲルマニウムが存在する場合)を有する。第2のエピタキシャル層は、抵抗を低減するとともにチャネルにおける歪みを増やすように、最も高いドーパント濃度又は最も高いゲルマニウム含有量(ゲルマニウムが存在する場合)を有する。被覆エピタキシャル層は、エッチング耐性を増やすように、第2のエピタキシャル層より小さいドーパント濃度及びゲルマニウム含有量(ゲルマニウムが存在する場合)を有してよい。
図2に明確に示されていないが、基板202の上方には、能動領域204に類似する複数の能動領域が形成されている。これらの能動領域は、隔離特徴により互いに隔離されてよい。幾つかの実施形態において、隔離特徴は、ドライエッチングプロセスにより基板202におけるグルーブ又は基板202上のエピタキシャル層をエッチングし、且つ化学気相成長プロセス、流動性化学気相成長(flowable CVD;FCVD)プロセス又はスピンオングラスプロセスによりインシュレータ材料をグルーブに充填することで形成されてよい。過剰なインシュレータ材料を除去するとともに平坦な表面を提供するように、化学機械研磨(chemical mechanical polishing;CMP)プロセスを実行してよい。記述される実施例において、隔離特徴は、化学機械研磨プロセスの後に形成される。装置20がフィン構造又はフィン状構造を含むマルチゲート装置である場合、インシュレータ材料は、隔離特徴を形成し、フィン構造又はフィン状構造が隔離構造の上に突出するようにエッチバックされてよい。幾つかの実施形態において、隔離特徴は、多層構造を含んでよく、この多層構造は、ライナー誘電体層及びバルク誘電体層を含む。隔離特徴は、酸化シリコン、酸窒化シリコン、ホウケイ酸ガラス(boron silicate glass;BSG)又はリンケイ酸ガラス(phosphosilicate glass;PSG)を含んでよい。図面に明確に示されていないが、装置20がマルチゲート装置である場合、ワーク200は、MEOL構造をも含んでよく、このMEOL構造は、1つ又は複数の層間誘電体層(interlayer dielectric;ILD)内に配置されるソース/ドレインコンタクト又はゲートコンタクトホールを含んでよい。層間誘電体層は、酸化シリコン、テトラエチルオルトケイ酸塩(tetraethylorthosilicate;TEOS)酸化物、非ドープケイ酸塩ガラス(un-doped silicate glass;USG)、ドープケイ酸塩ガラス(例えばホウリンケイ酸ガラス(borophosphosilicate glass;BPSG)、溶融ケイ酸塩ガラス(fused silicate glass;FSG)、リンケイ酸ガラス(phosphosilicate glass;PSG)、ホウ素ドープケイ酸塩ガラス(boron doped silicate glass;BSG))及び/又は他の適切な誘電体材料を含んでよい。ソース/ドレインコンタクトは、ルテニウム(Ru)、コバルト(Co)、ニッケル(Ni)又は銅(Cu)を含んでよい。ゲートコンタクトホールは、タングステン(W)、ルテニウム(Ru)、コバルト(Co)、ニッケル(Ni)又は銅(Cu)を含んでよい。
図2に示される実施例において、ワーク200は、相互接続構造201の一部を更に含む。相互接続構造201は、示される第1の金属層M~第nの金属層Mを含む複数の金属層を含み、点は、第1の金属層Mと第nの金属層Mの間に介在する金属層を表す。相互接続構造201の更なる金属層は、第nの金属層Mの上方に形成される。幾つかの実施例において、相互接続構造は、約9~約13個の金属層を含んでよく、且つ第nの金属層Mの数字nは2より大きくてよい。装置20を形成した後に本開示内容のプロセスを直ちに実行する場合があるが、このようにすれば、FEOL構造を損傷するリスクがより高くなるおそれがある。つまり、第1の金属層Mと第nの金属層Mの間に0~11個の層が存在する可能性がある。相互接続構造の金属層のそれぞれは、少なくとも1つの金属間誘電体層(intermetal dielectric;IMD)に嵌め込まれる複数の貫通穴及び金属線を含む。貫通穴及び金属線は、チタン(Ti)、ルテニウム(Ru)、ニッケル(Ni)、コバルト(Co)、銅(Cu)、モリブデン(Mo)、タングステン(W)又はアルミニウム(Al)で形成されてよい。一実施例において、貫通穴及び金属線は、銅(Cu)で形成される。金属間誘電体層は、上記層間誘電体層と類似した組成を有してよい。示される実施例において、第1の金属層Mは、第1の貫通穴214及び第1の貫通穴214に配置される第1の金属線216を含む。第1の貫通穴214及び第1の金属線216は、いずれも第1の層間誘電体層212内に嵌め込まれるか又は配置される。これに類似し、第nの金属層Mは、第nの層間誘電体層222内に嵌め込まれるか又は配置される上部貫通穴224及び上部金属線226を含む。図2に示される実施例において、nは3であり、且つ第1の金属層Mと第nの金属層Mの間にもう1つの金属層が存在する。本明細書において使用される上部金属線226は、その上にメモリスタックが形成される上部金属線を表すことに留意されたい。メモリスタック及び第nの金属層Mの上方により多くの金属層が形成される。
図1及び図3を参照し、方法100は、ステップ104において、ワーク200の上方に第1のエッチングストップ層(etch stop layer;ESL)230を堆積することを含む。幾つかの実施例において、第1のエッチングストップ層230は、炭化シリコンを含み、化学気相成長又はプラズマ化学気相成長(plasma enhanced CVD;PECVD)により堆積されてよい。第1のエッチングストップ層230は、エッチングストップ層とされるだけでなく、上部金属線226が銅又は銅含有材料で形成される場合に上部金属線226における金属の電気移動(electromigration)を防止するために用いることもできる。幾つかの実施形態において、第1のエッチングストップ層230は、約200nm~約350nmの厚さを有してよい。この厚さは重要でないわけではない。厚さが200nmよりも小さい場合、第1のエッチングストップ層230は、上部金属線226における電気移動を十分に抑制できないおそれがある。厚さが350nmよりも大きい場合、第1のエッチングストップ層230は、多過ぎる厚さを占め、総厚さの小さい金属層(例えば装置20からの最初の3つ又は最初の4つの金属層)に対してプロセス全体を実行することを阻止してしまう。
図1及び図4を参照し、方法100は、ステップ106において、上部金属線226を露出させるように第1のエッチングストップ層230を通過して開口232を形成することを含む。フォトリソグラフィプロセスとエッチングプロセスの組み合わせにより第1のエッチングストップ層230を通過して開口232を形成してよい。例えば、化学気相成長、流動性化学気相成長又は適切なプロセスにより少なくとも1つのハードマスクを第1のエッチングストップ層230の上方に堆積する。続いて、スピンコートによりフォトレジスト層を少なくとも1つのハードマスク層の上方に堆積する。パターニングされたフォトレジストを形成するように、堆積されたフォトレジスト層に、露光前ベークプロセス、フォトマスクから反射された又はフォトマスクを透過した放射線への露光、露光後ベークプロセス及び現像プロセスを行ってよい。続いて、パターニングされたフォトレジストをエッチングマスクとして少なくとも1つのハードマスク層をエッチングし、パターニングされたハードマスクを形成する。続いて、パターニングされたハードマスクをエッチングマスクとして第1のエッチングストップ層230をエッチングし、開口232を形成する。ステップ106の適切なエッチングプロセスは、ドライエッチングプロセス、ウェットエッチングプロセス又はそれらの組み合わせであってよい。幾つかの実施例において、ステップ106のエッチングプロセスは、ドライエッチングプロセス(例えば、反応性イオンエッチング(reactive ion etching;RIE)プロセス)であってよく、このプロセスは、酸素含有ガス(例えば、O)、フッ素含有ガス(例えば、SF又はNF)又は塩素含有ガス(例えば、Cl及び/又はBCl)の使用を含む。図4に示すように、開口232は、完全に延伸して第1のエッチングストップ層230を通過し、上部金属線226の上面を露出させる。
図1及び図5を参照し、方法100は、ステップ108において、上部金属線226に結合するように開口232にコンタクトホール234を形成することを含む。幾つかの実施例において、コンタクトホール234は、窒化チタン(TiN)、チタン(Ti)、ルテニウム(Ru)、モリブデン(Mo)、タングステン(W)又はアルミニウム(Al)を含んでよい。一実施例において、コンタクトホール234は、窒化チタン(TiN)で形成され、窒化チタンが下の層にある上部金属線226における銅の電気移動を減らす傾向があるからである。プロセスの1つの実例において、コンタクトホール234に用いられる導電性材料をまず化学気相成長又は物理気相成長により第1のエッチングストップ層230及び開口232の上方に堆積してから、化学機械研磨プロセス等の平坦化プロセスを実行して第1のエッチングストップ層230の上方にある過剰な材料を除去する。別の実施例において、コンタクトホール234は、原子層堆積又は有機金属化学気相成長(metal organic CVD;MOCVD)等の下から上へ堆積する方法により堆積されてなるものであってよい。後者の実例では、コンタクトホール234は、開口232により露出した上部金属線226の導電性表面上に選択的に堆積されてよい。
図1及び図6を参照し、方法100は、ステップ110において、コンタクトホール234及び第1のエッチングストップ層230の上方に下部電極層236を堆積することを含む。幾つかの実施例において、下部電極層236は、窒化タンタル(TaN)、窒化チタン(TiN)、タンタル(Ta)、タングステン(W)、白金(Pt)、ルテニウム(Ru)、イリジウム(Ir)又はモリブデン(Mo)を含む。下部電極層236は、物理気相成長又は化学気相成長によりワーク200の上面(第1のエッチングストップ層230及びコンタクトホール234の上面を含む)の上方にブランケット堆積される。下部電極層236は、レーザ放射線の透過を可能にする役割がないため、光が透過できず、且つ半透明又は透明な金属酸化物で形成されるわけではないことに留意されたい。幾つかの例において、下部電極層236は、約10nm~約20nmの厚さを有してよい。この厚さの範囲は重要でないわけではない。厚さが10nmよりも小さい場合、下部電極層236は、この厚さでの導電メカニズムによって導電性が低くなる可能性がある。厚さが20nmよりも大きい場合、窒化チタン(TiN)等の低導電性材料で形成される下部電極層236は、大き過ぎる抵抗をもたらす可能性がある。
図1及び図7を参照し、方法100は、ステップ112において、下部電極層236の上方に強誘電体層238を堆積することを含む。強誘電体層238は、強誘電性を示す二元酸化物、三元酸化物、三元窒化物又は四元酸化物であってよい。強誘電体層238は、酸化ハフニウム、ケイ酸ハフニウム(HfSiO)、ジルコニウム酸ハフニウム(HfZrO)、チタン酸バリウム(BaTiO)、チタン酸鉛(PbTiO)、チタン酸ストロンチウム(SrTiO)、カルシウムマンガナイト(CaMnO)、ビスマスフェライト(BiFeO)、窒化アルミニウムスカンジウム(AlScN)、窒化アルミニウムガリウム(AlGaN)、窒化アルミニウムイットリウム(AlYN)、ドープHfO(ドーパントが例えばSi、Zr、Y、Al、Gd、Sr、La、Sc、Ge等である)、チタン酸ジルコン酸鉛(PbZrTi;PZT)、チタン酸バリウムストロンチウム(BaSrTiO)又はタンタル酸ストロンチウムビスマス(SrBiTa;SBT)等の材料で形成されてよい。一実施例において、強誘電体層238は、ジルコニウムドープの酸化ハフニウム又はハフニウム酸化ジルコニウム(hafnium zirconium oxide;HZO)を含む。図7に示すように、強誘電体層238は、物理気相成長、化学気相成長又は原子層堆積により下部電極層236の上方を含めたワーク200の上方にブランケット堆積されてよい。ステップ112において堆積する時、強誘電体層の堆積方法によって強誘電体層が十分な結晶性を有しないようにするおそれがあるため、強誘電体層238は強誘電性を示さなくてもよいことに留意されたい。この面から、ステップ112において堆積される強誘電体層238は、強誘電体前駆体と見なされてもよい。上記のように、強誘電体層238は、量子力学的トンネリングを可能にするために十分に薄い。幾つかの例において、強誘電体層238は、約1nm~約10nmの厚さを有してよい。強誘電体層238の厚さは、下部電極層236の厚さよりも小さい。
図1及び図8を参照し、方法100は、ステップ114において、強誘電体層238の上方に上部電極層240を堆積することを含む。上部電極層240は、光透過性の導電性材料で形成される。幾つかの実施例において、上部電極層240は、酸化インジウムスズ(indium-tin oxide;ITO)、酸化亜鉛(ZnO)、フッ素ドープ酸化スズ(fluorine doped tin oxide;FTO)、酸化ガリウム亜鉛(gallium zinc oxide;GZO)、酸化アルミニウム亜鉛(aluminum zinc oxide;AZO)、酸化アンチモンスズ(antimony tin oxide;ATO)等の導電性金属酸化物で形成される。上部電極層240は、物理気相成長又はゾルゲル(sol-gel)プロセスにより堆積されてよい。幾つかの実施形態において、堆積された上部電極層240は、アニーリングにより導電性を改良することができる。幾つかの例において、上部電極層240のアニーリングは、二酸化炭素(CO)レーザ源の使用を含んでよい。本開示内容によれば、上部電極層240は、少なくとも一部のレーザ源の放射線の透過を可能にする材料で形成される。幾つかの例において、上部電極層240の透過率は、30%よりも大きくてよく、そうでなければ、光透過性の上部電極層240を有する目的が失われる。つまり、上部電極層240は、レーザ源からの放射線に対して半透明又は透明である。本明細書において使用されるレーザ源は、レーザアニーリング操作に用いられるレーザ源を指す。レーザ源の実例は、ヘリウムネオン(He-Ne)レーザ源、ネオジムドープイットリウムアルミニウムガーネット(Nd:YAG)レーザ源、アルゴンイオン(Ar+)レーザ源、連続波(continuous-wave;CW)アルゴンレーザ源、クリプトンイオン(Kr+)レーザ源、ヒ化ガリウムダイオードレーザ源又はヘリウムカドミウム(He-Cd)レーザ源を含む。これらの実例のレーザ源の殆どは、可視スペクトルにおける放射線を放出するため、上部電極層240は、可視光に対して半透明又は透明であると見なされてもよい。幾つかの例において、上部電極層240は、約10nm~約20nmの厚さを有してよい。厚さが10nmよりも小さい場合、上部電極層240は、この厚さでの導電メカニズムによって導電性が低くなる可能性がある。厚さが20nmよりも大きい場合、導電性の低い金属酸化物で形成された上部電極層240は、大き過ぎる抵抗をもたらす可能性がある。図面に明確に示されていないが、ステップ114の操作は、上部電極層240に対する低温アニーリングを含んでよく、それによってこの層の光透過性と導電性を向上させる。幾つかの例において、低い温度のアニーリングは、オーブンの使用及び100℃~約200℃のアニーリング温度を含んでよい。
図1及び図9を参照し、方法100は、ステップ116において、強誘電体層238にレーザアニーリング300を実行することを含む。上記のように、堆積されたばかりの強誘電体層238は、結晶性を欠いているため、強誘電性を示さない可能性がある。強誘電体層238における結晶化を増やすために、ステップ116においてレーザアニーリング300を実行する。レーザアニーリング300について、図9にはワーク200全体に対する照射として示されているが、レーザアニーリング300は、上部電極層240の実質的に上面全体にわたる走査又はステッピングを含んでよい。上記のステップ114の操作についての大まかな記述のように、上部電極層240は、レーザアニーリングステップ(例えば図9におけるレーザアニーリング300)からのレーザ源放射線に対して半透明又は透明である。レーザアニーリング300からの放射線は、引き続き少なくとも部分的に上部電極層240全体の厚さを透過し、且つ強誘電体層238に効果的に到達することができる。しかしながら、下方にある層(例えば下部電極層236又は第1のエッチングストップ層230)は、放射線が装置20のFEOL構造等に到達しないように放射線を遮断する。つまり、強誘電体層238の上方に光透過性の上部電極層240を有することで、レーザアニーリング300により、FEOL構造を損傷するリスクが高くなく強誘電体層238を効果的にアニーリングして結晶化と強誘電性を促進することができる。幾つかの実施例において、レーザアニーリング300は、ヘリウムネオンレーザ源、ネオジムドープイットリウムアルミニウムガーネットレーザ源、アルゴンイオンレーザ源、連続波アルゴンレーザ源、クリプトンイオンレーザ源、ヒ化ガリウムダイオードレーザ源又はヘリウムカドミウムレーザ源の使用を含み、且つ約400℃~約1000℃のアニーリング温度を含んでよい。このアニーリング温度の範囲は重要でないわけではない。アニーリング温度が400℃よりも低い場合、強誘電体層238の結晶化は遅く、且つ、レーザアニーリング300は、強誘電性質を保証するために十分な結晶化を達成できない可能性がある。アニーリング温度が1000℃よりも高い場合、熱エネルギーによって上部金属線226又は上部貫通穴224が損害される可能性がある。レーザアニーリング300の効果を表すために、アニーリング後の強誘電体層238は、改めて強誘電体層2380として標記される。強誘電体層2380と強誘電体層238は、同じ組成を共有するが、強誘電体層2380は、更に結晶化してより高い強誘電性を示す。
観察によると、強誘電体層238は、上部電極層240からの歪みを受けることなくアニーリングされた場合、強誘電性を示す相状態を形成しない。従って、本開示内容の上部電極層240は、以下の幾つかの機能を提供することが分かる。まず、上部電極層240は、メモリスタックの上部電極とされる。この機能を提供するために、上部電極層240は導電性を有する。次に、上部電極層240は、強誘電体層238が強誘電性の結晶相を示すことができるように、強誘電体層238に引張応力(tensile stress)を印加する。この面では、上部電極層240は、応力源又は歪み層とされる。第3に、本開示内容の上部電極層240は、レーザアニーリング300に用いられるレーザ源の放射線に対して半透明又は透明である。
図1及び図10を参照し、方法100は、ステップ118において、下部電極層236、強誘電体層2380及び上部電極層240をパターニングして第1のメモリスタック250を形成することを含む。ステップ116において上部電極層240を通過して強誘電体層238にレーザアニーリング300を行った後、フォトリソグラフィプロセスとエッチングプロセスの組み合わせを実行して下部電極層236、強誘電体層2380及び上部電極層240をパターニングする。プロセスの1つの実例において、化学気相成長によりハードマスク層242を上部電極層240の上方にブランケット堆積する。ハードマスク層242は、酸化シリコン、窒化シリコン又は酸窒化シリコンを含んでよい。ハードマスク層242の組成は、第1のエッチングストップ層230の組成とは異なることに留意されたい。続いて、スピンコートによりフォトレジスト層をハードマスク層242の上方に堆積する。パターニングされたフォトレジストを形成するように、堆積されたフォトレジスト層に、露光前ベークプロセス、フォトマスクから反射された又はフォトマスクを透過した放射線への露光、露光後ベークプロセス及び現像プロセスを行ってよい。続いて、パターニングされたフォトレジストをエッチングマスクとしてハードマスク層242をエッチングし、パターニングされたハードマスク層242を形成する。続いて、パターニングされたハードマスク層242をエッチングマスクとして、下部電極層236、強誘電体層2380及び上部電極層240をエッチングし、第1のメモリスタック250を形成する。ステップ118の適切なエッチングプロセスは、ドライエッチングプロセス(例えば、反応性イオンエッチングプロセス)であってよく、酸素含有ガス(例えば、O)、フッ素含有ガス(例えば、SF又はNF)、塩素含有ガス(例えば、Cl及び/又はBCl)、臭素含有ガス(例えば、HBr)、ヨウ素含有ガス、他の適切なガス及び/又はプラズマ及び/又はそれらの組み合わせの使用を含む。図10に示すように、第1のメモリスタック250は、下部電極層236、強誘電体層2380、上部電極層240及びパターニングされたハードマスク層242を含む。強誘電体層2380は、量子力学的トンネリングを可能にする厚さを有するため、第1のメモリスタック250は、強誘電体トンネル接合スタック又は強誘電体トンネル接合メモリ装置である。第1のメモリスタック250は、コンタクトホール234の上面が下部電極層236の底面に物理的に結合するように、コンタクトホール234の上方に直接配置される。示される実施例において、パターニングされたハードマスク層242は、第1のメモリスタック250に残される。これらの実施例において、パターニングされたハードマスク層242は元の位置に残され、これは、パターニングされたハードマスク層242を除去すると、上部電極層240を損傷するおそれがあり、且つパターニングされたハードマスク層242によっていかなるコンタクト構造が第1のメモリスタック250の上方に形成されることを実質的に阻害することはないからである。
図1、図11及び図12を参照し、方法100は、ステップ120において、更なる加工を実行することを含む。ステップ120の更なる加工は、第1のメモリスタック250の側壁に沿ってスペーサ244(図11に示される)を形成し、第1のメモリスタック250及びスペーサ244の上方に第2のエッチングストップ層252(図12に示される)を堆積し、第2のエッチングストップ層252の上方に第(n+1)の層間誘電体層254(図12に示される)を堆積し、層間誘電体層254及び第2のエッチングストップ層252を通過して第(n+1)の貫通穴256及び第(n+1)の金属線258(図12に示される)を形成することを含んでよい。図11に示されるスペーサ244は、ワーク200の上方(第1のメモリスタック250の上方を含む)にスペーサ材料層をコンフォーマルに堆積してから、スペーサ材料層に異方性エッチバックを行うことで形成されてよい。図11に示すように、スペーサ244は、第1のエッチングストップ層230の一部の上方のみに位置し、且つスペーサ244を形成した後に殆どの第1のエッチングストップ層230を露出させる。幾つかの実施例において、スペーサ244は、窒化シリコンを含んでよい。続いて、図12を参照し、第2のエッチングストップ層252は、第1のエッチングストップ層230の上方にコンフォーマルに堆積される。第2のエッチングストップ層252は、第1のエッチングストップ層230と異なる材料で形成される。幾つかの実施形態において、第2のエッチングストップ層252は、窒化シリコンを含む。第2のエッチングストップ層252の材料の選択は重要でないわけではない。第2のエッチングストップ層252は、パターニングされたハードマスク層242以外の付加的なエッチングストップ層又は保護層とすることができるだけでなく、第1のメモリスタック250(特に強誘電体層2380)に更なる応力を印加するために用いることもできる。プロセスの1つの実例において、窒化シリコンで形成された第2のエッチングストップ層252を第1のメモリスタック250の上方にコンフォーマルに堆積し、且つ第2のエッチングストップ層252に応力を導入するようにアニーリング温度が約350℃~約400℃であるアニーリングプロセスを実行する。第2のエッチングストップ層252は、更なる応力を印加して強誘電体層2380における強誘電性を安定的にする。アニーリング自体を行うだけで強誘電体層2380の強誘電性を保証できないことが再度分かる。
第2のエッチングストップ層252を堆積した後、ワーク200の上方に第(n+1)の層間誘電体層254を堆積する。層間誘電体層254と第1の層間誘電体層212は、同じ組成を共有し、簡潔にするために、層間誘電体層254についての詳細な記述を省略する。続いて、層間誘電体層254及び第2のエッチングストップ層252を通過して第(n+1)の貫通穴256及び第(n+1)の金属線258を形成し、第(n+1)の貫通穴256が上部電極層240に物理的に結合するように、デュアルダマシンを実行してよい。組成から言えば、第(n+1)の貫通穴256及び第(n+1)の金属線258は、第1の貫通穴214及び第1の金属線216に類似してよく、且つ、簡潔にするために、第(n+1)の貫通穴256及び第(n+1)の金属線258についての詳細な記述を省略する。貫通穴及び金属線がデュアルダマシンプロセスにより形成されるため、貫通穴及び金属線のそれぞれは連続構造であってよいことに留意されたい。図面における貫通穴とその上に覆われている金属線の間の線段は、理解のためのものに過ぎない。図面に明確に示されていないが、相互接続構造201を完成するように第(n+1)の金属層Mn+1の上方により多くの金属層(例えばMn+2、Mn+3等)が形成されてよい。
これから、図13を参照し、図13は、強誘電体層238を堆積する前に、インシュレータ層260を下部電極層236の上方に堆積する代替的な実施例を示す。インシュレータ層260は、強誘電体層2380の異なる側で不均衡を引き起こすために用いられる。研究により指摘されたように、片側(例えば図13に示される底部側)に薄いインシュレータ層を導入することで、第1のメモリスタック250のオン抵抗及びオフ抵抗をより識別又は検出しやすくすることができる。つまり、幾つかの実施例において、インシュレータ層260を導入することで第1のメモリスタック250の信号対雑音比(signal-to-noise ratio;SNR)を改良することができる。幾つかの実施例において、インシュレータ層260は、酸化ニッケル、酸化ハフニウム、酸化亜鉛、酸化チタン、酸化シリコン、酸化ジルコニウム、酸化タングステン、酸化アルミニウム、酸化タンタル、酸化モリブデン又は酸化銅を含んでよく、且つ化学気相成長又は原子層堆積により堆積されてよい。酸化亜鉛を上部電極層240及びインシュレータ層260の候補材料とすることが言及されたが、上部電極層240に用いられる酸化亜鉛とインシュレータ層260に用いられる酸化亜鉛は、異なる酸素含有量を有することに留意されたい。上部電極層240に用いられる酸化亜鉛の酸素含有量は、インシュレータ層260に用いられる酸化亜鉛よりも小さい。インシュレータ層260が第1のメモリスタック250の信号対雑音比を改良する役割を達成できることを確保するために、インシュレータ層260の組成は、強誘電体層238の組成とは異なる。インシュレータ層260は、約1nm~約10nmの厚さを有してよい。厚さが1nmよりも小さい場合、インシュレータ層260は、第1のメモリスタック250の信号対雑音比を改良しない。厚さが10nmよりも大きい場合、インシュレータ層260は、大き過ぎる抵抗をもたらす可能性がある。方法100において、丁度、強誘電体層238を堆積する前に、ステップ112においてインシュレータ層260を堆積することができる。
図14は、方法400を示し、下部電極層を上部金属線226に物理的に結合させるための単独のコンタクトホールが形成されていない。以下の方法400の記述から明らかなように、方法400の一部のステップは、方法100の対応するステップに類似する。例えば、ステップ402の操作は、ステップ102の操作に類似してもよく、ステップ404の操作は、ステップ104の操作に類似してもよく、ステップ406の操作は、ステップ106の操作に類似してもよく、ステップ414の操作は、ステップ116の操作に類似してもよく、ステップ416の操作は、ステップ118の操作に類似してもよく、ステップ418の操作は、ステップ120の操作に類似してもよい。簡潔にするために、方法400におけるこれらの類似するステップの記述を減らすか、ひいては省略してよい。
図14及び図2を参照し、方法400は、ステップ402において、ワーク200を提供することを含む。ステップ402の操作は、方法100のステップ102の操作に類似するため、簡潔にするために、ステップ402についての詳細な記述を省略する。
図14及び図3を参照し、方法400は、ステップ404において、ワーク200の上方に第1のエッチングストップ層230を堆積することを含む。ステップ404の操作は、方法100のステップ104の操作に類似するため、簡潔にするために、ステップ404についての詳細な記述を省略する。
図14及び図4を参照し、方法400は、ステップ406において、上部金属線226を露出させるように第1のエッチングストップ層230を通過して開口232を形成することを含む。ステップ406の操作は、方法100のステップ106の操作に類似するため、簡潔にするために、ステップ406についての詳細な記述を省略する。
図14及び図15を参照し、方法400は、ステップ408において、開口232及び第1のエッチングストップ層230の上方に下部電極層266を堆積することを含む。ステップ408の操作によって、コンタクトホール234(図5に示される)が先に形成されていない場合に下部電極層266をワーク200の上方に堆積するため、方法400と方法100が区別される。図15に示すように、下部電極層266は、第1のエッチングストップ層230、露出した上部金属線226及び開口232の上方にコンフォーマルに堆積されることで、上部金属線226の露出した上面に物理的に接触する。幾つかの実施例において、下部電極層266は、窒化タンタル(TaN)、窒化チタン(TiN)、タンタル(Ta)、タングステン(W)、白金(Pt)、ルテニウム(Ru)、イリジウム(Ir)又はモリブデン(Mo)を含む。下部電極層266は、レーザ放射線の透過を可能にする役割がないため、光が透過できず、且つ半透明又は透明な金属酸化物で形成されるわけではないことに留意されたい。幾つかの例において、下部電極層266は、約10nm~約20nmの厚さを有してよい。この厚さの範囲は重要でないわけではない。厚さが10nmよりも小さい場合、下部電極層266は、この厚さでの導電メカニズムによって導電性が低くなる可能性がある。厚さが20nmよりも大きい場合、窒化チタン(TiN)等の低導電性材料で形成される下部電極層266は、大き過ぎる抵抗をもたらす可能性がある。下部電極層266の堆積のコンフォーマリティのため、下部電極層266は、開口232の上方に直接位置する溝又は凹部を含んでよい。
図14及び図16を参照し、方法400は、ステップ410において、下部電極層266の上方に強誘電体層268を堆積することを含む。強誘電体層268は、強誘電性を示す二元酸化物、三元酸化物、三元窒化物又は四元酸化物であってよい。強誘電体層268は、酸化ハフニウム、ケイ酸ハフニウム(HfSiO)、ジルコニウム酸ハフニウム(HfZrO)、チタン酸バリウム(BaTiO)、チタン酸鉛(PbTiO)、チタン酸ストロンチウム(SrTiO)、カルシウムマンガナイト(CaMnO)、ビスマスフェライト(BiFeO)、窒化アルミニウムスカンジウム(AlScN)、窒化アルミニウムガリウム(AlGaN)、窒化アルミニウムイットリウム(AlYN)、ドープHfO(ドーパントが例えばSi、Zr、Y、Al、Gd、Sr、La、Sc、Ge等である)、チタン酸ジルコン酸鉛(PbZrTi)、チタン酸バリウムストロンチウム(BaSrTiO)又はタンタル酸ストロンチウムビスマス(SrBiTa)等の材料で形成されてよい。一実施例において、強誘電体層268は、ジルコニウムドープの酸化ハフニウム又はハフニウム酸化ジルコニウムを含む。図16に示すように、強誘電体層268は、物理気相成長、化学気相成長又は原子層堆積により下部電極層266及びその凹部の上方を含めたワーク200の上方にコンフォーマルに堆積されてよい。ステップ410において堆積する時、強誘電体層268の堆積方法によって強誘電体層268が十分な結晶性を有しないため、強誘電体層268は強誘電性を示さなくてもよい(又は少なくとも十分な強誘電性を示さない)ことに留意されたい。この面から、ステップ410において堆積される強誘電体層268は、強誘電体前駆体と見なされてもよい。上記のように、強誘電体層268は、量子力学的トンネリングを可能にするために十分に薄い。幾つかの例において、強誘電体層268は、約1nm~約10nmの厚さを有してよい。強誘電体層268の厚さは、下部電極層266の厚さよりも小さい。強誘電体層268のコンフォーマリティによって、下部電極層266における凹部又は溝も強誘電体層268に伝達することができる。
図14及び図17を参照し、方法400は、ステップ412において、強誘電体層268の上方に上部電極層270を堆積することを含む。上部電極層270は、光透過性の導電性材料で形成される。幾つかの実施例において、上部電極層270は、酸化インジウムスズ、酸化亜鉛、フッ素ドープ酸化スズ、酸化ガリウム亜鉛、酸化アルミニウム亜鉛、酸化アンチモンスズ等の導電性金属酸化物で形成される。本開示内容によれば、上部電極層270は、レーザ源の放射線の少なくとも一部の透過を可能にする材料で形成される。幾つかの例において、上部電極層270の透過率は、30%よりも大きくてよく、そうでなければ、光透過性の上部電極層270を有する目的が失われる。つまり、上部電極層270は、レーザ源からの放射線に対して半透明又は透明である。本明細書において使用されるレーザ源は、レーザアニーリング操作に用いられるレーザ源を指す。レーザ源の実例は、ヘリウムネオンレーザ源、ネオジムドープイットリウムアルミニウムガーネットレーザ源、アルゴンイオンレーザ源、連続波アルゴンレーザ源、クリプトンイオンレーザ源、ヒ化ガリウムダイオードレーザ源又はヘリウムカドミウムレーザ源を含む。これらの実例のレーザ源の殆どは、可視スペクトルにおける放射線を放出するため、上部電極層270は、可視光に対して半透明又は透明であると見なされてもよい。幾つかの例において、上部電極層270は、約10nm~約20nmの厚さを有してよい。厚さが10nmよりも小さい場合、上部電極層270は、この厚さでの導電メカニズムによって導電性が低くなる可能性がある。厚さが20nmよりも大きい場合、導電性の低い金属酸化物で形成された上部電極層270は、大き過ぎる抵抗をもたらす可能性がある。図面に明確に示されていないが、ステップ412の操作は、上部電極層270の光透過性と導電性を向上させるように上部電極層270に低温アニーリングを行うことを含んでよい。幾つかの例において、低い温度のアニーリングは、オーブンの使用及び100℃~約200℃のアニーリング温度を含んでよい。上部電極層270は、物理気相成長又は化学気相成長により強誘電体層268の上方にコンフォーマルに堆積されてよい。上部電極層270のコンフォーマリティによって、強誘電体層268における凹部又は溝は上部電極層270に伝達することができる。
図14及び図18を参照し、方法400は、ステップ414において、強誘電体層268にレーザアニーリング300を実行することを含む。上記のように、堆積されたばかりの強誘電体層268は、結晶性を欠いているため、強誘電性を示さない可能性がある。強誘電体層268における結晶化を増やすために、ステップ414においてレーザアニーリング300を実行する。レーザアニーリング300について、図18にはワーク200全体に対する同時照射として示されているが、レーザアニーリング300は、上部電極層270の実質的に上面全体にわたる走査又はステッピングを含んでよい。上記のステップ412について大まかに記述された操作のように、上部電極層270は、レーザアニーリング操作(例えば図18におけるレーザアニーリング300)からのレーザ源放射線に対して半透明又は透明である。レーザアニーリング300からの放射線は、引き続き少なくとも部分的に上部電極層270全体の厚さを透過し、且つ下にある強誘電体層268に効果的に到達することができる。しかしながら、下方にある層(例えば下部電極層266又は第1のエッチングストップ層230)は、放射線が装置20のFEOL構造等に到達できないように放射線を遮断する。つまり、強誘電体層268の上方に光透過性の上部電極層270を有することで、レーザアニーリング300により、FEOL構造を損傷するリスクが高くなく強誘電体層268を効果的にアニーリングして結晶化と強誘電性を促進することができる。幾つかの実施例において、レーザアニーリング300は、ヘリウムネオンレーザ源、ネオジムドープイットリウムアルミニウムガーネットレーザ源、アルゴンイオンレーザ源、連続波アルゴンレーザ源、クリプトンイオンレーザ源、ヒ化ガリウムダイオードレーザ源又はヘリウムカドミウムレーザ源等の使用を含み、且つ、約400℃~約1000℃のアニーリング温度を含んでよい。このアニーリング温度の範囲は重要でないわけではない。アニーリング温度が400℃よりも低い場合、強誘電体層268の結晶化は遅く、且つ、レーザアニーリング300は、強誘電性質を保証するために強誘電体層268の十分な結晶化を達成できない可能性がある。アニーリング温度が1000℃よりも高い場合、熱エネルギーによって上部金属線226又は上部貫通穴224が損害される可能性がある。レーザアニーリング300の効果を表すために、アニーリング後の強誘電体層268は、改めて強誘電体層2680として標記される。強誘電体層2680と強誘電体層268は、同じ組成を共有するが、強誘電体層2680は、より多く結晶化してより高い強誘電性を示す。
観察によると、強誘電体層268は、上部電極層270からの歪みを受けることなくアニーリングされた場合、強誘電性を示す相状態を形成しない。上記上部電極層240のように、上部電極層270は、以下の幾つかの機能を提供することが分かる。まず、上部電極層270は、メモリスタックの上部電極とされる。この機能を提供するために、上部電極層270は導電性を有する。次に、上部電極層270は、強誘電体層268が強誘電性の結晶相を示すことができるように、強誘電体層268に引張応力を印加する。この面では、上部電極層270は、応力源又は歪み層とされる。第3、本開示内容の上部電極層270は、レーザアニーリング300に用いられるレーザ源の放射線に対して半透明又は透明である。
図14及び図19を参照し、方法400は、ステップ416において、下部電極層266、強誘電体層2680及び上部電極層270をパターニングして第2のメモリスタック280を形成することを含む。ステップ414において上部電極層270を通過して強誘電体層268にレーザアニーリング300を行った後、フォトリソグラフィプロセスとエッチングプロセスの組み合わせを実行して下部電極層266、強誘電体層2680及び上部電極層270をパターニングする。プロセスの1つの実例において、化学気相成長によりハードマスク層272を上部電極層270の上方にブランケット堆積する。ハードマスク層272は、酸化シリコン、窒化シリコン又は酸窒化シリコンを含んでよい。図19に示すように、幾つかの実施例において、ハードマスク層272の一部は、部分的に上部電極層270における凹部又はグルーブまで延伸してよい。図19に示される幾つかの実施例において、ハードマスク層272の上面は、凹部又はグルーブを特徴として有してもよい。ハードマスク層272の組成は、第1のエッチングストップ層230の組成とは異なることに留意されたい。続いて、スピンコートによりフォトレジスト層をハードマスク層272の上方に堆積する。パターニングされたフォトレジストを形成するように、堆積されたフォトレジスト層に露光前ベークプロセス、フォトマスクから反射された又はフォトマスクを透過した放射線への露光、露光後ベークプロセス及び現像プロセスを行ってよい。続いて、パターニングされたフォトレジストをエッチングマスクとしてハードマスク層272をエッチングし、パターニングされたハードマスク層272を形成する。続いて、パターニングされたハードマスク層272をエッチングマスクとして、下部電極層266、強誘電体層2680及び上部電極層270をエッチングし、第2のメモリスタック280を形成する。ステップ416の適切なエッチングプロセスは、ドライエッチングプロセス(例えば、反応性イオンエッチングプロセス)であってよく、酸素含有ガス(例えば、O)、フッ素含有ガス(例えば、SF又はNF)、塩素含有ガス(例えば、Cl及び/又はBCl)、臭素含有ガス(例えば、HBr)、ヨウ素含有ガス、他の適切なガス及び/又はプラズマ、及び/又はそれらの組み合わせの使用を含む。図19に示すように、第2のメモリスタック280は、下部電極層266、強誘電体層2680、上部電極層270及びパターニングされたハードマスク層272を含む。強誘電体層2680は、量子力学的トンネリングを可能にする厚さを有するため、第2のメモリスタック280は、強誘電体トンネル接合スタック又は強誘電体トンネル接合メモリ装置である。第2のメモリスタック280は、上部金属線226の露出した上面が下部電極層266の底面に物理的に接触するように、上部金属線226の露出した部分の上方に直接配置される。
図14、図20及び図21を参照し、方法400は、ステップ418において、更なる加工を実行することを含む。ステップ418のこれらの更なる加工は、第2のメモリスタック280の側壁に沿ってスペーサ244(図20に示される)を形成し、第2のメモリスタック280及びスペーサ244の上方に第2のエッチングストップ層252(図21に示される)を堆積し、第2のエッチングストップ層252の上方に第(n+1)の層間誘電体層254(図21に示される)を堆積し、層間誘電体層254及び第2のエッチングストップ層252を通過して第(n+1)の貫通穴256及び第(n+1)の金属線258(図21に示される)を形成することを含んでよい。図20に示されるスペーサ244は、ワーク200の上方(第2のメモリスタック280の上方を含む)にスペーサ材料層をコンフォーマルに堆積してから、スペーサ材料層に異方性エッチバックを行うことで形成されてよい。図20に示すように、スペーサ244は、第1のエッチングストップ層230の一部の上方のみに位置し、且つスペーサ244を形成した後に殆どの第1のエッチングストップ層230を露出させる。幾つかの実施例において、スペーサ244は、窒化シリコンを含んでよい。続いて、図21を参照し、第2のエッチングストップ層252は、第1のエッチングストップ層230、スペーサ244及び第2のメモリスタック280の上方にコンフォーマルに堆積される。第2のエッチングストップ層252は、第1のエッチングストップ層230と異なる材料で形成される。幾つかの実施形態において、第2のエッチングストップ層252は、窒化シリコンを含む。第2のエッチングストップ層252のこのような材料の選択は重要でないわけではない。第2のエッチングストップ層252は、パターニングされたハードマスク層272以外の付加的なエッチングストップ層又は保護層とされる以外に、第2のメモリスタック280(特に強誘電体層2680)に更なる応力を印加するためにも用いられる。プロセスの1つの実例において、窒化シリコンで形成される第2のエッチングストップ層252を第2のメモリスタック280の上方にコンフォーマルに堆積し、且つ第2のエッチングストップ層252に応力を導入するようにアニーリング温度が約350℃~約400℃であるアニーリングプロセスを実行する。第2のエッチングストップ層252は、更なる応力を印加して強誘電体層2680における強誘電性を安定的にする。アニーリング自体を行うだけで強誘電体層2680における強誘電性を保証できないことが再度分かる。
第2のエッチングストップ層252を堆積した後、ワーク200の上方に第(n+1)の層間誘電体層254を堆積する。層間誘電体層254と第1の層間誘電体層212は、同じ組成を共有し、簡潔にするために、層間誘電体層254についての詳細な記述を省略する。続いて、層間誘電体層254及び第2のエッチングストップ層252を通過して第(n+1)の貫通穴256及び第(n+1)の金属線258を形成し、第(n+1)の貫通穴256が上部電極層270に物理的に結合するように、デュアルダマシンを実行してよい。示される実施例において、第(n+1)の貫通穴256も、延伸してパターニングされたハードマスク層272を通過し、且つ部分的に上部電極層270を通過することで、第(n+1)の貫通穴256と上部電極層270の間に垂直に位置する任意のパターニングされたハードマスク層272を除去する。組成から言えば、第(n+1)の貫通穴256及び第(n+1)の金属線258は、第1の貫通穴214及び第1の金属線216に類似してもよく、且つ、簡潔にするために、第(n+1)の貫通穴256及び第(n+1)の金属線258についての詳細な記述を省略する。貫通穴及び金属線がデュアルダマシンプロセスにより形成されるため、貫通穴及び金属線のそれぞれは連続構造であってよいことに留意されたい。図面における貫通穴とその上に覆われている金属線の間の線段は、理解のためにのみ示されている。図面に明確に示されていないが、相互接続構造201を完成するように第(n+1)の金属層Mn+1の上方により多くの金属層(例えばMn+2、Mn+3等)が形成されてよい。
これから、図22を参照し、図22は、強誘電体層268を堆積する前に、インシュレータ層2600を下部電極層266の上方に堆積する代替的な実施例を示す。インシュレータ層2600は、強誘電体層2680の異なる側で不均衡を引き起こすために用いられる。研究により指摘されたように、片側(例えば図22に示される底部側)に薄いインシュレータ層を導入することで、第2のメモリスタック280のオン抵抗及びオフ抵抗をより識別又は検出しやすくすることができる。つまり、幾つかの実施例において、インシュレータ層2600を導入することで第2のメモリスタック280の信号対雑音比を改良することができる。幾つかの実施例において、インシュレータ層2600は、酸化ニッケル、酸化ハフニウム、酸化亜鉛、酸化チタン、酸化シリコン、酸化ジルコニウム、酸化タングステン、酸化アルミニウム、酸化タンタル、酸化モリブデン又は酸化銅を含んでよく、且つ化学気相成長又は原子層堆積により堆積されてよい。酸化亜鉛を上部電極層270及びインシュレータ層2600の候補材料とすることが言及されたが、上部電極層270に用いられる酸化亜鉛とインシュレータ層2600に用いられる酸化亜鉛は、異なる酸素含有量を有することに留意されたい。上部電極層270に用いられる酸化亜鉛の酸素含有量は、インシュレータ層2600に用いられる酸化亜鉛よりも小さい。インシュレータ層2600が第2のメモリスタック280の信号対雑音比を改良する役割を達成することを確保するために、インシュレータ層2600の組成は、強誘電体層268の組成とは異なる。インシュレータ層2600は、約1nm~約10nmの厚さを有してよい。厚さが1nmよりも小さい場合、インシュレータ層2600は、第2のメモリスタック280の信号対雑音比を改良しない。厚さが10nmよりも大きい場合、インシュレータ層2600は、大き過ぎる抵抗をもたらす可能性がある。方法400において、丁度、強誘電体層268を堆積する前に、ステップ410においてインシュレータ層2600を堆積することができる。
1つの例示的な態様において、本開示内容は、装置構造に関する。装置構造は、第1の誘電体層内に配置される導電特徴と、導電特徴に電気的に結合する下部電極層と、下部電極層の上方に位置する強誘電体層と、強誘電体層に位置する上部電極層と、を含み、導電特徴の上方に配置される強誘電体トンネル接合スタックと、強誘電体トンネル接合スタックの側壁に沿って配置されるスペーサと、スペーサ及び強誘電体トンネル接合スタックの上方に配置される第2の誘電体層と、延伸して第2の誘電体層を通過するとともに上部電極層の上面に接触するコンタクトホールと、を備え、上部電極層は、導電性金属酸化物で形成される。
幾つかの実施例において、上部電極層は、ヘリウムネオンレーザ源、ネオジムドープイットリウムアルミニウムガーネットレーザ源、アルゴンイオンレーザ源、連続波アルゴンレーザ源、クリプトンイオンレーザ源、ヒ化ガリウムダイオードレーザ源又はヘリウムカドミウムレーザ源からの放射線が上部電極層全体の深さにわたって透過することを可能にする。上部電極層は、酸化インジウムスズ、酸化亜鉛、フッ素ドープ酸化スズ、酸化ガリウム亜鉛、酸化アルミニウム亜鉛又は酸化アンチモンスズを含む。幾つかの実施例において、強誘電体層は、酸化ハフニウム、ケイ酸ハフニウム、ジルコニウム酸ハフニウム、チタン酸バリウム、チタン酸鉛、チタン酸ストロンチウム、カルシウムマンガナイト、ビスマスフェライト、窒化アルミニウムスカンジウム、窒化アルミニウムガリウム、窒化アルミニウムイットリウム、チタン酸ジルコン酸鉛、チタン酸バリウムストロンチウム、タンタル酸ストロンチウムビスマスを含む。幾つかの実施例において、上部電極層の組成は、下部電極層の組成とは異なる。幾つかの実施例において、下部電極層は、窒化タンタル、窒化チタン、タンタル、タングステン、白金、ルテニウム、イリジウム又はモリブデンを含む。幾つかの実施例において、装置構造は、導電特徴及び第1の誘電体層の上方に位置するエッチングストップ層を更に備えてよい。下部電極層の一部は、完全に延伸してエッチングストップ層を通過する。幾つかの実施例において、エッチングストップ層の組成は、スペーサの組成とは異なる。幾つかの実施例において、スペーサは、窒化シリコンを含み、エッチングストップ層は、炭化シリコンを含む。
別の例示的な態様において、本開示内容は、構造に関する。構造は、第1の誘電体層内に配置される導電特徴と、導電特徴及び第1の誘電体層の上方に位置するエッチングストップ層と、導電特徴に接触するように延伸してエッチングストップ層を通過する底部コンタクトホールと、底部コンタクトホールに接触する下部電極層と、下部電極層の上方に位置する強誘電体層と、強誘電体層に位置する上部電極層と、を含み、エッチングストップ層及び底部コンタクトホールに配置されるメモリスタックと、を備え、上部電極層は、ヘリウムネオンレーザ源、ネオジムドープイットリウムアルミニウムガーネットレーザ源、アルゴンイオンレーザ源、連続波アルゴンレーザ源、クリプトンイオンレーザ源、ヒ化ガリウムダイオードレーザ源又はヘリウムカドミウムレーザ源からの放射線が上部電極層全体の深さにわたって透過することを可能にする導電性材料で形成される。
幾つかの実施例において、上部電極層は、酸化インジウムスズ、酸化亜鉛、フッ素ドープ酸化スズ、酸化ガリウム亜鉛、酸化アルミニウム亜鉛又は酸化アンチモンスズを含む。幾つかの実施例において、下部電極層の組成は、上部電極層の組成とは異なる。幾つかの実施例において、構造は、下部電極層と強誘電体層の間に挟まれるインシュレータ層を更に備えてよい。インシュレータ層は、酸化ニッケル、酸化チタン、酸化シリコン、酸化ジルコニウム、酸化タングステン、酸化アルミニウム、酸化タンタル、酸化モリブデン又は酸化銅を含む。幾つかの例において、上部電極層は、第1の厚さを含み、強誘電体層は、第2の厚さを含み、第2の厚さは、第1の厚さよりも小さい。
更に別の例示的な態様において、本開示内容は、方法に関し、方法は、第1の誘電体層内に配置される導電特徴を含むワークを提供するステップと、ワークの上方にエッチングストップ層を堆積するステップと、導電特徴に接触するようにエッチングストップ層を通過してコンタクトホールを形成するステップと、エッチングストップ層及びコンタクトホールの上方に下部電極層を堆積するステップと、下部電極層の上方に強誘電体層を堆積するステップと、強誘電体層の上方に上部電極層を堆積するステップと、上部電極層を堆積した後、強誘電体層の結晶化を促進するように、レーザ源によりレーザアニーリングプロセスを実行するステップと、レーザアニーリングプロセスの後、下部電極層、強誘電体層及び上部電極層をパターニングしてメモリスタックを形成するステップと、を含み、上部電極層は、レーザ源からの放射線の透過を可能にする導電性材料で形成される。
幾つかの実施例において、レーザアニーリングプロセスは、約400℃~約1000℃の温度を含む。幾つかの実施例において、レーザ源は、ヘリウムネオンレーザ源、ネオジムドープイットリウムアルミニウムガーネットレーザ源、アルゴンイオンレーザ源、連続波アルゴンレーザ源、クリプトンイオンレーザ源、ヒ化ガリウムダイオードレーザ源又はヘリウムカドミウムレーザ源を含む。幾つかの実施例において、上部電極層は、導電性金属酸化物を含む。幾つかの実施例において、上部電極層は、酸化インジウムスズ、酸化亜鉛、フッ素ドープ酸化スズ、酸化ガリウム亜鉛、酸化アルミニウム亜鉛又は酸化アンチモンスズを含む。幾つかの例において、強誘電体層は、約1nm~約10nmの第1の深さを含み、上部電極層は、約10nm~約20nmの第2の深さを含む。
以上、幾つかの実施例の特徴を概説したが、当業者が本開示の観点をより良く理解することができる。当業者であれば、同じ目的を実現するか及び/又は本明細書に紹介された実施例と同じ利点を実現するために、他のプロセス及び構造を設計又は修正するための基礎として本開示を容易に使用できることを理解すべきである。当業者であれば、このような同等構造が本開示の精神及び範囲から逸脱しておらず、且つ本開示の精神及び範囲から逸脱しない限り、様々な変更、置換及び変更を行えることをも理解すべきである。
20 装置
100、400 方法
102、104、106、108、110、112、114、116、118、120、402、404、406、408、410、412、414、416、418 ステップ
200 ワーク/装置構造
201 相互接続構造
202 基板
204 能動領域
206 ゲート構造
208 ソース/ドレイン領域
212 第1の層間誘電体層
214 第1の貫通穴
216 第1の金属線
222 層間誘電体層
224 上部貫通穴
226 上部金属線
230 第1のエッチングストップ層
232 開口
234 コンタクトホール
236、266 下部電極層
238、268、2380、2680 強誘電体層
240 上部電極層
242 ハードマスク層
244 スペーサ
250 第1のメモリスタック
252 第2のエッチングストップ層
254 層間誘電体層
256 貫通穴
258 金属線
260、2600 インシュレータ層
270 上部電極層
272 ハードマスク層
280 第2のメモリスタック
300 レーザアニーリング
第1の金属層
第nの金属層
n+1 第(n+1)の金属層
X、Y、Z 方向

Claims (10)

  1. 第1の誘電体層内に配置される導電特徴と、
    前記導電特徴に電気的に結合する下部電極層と、前記下部電極層の上方に位置する強誘電体層と、前記強誘電体層に位置する上部電極層と、を含み、前記導電特徴の上方に配置される強誘電体トンネル接合スタックと、
    前記強誘電体トンネル接合スタックの複数の側壁に沿って配置されるスペーサと、
    前記スペーサ及び前記強誘電体トンネル接合スタックの上方に配置される第2の誘電体層と、
    延伸して前記第2の誘電体層を通過し、且つ前記上部電極層の上面に接触するコンタクトホールと、
    を備え、
    前記上部電極層は、導電性金属酸化物で形成される装置構造。
  2. 前記上部電極層は、ヘリウムネオンレーザ源、ネオジムドープイットリウムアルミニウムガーネットレーザ源、アルゴンイオンレーザ源、連続波アルゴンレーザ源、クリプトンイオンレーザ源、ヒ化ガリウムダイオードレーザ源又はヘリウムカドミウムレーザ源からの放射線が前記上部電極層全体の深さにわたって透過することを可能にする請求項1に記載の装置構造。
  3. 前記上部電極層は、酸化インジウムスズ、酸化亜鉛、フッ素ドープ酸化スズ、酸化ガリウム亜鉛、酸化アルミニウム亜鉛又は酸化アンチモンスズを含む請求項1又は2に記載の装置構造。
  4. 前記上部電極層の組成は、前記下部電極層の組成とは異なる請求項1又は2に記載の装置構造。
  5. 前記導電特徴及び前記第1の誘電体層の上方に位置するエッチングストップ層を更に備え、
    前記下部電極層の一部は、完全に延伸して前記エッチングストップ層を通過する請求項1又は2に記載の装置構造。
  6. 前記エッチングストップ層の組成は、前記スペーサの組成とは異なる請求項5に記載の装置構造。
  7. 第1の誘電体層内に配置される導電特徴と、
    前記導電特徴及び前記第1の誘電体層の上方に位置するエッチングストップ層と、
    前記導電特徴に接触するように延伸して前記エッチングストップ層を通過する底部コンタクトホールと、
    前記底部コンタクトホールに接触する下部電極層と、前記下部電極層の上方に位置する強誘電体層と、前記強誘電体層に位置する上部電極層と、を含み、前記エッチングストップ層及び前記底部コンタクトホールに配置されるメモリスタックと、
    を備え、
    前記上部電極層は、ヘリウムネオンレーザ源、ネオジムドープイットリウムアルミニウムガーネットレーザ源、アルゴンイオンレーザ源、連続波アルゴンレーザ源、クリプトンイオンレーザ源、ヒ化ガリウムダイオードレーザ源又はヘリウムカドミウムレーザ源からの放射線が前記上部電極層全体の深さにわたって透過することを可能にする導電性材料で形成される構造。
  8. 前記下部電極層と前記強誘電体層の間に挟まれるインシュレータ層を更に備え、
    前記インシュレータ層は、酸化ニッケル、酸化チタン、酸化シリコン、酸化ジルコニウム、酸化タングステン、酸化アルミニウム、酸化タンタル、酸化モリブデン又は酸化銅を含む請求項7に記載の構造。
  9. 前記上部電極層は、第1の厚さを含み、
    前記強誘電体層は、第2の厚さを含み、
    前記第2の厚さは、前記第1の厚さよりも小さい請求項7又は8に記載の構造。
  10. 第1の誘電体層内に配置される導電特徴を含むワークを提供するステップと、
    前記ワークの上方にエッチングストップ層を堆積するステップと、
    前記導電特徴に接触するように前記エッチングストップ層を通過してコンタクトホールを形成するステップと、
    前記エッチングストップ層及び前記コンタクトホールの上方に下部電極層を堆積するステップと、
    前記下部電極層の上方に強誘電体層を堆積するステップと、
    前記強誘電体層の上方に上部電極層を堆積するステップと、
    前記上部電極層を堆積した後、前記強誘電体層の結晶化を促進するように、レーザ源によりレーザアニーリングプロセスを実行するステップと、
    前記レーザアニーリングプロセスの後、前記下部電極層、前記強誘電体層及び前記上部電極層をパターニングしてメモリスタックを形成するステップと、
    を含み、
    前記上部電極層は、前記レーザ源からの放射線の透過を可能にする導電性材料で形成される方法。
JP2023015278A 2022-03-11 2023-02-03 メモリ構造及びその形成方法 Pending JP2023133142A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263319085P 2022-03-11 2022-03-11
US63/319,085 2022-03-11
US17/751,363 US20230292525A1 (en) 2022-03-11 2022-05-23 Memory structure and method of forming the same
US17/751,363 2022-05-23

Publications (1)

Publication Number Publication Date
JP2023133142A true JP2023133142A (ja) 2023-09-22

Family

ID=87080240

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023015278A Pending JP2023133142A (ja) 2022-03-11 2023-02-03 メモリ構造及びその形成方法

Country Status (6)

Country Link
US (1) US20230292525A1 (ja)
JP (1) JP2023133142A (ja)
KR (1) KR20230133744A (ja)
CN (1) CN116437794A (ja)
DE (1) DE102023102393A1 (ja)
TW (1) TWI847541B (ja)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003298021A (ja) * 2002-03-29 2003-10-17 Seiko Epson Corp 強誘電体薄膜の形成方法、強誘電体メモリならびに強誘電体メモリの製造方法、および半導体装置ならびに半導体装置の製造方法
US11283005B2 (en) * 2019-09-30 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer scheme and method for MRAM

Also Published As

Publication number Publication date
DE102023102393A1 (de) 2023-09-14
CN116437794A (zh) 2023-07-14
KR20230133744A (ko) 2023-09-19
TW202401777A (zh) 2024-01-01
US20230292525A1 (en) 2023-09-14
TWI847541B (zh) 2024-07-01

Similar Documents

Publication Publication Date Title
US11948800B2 (en) Semiconductor device having work function metal stack
US11804486B2 (en) Backside power rail and methods of forming the same
TWI808130B (zh) 半導體裝置及其製造方法
US12040387B2 (en) Negative-capacitance field effect transistor
KR102545983B1 (ko) 에피택셜 피처
US11908942B2 (en) Transistors having nanostructures
TWI764045B (zh) 電晶體及其製造方法
TW202217994A (zh) 半導體裝置
TWI737308B (zh) 用於電容匹配的積體電路及製造包括mfmis-fet的積體電路的方法
TWI847541B (zh) 記憶體結構及其形成方法
US11158721B2 (en) Metal oxide interlayer structure for nFET and pFET
US12125852B2 (en) Multi-gate transistors with backside power rail and reduced gate-drain capacitance
US20230276633A1 (en) Ferroelectric memory device and method of fabricating the same
US20230361221A1 (en) Semiconducting metal oxide transistors having a patterned gate and methods for forming the same
US20230292526A1 (en) Memory Device Structure and Manufacturing Method Thereof
TW202420505A (zh) 半導體裝置及其製造方法
CN113937165A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230203

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240513

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20240730