TW202401586A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW202401586A
TW202401586A TW112109312A TW112109312A TW202401586A TW 202401586 A TW202401586 A TW 202401586A TW 112109312 A TW112109312 A TW 112109312A TW 112109312 A TW112109312 A TW 112109312A TW 202401586 A TW202401586 A TW 202401586A
Authority
TW
Taiwan
Prior art keywords
layer
angle
tungsten
gate structure
cap
Prior art date
Application number
TW112109312A
Other languages
English (en)
Inventor
鍾佳玲
莊英良
鄭鈞智
黃國彬
葉明熙
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202401586A publication Critical patent/TW202401586A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823443MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一種半導體裝置及其製造方法。一半導體裝置包括一閘極結構在一半導體基底的上方,此閘極結構包括一低介電常數之介電層、一高介電常數之介電層、一p型功函數金屬層、一n型功函數金屬層、具有氧化矽的一矽帽蓋層及一黏合層;半導體裝置還包括一連續的鎢帽蓋在閘極結構的上方,其藉由預處理閘極結構、沉積和回蝕鎢材料、蝕刻矽帽蓋層、沉積其他鎢材料以及去除不需要的鎢材料而形成。一半導體裝置製造方法包括接收一閘極結構;預處理閘極結構;於閘極結構上沉積鎢材料;回蝕鎢材料;蝕刻矽帽蓋層;沉積其他的鎢材料以及去除不需要的鎢材料。

Description

半導體裝置及其製造方法
本發明實施例內容是有關於一種半導體裝置及其製造方法,特別是有關於一種可改善通孔閘極的半導體裝置及其製造方法。
半導體裝置用於各種電子應用,例如個人電腦、手機、數位相機和其他電子裝置。一般而言,半導體裝置的製造是通過在半導體基底上依次沉積絕緣層或介電層、導電層以及半導體材料層,並使用微影製程對各種材料層進行圖案化,以在其上形成電路組件和元件。
隨著半導體技術的進步,對於更高存儲容量、更快處理系統、更高性能和更低成本的需求越來越大。為了滿足這些需求,半導體工業不斷地縮減半導體裝置例如金屬氧化物半導體場效電晶體(MOSFET)的尺寸,金屬氧化物半導體場效電晶體包括平面式金屬氧化物半導體場效電晶體(planar MOSFET)和鰭式場效電晶體(fin field effect transistors;FinFET)。這種尺寸上的縮減提高了半導體製造製程的複雜性。
本揭露的一些實施例提供一種半導體裝置,包括在半導體基底上的一閘極結構和在前述閘極結構上形成的一連續的鎢帽蓋(W cap)。此閘極結構包括一高介電常數之介電層;一個或多個功函數金屬層;包括一氧化矽材料的一矽帽蓋(silicon cap;scap)層;以及一黏合層(glue layer)。連續的鎢帽蓋設置在前述閘極結構上。前述連續的鎢帽蓋包括:設置在前述高介電常數之介電層、一個或多個功函數金屬層和前述黏合層上的一第一鎢材料層(first W material layer)。前述連續的鎢帽蓋還包括設置在前述第一鎢材料層上以及在未被前述第一鎢材料層的鎢材料覆蓋的前述矽帽蓋層的一頂表面的一凹部上的一第二鎢材料層(second W material layer)。
本揭露的一些實施例提供一種半導體裝置的製造方法,包括接收一閘極結構,前述閘極結構包括一高介電常數之介電層;一或多個功函數金屬層;包括一氧化矽材料的一矽帽蓋(silicon cap;scap)層;以及一黏合層。前述半導體裝置的製造方法更包括在第一沉積步驟期間在前述閘極結構上沉積鎢(W)材料,其中在前述閘極結構上形成一不連續的鎢帽蓋(discontinuous W cap);在第一蝕刻步驟期間回蝕刻在矽帽蓋層上的鎢材料;在第二蝕刻步驟中蝕刻矽帽蓋層的一頂表面,其中在前述矽帽蓋層中形成一凹部(recess);在第二沉積步驟中,在包括前述矽帽蓋層的前述閘極結構中,在前述矽帽蓋層的前述凹部上沉積其他的鎢材料,以在前述閘極結構上形成一連續的鎢帽蓋(continuous W cap);以及在第三蝕刻步驟中通過去除不需要的鎢材料,以控制前述鎢帽蓋的橫向生長。
本揭露的一些實施例再提供一種半導體裝置的製造方法,包括接收一閘極結構,前述閘極結構包括一高介電常數之介電層;一或多個功函數金屬層;包括一氧化矽材料的一矽帽蓋(silicon cap;scap)層;以及包括氮化鈦(TiN)的一黏合層。前述半導體裝置的製造方法更包括使用氧氣(O 2)氣體對前述閘極結構的一頂表面進行預處理;在第一沉積步驟期間在前述閘極結構上沉積不含氟的鎢(FFW)材料,其中在前述閘極結構上形成一不連續的鎢帽蓋;在第一蝕刻步驟期間使用一臭氧溶液(DIO 3)回蝕刻前述矽帽蓋層上的前述不含氟的鎢材料,其中前述矽帽蓋層的一頂表面被暴露出來以做進一步的製程處理;在第二次蝕刻步驟期間,使用稀釋的氫氟酸(dHF)蝕刻前述矽帽蓋層的前述頂表面,其中在前述矽帽蓋層中形成一凹部;在第二次沉積步驟期間,在包括前述矽帽蓋層的前述閘極結構中,在前述矽帽蓋層中的前述凹部上沉積其他的不含氟的鎢材料,其中係形成一連續的不含氟的鎢帽蓋(a continuous FFW cap);以及在第三次蝕刻步驟期間,通過使用一臭氧溶液(DIO 3)從閘極間隔物的表面去除不必要的不含氟的鎢材料,以控制前述連續的不含氟的鎢帽蓋的橫向生長。
以下內容提供了許多不同的實施例或示例,用於實現本發明實施例的不同部件。組件和配置的具體範例係描述如下,以簡化本發明實施例。當然,這些僅僅是示例的,並非用以限定本發明實施例。
為了簡明起見,與傳統半導體裝置製造相關的傳統技術在此不再詳細敘述。此外,這裡敘述的各種任務和製程可以併入一具有這裡未詳細描述的附加功能的更全面的步驟或製程之中。特別是,半導體裝置製造中的各種製程是眾所周知的,因此,為了簡潔明瞭起見,許多傳統製程在此將僅簡要提及或將完全省略而不提供眾所周知的製程細節。如本領域技術人員在完整閱讀本揭露內容後將容易理解的,本揭露公開的結構可與多種技術一起使用,並且可併入多種半導體裝置和產品中。此外,應注意的是,半導體裝置結構可包括不同數量的組件,並且圖式中所示的單個組件也可能代表多個組件
此外,此處可能使用空間上的相關用語,例如「在…上方」、「上方的」、「在…之上」、「較上方的」、「頂部」、「在…下方」、「下方的」、「在…之下」、「較下方的」、「底部」以及其他類似的用語,以便描述如圖所示之一元件或部件與其他元件或部件之間的關係。此空間上的相關用語除了包含圖式繪示的方位外,也包含了使用中或操作中的裝置的不同方位。裝置可以被任意旋轉(例如旋轉90度或轉至其他方位),在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。當例如以上所述的空間相關用語用於描述第一部件相對於第二部件時,第一部件可以直接位於另一部件上,或者可以存在著中間部件或層。當一個部件或層被稱為「在」另一個元件或層「上」時,它也可以直接位於其它部件或層上並與之接觸。
另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。
注意的是,說明書中對“一實施例”、“一實施例”、“一個示例性的實施例”、“示例性的”、“示例”等的引用係表示所描述的實施例可以包括特定部件,結構或特性,但每個實施例不一定包括特定的部件、結構或特性。此外,這樣的用語不一定指代相同的實施例。此外,當於一實施例中描述特定的部件、結構或特性時,無論是否明確描述,本領域技術人員的知識範圍內可知在其他的實施例中此些特定的部件、結構或特性會受到影響。
應可理解的是,本文的用語或詞語是為了描述性的而非限制性的目的,因此說明書中的用語或詞語應由相關領域的技術人員根據以下內文的揭示而釋義。
本文的上下內容中討論了各種實施例,即,用於形成包括鰭式場效電晶體(FinFET)裝置的一半導體結構。此半導體結構例如可以是互補金屬氧化物半導體(CMOS)裝置,包括P型金屬氧化物半導體(PMOS)鰭式場效電晶體裝置和N型金屬氧化物半導體(NMOS)鰭式場效電晶體裝置。以下將與包括FinFET製造製程相關的特定示例來描述實施例。然而,實施例並不限於文中所提供的示例,並且可以在廣泛的多種實施例中實現本揭露的構想。因此,各種實施例可以應用於其他半導體裝置/製程,例如應用於平面式的電晶體或類似裝置。此外,本文所討論的一些實施例是在使用一閘極後製製程(gate-last process)所形成的裝置的上下文中討論。在其他實施例中,也可以使用一閘極先製製程(gate-first process)形成實施例的裝置。
雖然所附圖式說明了半導體裝置的各種實施例,但是可以在如圖式所描述的半導體裝置中添加額外的部件,並且可以在半導體裝置的其他實施例中進行替換、修改或消除下面所描述的一些部件。
可以在這些實施例中描述的階段之前、期間以及/或之後提供額外的操作。對於不同的實施例,所描述的一些階段可以被替換或去除。可以在半導體裝置結構中添加其他部件。對於不同的實施例,下面所描述的一些部件可以被替換或去除。儘管一些實施例討論了以特定順序進行的操作步驟,但是此些操作步驟可以根據其他邏輯順序進行。
還應注意的是,本揭露是以多閘極電晶體(multi-gate transistors)的形式呈現實施例。多閘極電晶體包括其閘極結構形成在一通道區的至少兩側上的那些電晶體。這些多閘極裝置可以包括一P型(P-type)金屬氧化物半導體多閘極裝置或是一N型(N-type)金屬氧化物半導體多閘極裝置。由於它們的鰭狀結構,其具體示例在本文中可以被呈現和稱之為鰭式場效電晶體(FinFET)。本文還呈現了一種稱為全繞式閘極(gate-all-around;GAA)裝置的多閘極電晶體的實施例。一全繞式閘極(GAA)裝置可以是包括其閘極結構或其部分形成在通道區的四個側邊(例如,圍繞一通道區的一部分)的任何裝置。本文實施例所呈現的裝置還包括了具有配置為奈米線通道區(nanowire channel)、條形通道區(bar-shaped channel)、以及/或其他合適的通道區配置的通道區的實施例。本文的實施例所呈現的是具有與單一且連續的閘極結構相關的一個或一個以上通道區(例如,奈米線)的裝置。然而,具有通常知識者可知此些示例亦可以應用於單一個通道區(例如,單個奈米線)或是任何數量的通道區。具有通常知識者可知其他示例的半導體裝置也可能受益於本揭露的多個方面。
第1圖是敘述包括製造多閘極裝置的半導體製造的一示例方法100的流程圖。如本文所用, “多閘極裝置”的用語係用於敘述具有至少一些閘極材料設置在裝置的至少一個通道區的多個側上的一裝置(例如,一半導體電晶體)。在一些示例中,多閘極裝置可以稱為全繞式閘極(GAA)裝置,其具有設置在裝置的至少一個通道區的至少四個側上的閘極材料。通道區可稱為“奈米線”,如本文實施例所敘述,通道區可以包括各種幾何形狀(例如,圓柱形、條形)和各種尺寸的通道區域。
結合第1圖的敘述,第2A-2B、3A-3B、4A-4B、5A-5B、6A-6B、7A-7B、8A-8B、9A-9B和10A-10B圖,其敘述了根據一些實施例,在不同製造階段的一半導體裝置或結構。方法100僅僅是一個示例,並非旨在將本揭露限制在權利要求中明確記載的內容中。可以在方法100之前、期間和之後實施其他額外的製程步驟,並且對於方法100的各種實施例,可以移動、替換或去除這些描述的一些製程步驟。其他的部件可以增加到如圖中描述的半導體裝置中,並且在其他實施例中,可以替換、修改或刪除下面描述的一些部件。
其他方法的實施例和本文討論的示例性裝置一樣,應當理解的是,半導體裝置的一些部分可以通過一般的半導體技術製程流程進行製造,因此本文僅簡要描述一些製程。此外,示例性的半導體裝置可以包括各種其他裝置和部件,例如其他類型的裝置,包括例如其他型態的電晶體、雙極性接面(bipolar junction)電晶體、電阻器、電容器、電感器、二極體、熔斷器以及/或其他邏輯裝置等,但是為了更好地理解本揭露的概念而簡化敘述半導體裝置。在一些實施例中,示例性裝置包括多個半導體裝置(例如,電晶體),包括p型場效電晶體(PFET)、n型場效電晶體(NFET)等,它們可以互相電性連接。此外,應注意的是,方法100的製程步驟,包括參照附圖所給出的任何描述,以及本揭露中所提供的方法的其餘部分和示例性附圖,這些僅是示例性的並且非旨在限制超出在隨後的權利要求中所具體敘述的範圍。
第2A、3A、4A、5A、6A、7A、8A、9A和10A圖是一示例性半導體裝置200的等角視圖,並且第2A和2B圖是示例半導體裝置200的等角視圖。第2B、3B、4B、5B、6B、7B、8B、9B和10B圖是根據一些實施例的示例性製造過程中,沿第一切線X-X’所繪製的一示例性半導體裝置200的對應的剖面側視圖。在一些圖式中,為了便於描繪圖式,可能會省略其中所示出的元件或部件的一些附圖標記,以避免混淆其他元件或部件。
在步驟102,示例方法100包括提供一基底。參照如第2A和2B圖的例子,在步驟102的一實施例中,提供一基底202。在一些實施例中,基底202可以是一半導體基底,例如一矽基底。基底202可以包括各種層,包括形成在一半導體基底上的導電層或絕緣層。基底202可以包括根據本領域已知的設計要求的各種摻雜配置(doping configurations)。例如,可以在為不同裝置類型(例如,n型場效電晶體(NFET)、p型場效電晶體(PFET))所設計的區域中的基底202上,形成不同的摻雜分佈(例如,n型井、p型井)。合適的摻雜可以包括摻質的離子植入以及/或是擴散製程。基底202通常具有隔離部件(例如,淺溝槽隔離(shallow trench isolation;STI)部件)位於可提供不同裝置類型的區域之間。基底202還可以包括其他半導體,例如鍺、碳化矽(SiC)、矽鍺(SiGe)或金剛石。或者,基底202可以包括一化合物半導體以及/或一合金半導體。此外,基底202可以選擇性地包括一磊晶層(epi-layer),可以被應變以提高性能,可以包括一絕緣體上覆矽(silicon-on-insulator;SOI)結構,以及/或具有其他合適的增強部件。
然後再參照第1圖,進行方法100的步驟104,其中在基底202上生長一個或多個磊晶層。參照第2A和2B圖的示例,在步驟104的一實施例中,在基底202上方形成一磊晶堆疊(epitaxial stack)204。此磊晶堆疊204包括第一組成的多個磊晶層206之中置入第二組成的磊晶層208而成。第一組成和第二組成可以不同。在一實施例中,磊晶層206是矽鍺(SiGe),而磊晶層208是矽(Si)。然而,其他實施例也是可能的,包括提供具有不同氧化速率以及/或蝕刻選擇性的第一組成和第二組成的那些實施例。在一些實施例中,在磊晶層206包括矽鍺並且磊晶層208包括矽的情況​​下,磊晶層208的矽氧化速率係小於磊晶層206的矽鍺氧化速率。
磊晶層208或其一些部分可以形成多閘極裝置200的通道。例如,磊晶層208也可以稱為“奈米線”(nanowires),用於形成一多閘極裝置200例如GAA裝置的一通道。如下所述,這些“奈米線”還用於形成多閘極裝置200的源極/汲極區域的一些部分。源極/汲極區域可以單獨地或是共同地用來表示一源極或一汲極,其取決於上下文的內容。同樣地,如本文所使用的詞語,“奈米線”指的是圓柱形以及例如條形的其他配置的半導體層。下面進一步討論使用磊晶層208來定義裝置的一個或多個通道。
注意的是,在第2A和2B圖中係示出每一個磊晶層206和208中的四個(4)層。然而這僅是為了說明的目的,而不是為了限制超出權利要求中具體記載的內容。可以理解的是,可以在磊晶堆疊204中形成任意數量的磊晶層。磊晶層層的數量係取決於裝置200所需的通道區的數量。在一些實施例中,磊晶層208的數量是在2到10之間。
在一些實施例中,磊晶層206具有大約2-6奈米(nm)的厚度範圍。磊晶層206可以是具有大致上均勻的厚度。在一些實施例中,磊晶層208具有約6奈米-12奈米的厚度範圍。在一些實施例中,磊晶堆疊204的磊晶層208的厚度大致上均勻。如下文更詳細的描述,磊晶層208可做為後續形成的多閘極裝置的通道區,且磊晶層208的厚度係基於裝置性能考慮而做適當選擇。磊晶層206可以用來為後續形成的一多閘極裝置定義出相鄰通道區之間的一間隙距離(gap distance),而磊晶層206的厚度則基於裝置性能考慮而做選擇。
舉例來說,磊晶堆疊204的層的磊晶生長可以通過分子束磊晶(molecular beam epitaxy;MBE)製程、金屬有機化學氣相沉積(metalorganic chemical vapor deposition;MOCVD)製程以及/或其他合適的磊晶生長製程來進行。在一些實施例中,磊晶生長時,磊晶層208可包括例如與基底202相同的材料。在一些實施例中,磊晶層206和磊晶層208包括與基底202不同的材料。如上所述,至少在一些示例中,磊晶層206包括磊晶生長的矽鍺(SiGe)層,並且磊晶層208包括磊晶生長的矽(Si)層。或者是,在一些實施例中,磊晶層206和磊晶層208中的任一個可以包括其他材料例如鍺,一化合物半導體(compound semiconductor)例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦以及/或銻化銦,一合金半導體(alloy semiconductor)例如 SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP 以及/或 GaInAsP,或前述之組合。如所討論的,可以基於提供不同的氧化、蝕刻選擇性的特性來選擇磊晶層206和磊晶層208的材料。在各種實施例中,磊晶層206和磊晶層208基本上不含摻質(亦即,具有約0 cm -3至約1×10 17cm -3的一外來摻質濃度),其中例如在磊晶生長製程中係進行非有意的摻雜 製程。
然後,方法100進行到步驟106,其中係圖案化和形成鰭狀部件。參照如第2A圖所示的例子,在步驟106的一實施例中,形成從基底202延伸的多個鰭狀部件210。在各種實施例中,每個鰭狀部件210包括由基底202形成的一基底部分,磊晶堆疊204的每個磊晶層的部分係包括磊晶層206和磊晶層208。
鰭狀部件210可以使用合適的製程製造,包括光學微影和蝕刻製程。光學微影製程可以包括在基底202上方(例如,在磊晶堆疊204上方)形成一光阻層,將光阻層曝光成一圖案,進行曝光後烘烤製程,以及對光阻進行顯影,以形成包括光阻的一遮罩元件。在一些實施例中,可以使用電子束(e-beam)光學微影製程來對光阻層進行圖案化,以形成遮罩元件。然後,可以使用此遮罩元件來保護基底202的區域以及在其上形成的磊晶堆疊204,同時通過例如一硬質遮罩的遮罩層在未被遮蔽的區域中以一蝕刻製程形成溝槽(trenches),從而留下多個延伸的鰭部。可以使用一乾式蝕刻(例如,反應性離子蝕刻)、一濕式蝕刻以及/或其他合適的製程來蝕刻出溝槽。可以填充介電材料於溝槽中,而形成例如位於鰭部之間的淺溝槽隔離部件。
在一些實施例中,介電層可以包括二氧化矽(SiO 2)、氮化矽、氮氧化矽、摻氟矽酸鹽玻璃(FSG)、一低介電常數之介電質、前述的組合、以及/或本領域已知的其他合適的材料。在各種示例中,可以通過一化學氣相沉積(CVD)製程、一次大氣壓化學氣相沉積(sub atmospheric CVD;SACVD)製程、一可流動化學氣相沉積製程、一原子層沉積(ALD)製程、一物理氣相沉積(PVD)製程以及/或其他合適的製程,來沉積前述介電層。在一些實施例中,在沉積介電層之後,例如可以對裝置200進行退火,以提高介電層的質量。在一些實施例中,介電層(以及隨後形成的淺溝槽隔離部件(STI features)302)可以包括一多層結構,例如,介電層具有一個或多個襯層(liner layers)。
在形成淺溝槽隔離(STI)部件的一些實施例中,在介電層沉積之後,將沉積的介電材料減薄和進行平坦化,例如通過化學機械研磨(CMP)製程。CMP製程可以平坦化頂表面,從而形成淺溝槽隔離部件(STI features)302。並且下凹在鰭狀部件之間的STI部件302。參照如第3A圖所示的例子,STI部件302被下凹以提供在STI部件302上方延伸的鰭部210。在一些實施例中,凹陷製程可以包括一乾式蝕刻製程、一濕式蝕刻製程以及/或前述製程之組合。在一些實施例中,控制一凹陷深度(recessing depth)(例如,通過控制一蝕刻時間)以產生鰭狀部件210的暴露的上方部分的一期望高度“H”。高度“H”係暴露出磊晶堆疊204的各個層。
還可以使用許多其他實施例的在基底上形成鰭部的方法,包括例如定義鰭部區域(例如,通過遮罩或隔離區域)和以鰭部的形式磊晶生長而形成磊晶堆疊204。在一些實施例中,鰭部的形成可以包括一剪切製程(trim process)以減少鰭部的寬度。剪切製程可以包括濕式或乾式蝕刻製程。
然後,方法100進行至步驟108,其中係形成犧牲層/部件,例如是形成一虛置閘極結構。雖然目前的討論針對的是一替換閘極製程(replacement gate process),其中係形成一虛置閘極結構並且隨後被替換,但是其他的配置也是可能的。
參照第3A和3B圖,形成一閘極堆疊(gate stack)304。在一實施例中,閘極堆疊304是一虛置(犧牲)閘極堆疊,且隨後如參照方法100的步驟108中所討論的內容而去除閘極堆疊304。
因此,在使用一閘極後製製程的一些實施例中,閘極堆疊304是一虛置閘極堆疊,並且將在裝置200的一後續製程階段中被最終的閘極堆疊替換。特別是,具體而言,閘極堆疊304可以在一後續製程階段以一高介電常數之介電層(high-K (HK) dielectric layer)和金屬閘極電極(metal gate electrode;MG)所替換,如下所述。在一些實施例中,閘極堆疊304形成在基底202的上方,並且至少部分地設置在鰭狀部件210的上方。閘極堆疊304下面的鰭狀部件210的部分可以稱為通道區(channel region)。閘極堆疊304還可以定義出鰭狀部件210的一源極/汲極區域(source/drain region),例如,源極/汲極區域是在鰭狀部件210上且鄰近磊晶堆疊204的通道區域的相對側上的區域。
在一些實施例中,閘極堆疊304包括介電層和一虛置電極層(dummy electrode layer)。閘極堆疊304還可以包括一個或多個硬質遮罩層(例如,氧化物、氮化物等)。在一些實施例中,閘極堆疊304通過各種製程步驟而形成,例如通過層的沉積、圖案化、蝕刻以及其他合適的製程步驟而形成。示例性的層沉積製程包括化學氣相沉積(包括低壓化學氣相沉積(low-pressure CVD)和電漿輔助化學氣相沉積(plasma-enhanced CVD))、PVD、ALD、熱氧化、電子束蒸發、或其他合適的沉積技術、或前述技術之組合。例如,在形成閘極堆疊時,圖案化製程包括光學微影製程(例如,光學微影或電子束微影),還可以包括光阻塗佈(例如,旋塗)、軟烘烤、遮罩對準、曝光、後處理曝光烘烤、光阻顯影、清洗、乾燥(例如,旋轉乾燥以及/或硬烘烤)、其他合適的微影技術、以及/或前述之組合。在一些實施例中,蝕刻製程可以包括乾式蝕刻(例如,反應性離子蝕刻)、濕式蝕刻以及/或其他蝕刻方法。
如上所述,閘極堆疊304可以包括一額外的閘極介電層。例如,閘極堆疊304可以包括氧化矽。替換性地或附加地,閘極堆疊304的閘極介電層可以包括氮化矽、一高介電常數之介電材料、或其他合適的材料。在一些實施例中,閘極堆疊304的一電極層可以包括多晶矽(polysilicon)。閘極堆疊304也可以包括硬質遮罩層,硬質遮罩層的材料例如包括SiO 2、Si 3N 4、氮氧化矽,也可選擇性地包括碳化矽以及/或其他合適的成分。
然後,方法100進行至步驟110,其中在基底上沉積一間隔物材料層(spacer material layer)。參照第4A與4B圖的示例,在基板202上設置一間隔物材料層402。間隔物材料層402可以包括一介電材料,例如氧化矽、氮化矽、碳化矽、氮氧化矽、氮碳化矽(SiCN)膜、碳氧化矽、氮碳氧化矽(SiOCN)膜、以及/或前述之組合。在一些實施例中,間隔物材料層402包括多個層,例如主間隔物側壁、襯層、或其類似物。舉例而言,間隔物材料層402可以通過使用例如CVD製程、次大氣壓CVD(SACVD)製程、可流動式CVD製程、ALD製程、PVD製程,或其他合適的製程而形成。應注意的是,如在第4B圖中所示,間隔物材料層402係覆蓋磊晶堆疊204。
在一些實施例中,在間隔物材料層的沉積之後,是進行間隔物材料層的一回蝕(例如,非等向性蝕刻)。參照如第5A、5B圖的示例,在形成間隔物材料層402之後,可以回蝕間隔物材料層402,以暴露出鰭狀部件210的與閘極結構304相鄰但未被閘極結構304覆蓋的部分(例如,源極/汲極區域)。間隔物材料層可以保留在形成間隔元件的閘極結構304的側壁上。在一些實施例中,間隔物材料層402的回蝕可以包括一濕式蝕刻製程、一乾式蝕刻製程、多步驟的蝕刻製程、以及/或前述之組合。間隔物材料層402可以從暴露出的磊晶堆疊204的一頂表面和暴露出的磊晶堆疊204的側面去除,如第5A和5B圖所示。
然後,方法100進行到步驟112,其中係進行一氧化製程。由於磊晶堆疊204的材料層的不同氧化速率,氧化製程可以被稱為一選擇性氧化(selective oxidation),亦即某一些層被氧化。在一些示例中,可以通過將裝置200暴露於一濕式氧化製程、一乾式氧化製程、或前述製程之組合來進行氧化製程。在至少一些實施例中,裝置200係暴露於使用水蒸氣或蒸氣作為氧化劑的一濕式氧化製程,在大約1atm的壓力下,在大約400-600℃的溫度範圍內,並持續一段時間大約0.5-2小時。應注意的是,本文內容所提供的氧化製程條件僅是示例性的,並不意味著限制性的條件。應注意的是,在一些實施例中,此氧化製程可延伸以使得堆疊的磊晶層的氧化部分係鄰接於閘極結構304的側壁。
參照如第6A和6B圖的示例,在步驟112的一實施例中,裝置200係暴露於一氧化製程中,此氧化製程可以完全地氧化多個鰭狀部件210中的每一個磊晶層206。各個磊晶層206轉變成一氧化層(oxidized layer)602。氧化層602係延伸至閘極結構304,包括在間隔物材料層402的下方延伸。在一些實施例中,氧化層602具有約5至約25奈米(nm)的厚度範圍。在一實施例中,氧化層602可以包括矽鍺氧化物(SiGeOx)。
舉例來說,在磊晶層206包括SiGe並且磊晶層208包括Si的實施例中,更快的SiGe氧化速率(即,與Si相比)確保SiGe磊晶層206變得完全氧化,同時使磊晶層208的氧化程度可最小化或是不氧化。應可理解的是,可以為第一組成和第二組成的磊晶層中的每一個選擇上述討論的多種材料中的任何一種材料,以提供合適的不同氧化速率。
然後,方法100進行到步驟114,其中在基底上形成源極/汲極部件(source/drain features)。可以通過在源極/汲極區域中的鰭部210上提供磊晶材料的磊晶生長製程,來形成源極/汲極部件。在一實施例中,源極/汲極的磊晶材料形成為覆蓋保留在鰭部的源極/汲極區中的磊晶層的部分。參照第7A和7B圖的示例,源極/汲極部件702係形成在基底202上且在鰭部210中/之上,並且源極/汲極部件702與閘極堆疊304相鄰並相關聯。源極/汲極部件702包括通過在暴露的磊晶層上磊晶生長半導體材料而形成的磊晶層208以及/或氧化層602。注意的是,源極/汲極部件702的形狀僅是說明性的,並不旨在限制;如本領域普通技術人員所理解的,任何磊晶生長都將發生在半導體材料(例如,磊晶層208)上而不是介電材料(例如,氧化層602)上,如圖所示,磊晶生長可以是生長成使得它合併在介電層上(例如,超過氧化層 602)。
在各種實施例中,源極/汲極部件702的生長半導體材料可以包括Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP或其他合適的材料。在一些實施例中,源極/汲極部件702的材料可以在磊晶製程期間被原位摻雜。例如,在一些實施例中,磊晶生長的材料可以摻雜硼。在一些實施例中,磊晶生長的材料可以摻雜碳以形成Si:C源極/汲極部件,摻雜磷以形成Si:P源極/汲極部件,或是摻雜碳和磷以形成SiCP源極/汲極部件。在一實施例中,源極/汲極部件702的磊晶材料是矽,磊晶層208也是矽。在一些實施例中,源極/汲極部件702和磊晶層208可以包括類似的材料(例如,Si),但是被不同地摻雜。在其他實施例中,用於源極/汲極部件702的磊晶層包括第一半導體材料,磊晶生長材料208包括不同於第一半導體材料的一第二半導體材料。在一些實施例中,源極/汲極部件702的磊晶生長材料未被原位地摻雜(in-situ doped),而是以例如一佈植製程進行摻雜。
然後,方法100進行到步驟116,其中在基底上形成一層間介電層(inter-layer dielectric(ILD)layer)。參照第8A和8B圖,在步驟116的實施例中,在基底202上方形成一層間介電(ILD)層802。在一些實施例中,還在形成層間介電層802之前在基底202的上方形成一接觸蝕刻停止層(contact etch stop layer;CESL)。在一些示例中,接觸蝕刻停止層(CESL)包括一氮化矽層、一氧化矽層、一氮氧化矽層以及/或本領域已知的其他材料。接觸蝕刻停止層(CESL)可以通過電漿輔助化學氣相沉積(PECVD)製程以及/或其他合適的沉積或氧化製程而形成。在一些實施例中,層間介電(ILD)層802包括例如四乙氧基矽烷(TEOS)氧化物、未摻雜矽酸鹽玻璃或是摻雜氧化矽例如硼磷矽酸鹽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽酸鹽玻璃(PSG)、硼摻雜矽玻璃(BSG)以及/或其他合適的介電材料。層間介電層802可以通過PECVD製程或其他合適的沉積技術來沉積。在一些實施例中,在形成層間介電層802之後,半導體裝置200可以經受一高熱預算製程(thermal budget process),以使層間介電層802退火。
在一些示例中,在沉積層間介電層(以及/或CESL或其他介電層)之後,可以進行平坦化製程以暴露閘極堆疊件304的頂表面。例如,平坦化製程包括化學機械平坦化(CMP)製程,去除覆蓋閘極堆疊304的ILD層802(和接觸蝕刻停止層(CESL),如果存在)的部分,並且平坦化半導體裝置200的一頂表面。
然後,方法100進行到步驟118,其中虛置閘極(見步驟108)被去除。可以通過合適的蝕刻製程去除閘極電極以及/或閘極介電質。在一些實施例中,步驟118還包括選擇性去除裝置的通道區中的磊晶層。在實施例中,在通過去除虛置閘極電極提供的溝槽內的鰭狀部件中去除選擇的磊晶層(例如,將在其上和上方形成閘極結構的鰭部區域,或是通道區域)。參照第9A和9B圖的示例,從基底202的通道區和溝槽內去除磊晶層206。在一些實施例中,通過一選擇性濕式蝕刻製程以去除磊晶層206。在一些實施例中,選擇性濕式蝕刻包括氟化氫(HF)。在一實施例中,磊晶層206是SiGe,磊晶層208是矽,以可選擇性的去除SiGe磊晶層206。
然後,方法100進行到步驟120,其中係形成一閘極結構。閘極結構可以是一多閘極電晶體的閘極。最終的閘極結構可以是一高介電常數之介電材料/金屬閘極堆疊,但是也有可能是其他組成。在一些實施例中,閘極結構形成與多通道相關聯的閘極,其藉由通道區中的多條奈米線(nanowires)(奈米線之間係具有間隙)所提供。
參照第10A和10B圖的示例,在步驟120的一實施例中,一高介電常數之介電材料/金屬閘極堆疊1002形成在裝置200的溝槽內,其通過去除虛置閘極以及/或釋放奈米線而提供,如上述參照步驟118所述。在各種實施例中,高介電常數之介電材料/金屬閘極堆疊1002包括一界面層、形成在界面層上方的高介電常數之閘極介電層1004以及/或形成在高介電常數之閘極介電層上方的一金屬層1006。如文中所使用和描述的,高介電常數之閘極介電質係包括具有一高介電常數值的介電材料,例如大於熱氧化矽的介電常數值(大約3.9)的介電材料。在高介電常數之介電材料/金屬閘極堆疊內使用的金屬層可以包括一金屬、金屬合金或金屬矽化物。再者,高介電常數之介電材料/金屬閘極堆疊的形成可以包括沉積製程,以形成各種閘極材料、一個或多個襯層以及一個或多個CMP製程,以去除多餘的閘極材料並由此平坦化半導體裝置200的一頂表面。
在一些實施例中,高介電常數之介電材料/金屬閘極堆疊1002的界面層可以包括例如氧化矽(SiO 2)、HfSiO或氮氧化矽(SiON)的一介電材料。界面層可以通過化學氧化、熱氧化、原子層沉積(ALD)、化學氣相沉積(CVD)以及/或其他合適的方法而形成。高介電常數之介電材料/金屬閘極堆疊1002的閘極介電層1004可以包括例如氧化鉿(HfO 2)的一高介電常數之介電層。或者,高介電常數之介電材料/金屬閘極堆疊1002的閘極介電層1004可以包括其他高介電常數之介電質,例如TiO 2、HfZrO、Ta 2O 3、HfSiO 4、ZrO 2、ZrSiO 2、LaO、AlO、ZrO、TiO、Ta 2O 5、Y 2O 3、SrTiO 3(STO)、BaTiO 3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO 3(BST)、Al 2O 3、Si 3N 4、氮氧化物(SiON)、前述的組合或其他合適的材料。高介電常數之閘極介電層1002可以通過原子層沉積(ALD)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、氧化、以及/或其他合適的方法形成。高介電常數之介電材料/金屬閘極堆疊1002的金屬層可以包括單一層或替換性地一多層結構,例如一金屬層與一選定功函數的各種組合,以增強裝置性能(功函數金屬層)、一襯層、一濕潤層、一黏著層、一金屬合金或一金屬矽化物。舉例來說,高介電常數之介電材料/金屬閘極堆疊1002的金屬層可以包括Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W、Re、 Ir、Co、Ni、其他合適的金屬材料或是一前述之組合。在各種實施例中,高介電常數之介電材料/金屬閘極堆疊1002的金屬層可以通過ALD、PVD、CVD、電子束蒸鍍或其他合適的製程形成。進一步地,對於可能使用不同金屬層的N型場效電晶體(N-FET)和P型場效電晶體(P-FET),可以分別形成高介電常數之介電材料/金屬閘極堆疊1002的金屬層。在各種實施例中,可以進行一CMP製程,以從高介電常數之介電材料/金屬閘極堆疊1002的金屬層去除多餘的金屬,從而提供高介電常數之介電材料/金屬閘極堆疊1002的金屬層的一大致上平坦的頂表面。高介電常數之介電材料/金屬閘極堆疊1002的金屬層1006是在第10A和10B圖中示出。此外,金屬層可以提供一N型或P型功函數,可以做為電晶體(例如,FinFET)閘極電極,並且在至少一些實施例中,高介電常數之介電材料/金屬閘極堆疊1002的金屬層可以包括一多晶矽層。閘極結構1002包括置入每個磊晶層208的部分,此些部分形成多閘極裝置200的通道。
然後,方法100進行至步驟122,其中進行進一步的製造。半導體裝置可再通過進一步製程,以形成本領域已知的各種部件和區域。例如,通過後續製程可以在基底上形成接觸開口(contact openings)、接觸金屬以及各種接觸件/通孔/線和多層互連部件(例如,金屬層和層間介電質),配置為連接各種部件以形成可能包括一個或多個多閘極裝置(multi-gate devices)的一功能性電路。在進一步的一示例中,一多層互連件(multilayer interconnection)可以包括垂直互連件例如通孔或接觸件,以及水準互連件例如金屬線。各種互連部件可以採用各種導電材料,包括銅、鎢以及/或矽化物。在一示例中,可以使用一鑲嵌以及/或雙鑲嵌製程(dual damascene process)來形成一與銅相關的多層互連結構。此外,可以在方法100之前、期間和之後實施額外的製程步驟,並且可以根據方法100的各種實施例替換或去除上述的一些製程步驟。
第11圖是敘述一個示例製造方法1100的流程圖,此方法包括製作用於之後形成的通孔閘極(via gate;VG)導體的一金屬帽蓋(metal cap)。第11圖係結合第12A-12F來描述,第12A-12F圖是描述根據一些實施例,在一金屬閘極上方製作一鎢帽蓋(W cap)的各個階段的一示例區域1200(對應於第2B-10B圖中所示的頂部)的放大示意圖。在一些圖式中,為了便於敘述,可能會省略其中示出的元件或部件的一些附圖標記,以避免與其他元件或部件產生混淆。製造方法1100僅僅是一個例子,並非旨在將本公開的內容用來限制在權利要求書中明確提到的內容之外的範圍。在示例製造方法1100之前、期間和之後可以提供其他的步驟,並且對於示例製造方法1100的其他實施例,所述的一些步驟可以被移動、替換或取消。在圖中所述的半導體裝置中可以增加其他的部件,並且在半導體裝置的其他實施例中可以替換、修改或取消下面描述的一些部件。
應當理解的是,半導體裝置的一些部分可以通過一般的半導體技術製程流程進行製造,因此本文僅簡要描述一些製程。此外,示例性的半導體裝置可以包括各種其他裝置和部件,例如其他類型的裝置,包括例如其他型態的電晶體、雙極性接面(bipolar junction)電晶體、電阻器、電容器、電感器、二極體、熔斷器以及/或其他邏輯裝置等,但是為了更好地理解本揭露的概念而簡化敘述半導體裝置。
在步驟1102中,示例方法1100包括提供一基底,此基底包含一金屬閘極、在金屬閘極的側面上的閘極間隔物(gate spacers)、一底部導體蝕刻停止層(bottom conductor etch stop layer;BCESL)和一層間介電材料(ILD material)。第12A圖示出了在金屬閘極形成之後的一示例性區域1200(對應於第2B-10B圖中所示的頂部)。其描繪了金屬閘極(MG)1202(例如,高介電常數之介電材料/金屬閘極堆疊1002)、閘極間隔物1204(例如,間隔物材料層402)、底部導體蝕刻停止層(BCESL)1206和層間介電層1208(例如,層間介電層802)。
已知使用一黏合層(glue layer)作為金屬閘極 1202和隨後製造的一導電插塞(也稱為一通孔閘極或VG)之間的互連材料,以提供與金屬閘極1202的連接。本文所描述的公開了使用一金屬帽蓋代替一黏合層,以作為金屬閘極1202和一導電插塞之間的中介物的裝置、系統、技術和製品。由含鎢(W)的組成(本文稱為鎢材料)形成的一金屬帽蓋,可以做為具有比基於黏合層的中間物更低電阻的中間物。
在步驟1104,示例方法1100包括在基底上沉積鎢(W)材料。鎢材料可以在大約150至大約250mT的壓力下使用一物理氣相沉積(PVD)製程沉積。第12B圖示出了鎢材料沉積之後的示例性區域1200。如圖所示,鎢材料1210沉積在金屬閘極1202的上方、閘極間隔件1204的側壁周圍、沿著底部導體蝕刻停止層1206的側壁以及在底部導體蝕刻停止層1206的頂部。
第12C圖示出鎢材料沉積之後的區域1200,但也示出了一些沉積的鎢可與側壁相互作用,以在側壁上形成氧化鎢(WOx)1209。在一些示例中,氧化鎢(WOx)的形成可能佔側壁上形成的鎢材料的約63-100%,而氧化鎢(WOx)的形成可能佔底部導體蝕刻停止層(BCESL)的頂部和金屬閘極(MG)1202的頂部上形成的鎢材料的約17%。
在步驟1106中,示例方法1100包括去除不需要的鎢材料。 鎢材料可以在不同的階段被去除。在一個階段中,可以去除氧化鎢(WOx)。氧化鎢(WOx)可以通過使用氨水例如NH 4OH溶液的濕式蝕刻操作而去除。這可以導致從底部導體蝕刻停止層(BCESL)1206的側壁和閘極間隔物 1204的側壁去除基本上所有的氧化鎢(WOx),而對金屬閘極(MG)1202上方的鎢材料1210的厚度影響很小。在一實施例中,使用氨水包括在約50°C至約70°C下使用濃度為1:1至約1:50的NH 4OH。
第12D圖示出了從側壁去除氧化鎢(WOx)之後的區域1200。在此示例中,鎢材料1210保留在底部導體蝕刻停止層(BCESL)1206的頂部和金屬閘極(MG)1202的頂部,基本上所有(例如,95-100%)的鎢材料是從底部導體蝕刻停止層(BCESL)1206的側壁去除,並且大量的(例如,> 63%)從閘極間隔物1204的側壁去除,並且對金屬閘極(MG)1202上方的鎢材料1210的厚度1211具有小的影響。這可以在進一步的蝕刻操作之後允許一鎢帽蓋的更大厚度1211,以去除來自底部導體蝕刻停止層(BCESL)1206頂部的鎢材料和閘極間隔物 1204的側壁。
在去除不需要的鎢材料的第二階段中,可以採用使用一臭氧溶液的濕式蝕刻操作,從底部導體蝕刻停止層(BCESL)1206的頂部和閘極間隔物1204的側壁去除鎢材料。鎢材料可以通過使用一臭氧溶液(例如臭氧去離子水(DIO 3)溶液)的濕式蝕刻操作而被去除。這可以導致形成鎢帽蓋的鎢材料可以做為隨後形成的通孔閘極(VG)和金屬閘極(MG)之間的中介物。在一實施例中,使用臭氧溶液的濕式清潔操作包括在室溫下以大約5至100ppm的濃度使用DIO 3
去除不需要的鎢材料可以另外地或替代性地包括通過使用包含一臭氧成分的一混合物的濕式蝕刻操作,例如DIO 3溶液和鹽酸(HCL),從底部導體蝕刻停止層(BCESL)1206的頂部、底部導體蝕刻停止層(BCESL)1206的側壁和閘極間隔物1204的側壁,以去除鎢材料。
單獨使用臭氧溶液從底部導體蝕刻停止層(BCESL)1206的頂部、底部導體蝕刻停止層(BCESL)1206的側壁和閘極間隔物1204的側壁去除鎢材料的濕式清潔操作,在一些應用中可能不足以去除來自底部導體蝕刻停止層(BCESL)1206的側壁和閘極間隔物1204的側壁的所有鎢材料。可能會留下鎢材料殘留物,這可能會在金屬閘極(MG)和隨後形成的源極/汲極接觸件(這裡稱為MD)之間產生短路風險。
單獨使用一臭氧溶液從底部導體蝕刻停止層(BCESL)1206的頂部、底部導體蝕刻停止層(BCESL)的側壁和閘極間隔物1204的側壁去除鎢材料的濕式清潔操作,可能導致太多的鎢材料來自金屬閘極(MG)1202的頂部被去除而消除側壁上的鎢材料,因此阻礙了鎢帽蓋作為一中間件與使用一黏合層作為中間層相比的一些優勢(例如,較低的電阻)。
使用包含一臭氧溶液和HCL的一溶液進行濕式清潔操作,可以使得從底部導體蝕刻停止層(BCESL)1206的頂部、底部導體蝕刻停止層(BCESL)1206的側壁和閘極間隔物 1204的側壁去除鎢材料,而不會從金屬閘極(MG)1202頂部去除太多鎢材料。與單獨使用臭氧溶液相比,HCl可以更有效地去除與底部導體蝕刻停止層(BCESL)1206混合的鎢材料,從而減少蝕刻時間並減少從金屬閘極(MG)1202的頂部蝕刻鎢材料。如此可以允許鎢帽蓋具有更大厚度1211。
在一實施例中,使用包含臭氧和鹽酸混合在水中的溶液(DIO 3+鹽酸(HCl))以在金屬閘極(MG)上產生鎢帽蓋的濕式清潔操作被使用。此種混合物減少了在閘極間隔物上方延伸的一殘留天線形成的可能性,如果存在,可能會對隨後形成的源極/汲極接觸件造成短路風險。在一個示例中,溶液包括在室溫下濃度為5至100ppm的DIO 3和在約25°C至約50°C下濃度為1:1至約1:50的HCl。鎢帽蓋形成為具有在2到大約10nm範圍內的厚度,而且在閘極間隔物的上方沒有殘留物。
第12E圖示出了形成鎢帽蓋1212之後的區域1200,鎢帽蓋1212可以做為隨後形成的通孔閘極(VG)和金屬閘極(MG)1202之間的中介物。鎢帽蓋1212可以使用以下的各種組合來形成:(a)通過使用銨化學品例如一NH4OH溶液的濕式蝕刻操作;(b)使用臭氧(例如DIO 3)的濕式蝕刻操作;以及/或(c)使用包含臭氧和鹽酸混合在水中的溶液(DIO3+鹽酸(HCl))的濕式蝕刻操作。鎢帽蓋1212可以形成為具有在2nm至大約10nm範圍內的厚度而且在閘極間隔物上方沒有殘留物。
在步驟1108中,示例方法1100包括進行金屬汲極製造步驟,以在源極/汲極區域上方形成一金屬汲極(MD),並且在步驟1110中,包括進行通孔閘極製造步驟,以在自鎢帽蓋1212開始的自底向上製程中形成一通孔閘極(via gate;VG)。鎢帽蓋1212可以提供做為金屬閘極1202和通孔閘極1214之間的互連件,其比使用黏合層作為互連件所實現的電阻低。
金屬汲極製造操作(步驟1108)可包括在區域1200上方形成一圖案化遮罩並且暴露出層間介電層1208的一部分。圖案化遮罩可包括一光阻層。圖案化遮罩可以通過一光阻塗佈(例如,旋塗)、軟烘烤、遮罩對準、曝光、曝光後烘烤、顯影光阻、清洗、乾燥(例如,硬烘烤)以及/或前述之組合來形成。在一些其他實施例中,可以在光阻層下方形成各種圖案增進層以增進圖案轉移。圖案增進層可包括三層(tri-layer),包括一底部有機層、一中間無機層和一頂部有機層。圖案增進層還可以包括一抗反射塗層材料、一聚合物層、源自TEOS(四乙氧基矽烷)的一氧化物、氧化矽或含矽抗反射塗層(ARC)材料,例如含42%矽的ARC層。在又一些其他實施例中,圖案化遮罩層包括一硬質遮罩層。此硬質遮罩層包括一氧化物材料、氮化矽、氮氧化矽、一非晶碳材料、碳化矽或四乙氧基矽烷(TEOS)。
金屬汲極製造操作(步驟1108)還可以包括去除層間介電層1208的暴露部分,以形成暴露下面的源極/汲極結構的一開口。層間介電層1208的暴露部分可以通過合適的蝕刻製程去除,例如濕式蝕刻、乾式蝕刻或前述之組合。在蝕刻層間介電層1208期間,選擇蝕刻劑以提供層間介電層1208和其他結構(例如閘極間隔物1204和鎢帽蓋1212)之間的蝕刻選擇性。例如,層間介電層1208對蝕刻劑的蝕刻抵抗力低於閘極間隔物1204和鎢帽蓋1212,使得可以蝕刻層間介電層1208同時保持閘極間隔物1204和鎢帽蓋1212大致上完整。
金屬汲極製造操作(步驟1108)還可以包括去除圖案化遮罩,並在開口中形成源極/汲極接觸件(source/drain contact)1216。在開口中形成源極/汲極接觸件1216可以包括在接觸源極/汲極區的開口中填充導電材料,以形成源極/汲極接觸件1216。源極/汲極接觸件1216可以包括一層或多個層。例如,在一些實施例中,源極/汲極接觸件1216包括一襯層和一金屬填充材料(未單獨示出),通過例如CVD、ALD、無電鍍沉積(ELD)、PVD、電鍍、或另一種沉積技術而沉積。襯層,例如是一擴散阻擋層、一黏著層或其類似物,可以包括鈦、氮化鈦、鉭、氮化鉭、或其類似材料。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、釕、鎳或其類似物。可以進行例如CMP的一平坦化製程,以去除過多的襯層和導電材料。襯層和導電材料的留下部分則在開口中形成源極/汲極接觸件1216。
通孔閘極製造操作(步驟1110)可以包括形成穿過層間介電材料的一開口,以接觸鎢帽蓋1212。可以使用可接受的光學微影和蝕刻技術而形成​​用於通孔閘極製造操作的開口。可以通過CVD、ALD、無電鍍沉積(ELD)、PVD、電鍍或其他沉積技術來沉積通孔閘極。
第12F圖示出了形成一通孔閘極1214之後的區域1200。其示出了金屬閘極1202、閘極間隔物1204、一底部導體蝕刻停止層(BCESL)1206、通孔閘極1214、金屬源極/汲極接觸件1216和層間介電層1218。通孔閘極1214可以是或包括鎢、鈷、銅、釕、鋁、金、銀、其合金等或前述之組合。源極/汲極接觸件1216可以是銅、銅合金、銀、金、鎢、鈷、鋁、釕、鎳等。層間介電層是低介電常數之介電材料,例如氧化物。
在步驟1112中,示例方法1100包括進行進一步的製造步驟。半導體裝置可經由進一步製程,以形成本領域已知的各種部件和區域。例如,後續製程可以在基底上形成接觸開口、接觸金屬以及各種接觸件/通孔/線和多層互連部件(例如,金屬層和層間介電質),配置為連接各種部件以形成可能包括一個或多個多閘極裝置的一功能性電路。在進一步的例子中,一多層互連件可以包括垂直互連件例如通孔或接觸件,以及水平互連件例如金屬線。各種互連部件可以採用各種導電材料,包括銅、鎢以及/或矽化物。在一個示例中,可使用鑲嵌以及/或雙鑲嵌製程來形成一與銅相關的多層互連結構。此外,可以在方法1100之前、期間和之後實施額外的製程步驟,並且可以根據方法1100的各種實施例替換或去除上述的一些製程步驟。
第13圖是敘述根據本揭露的多個方面的一個示例製造方法1300的流程圖,此方法包括形成用於之後形成的通孔閘極(via gate;VG)導體的一金屬帽蓋(metal cap)。第13圖係結合第14A-14E圖、第15A-15B圖和第16A-16B圖來描述,其示出了在根據本揭露的一些實施例的製造方法1300中,一個半導體裝置的各個階段的剖面示意圖。製造方法1300僅僅是一個例子,並非旨在將本公開的內容用來限制在權利要求書中明確提到的內容之外的範圍。在示例製造方法1300之前、期間和之後可以提供其他的步驟,並且對於示例製造方法1100的其他實施例,所述的一些步驟可以被移動、替換或取消。在圖中所述的半導體裝置中可以增加其他的部件,並且在半導體裝置的其他實施例中可以替換、修改或取消下面描述的一些部件。
應當理解的是,半導體裝置的一些部分可以通過一般的半導體技術製程流程進行製造,因此本文僅簡要描述一些製程。此外,示例性的半導體裝置可以包括各種其他裝置和部件,例如其他類型的裝置,包括例如其他型態的電晶體、雙極性接面(bipolar junction)電晶體、電阻器、電容器、電感器、二極體、熔斷器以及/或其他邏輯裝置等,但是為了更好地理解本揭露的概念而簡化敘述半導體裝置。
第14A-14E圖示出了在製造的不同階段沿一Y軸平面的切線的二維視圖中的一個示例性半導體裝置的一部分。在一些圖式中,為了便於描繪圖式,可能會省略其中所示出的元件或部件的一些附圖標記,以避免混淆其他元件或部件。在第14A-14E圖中沒有說明或描述的其他方面,可能明顯地從下面的圖式和敘述中得知。半導體裝置可以是積體電路的一部分,例如一微處理器、記憶單元(如靜態隨機存取記憶體(static random-access memory;SRAM))以及/或其他積體電路。
在步驟1302中,示例方法1300包括提供一半導體結構,此半導體結構包括一閘極堆疊(gate stack)。 在各種實施例中,此閘極結構包括一低介電常數(LK)介電層(或閘極間隔物)和一閘極堆疊。 閘極堆疊包括一高介電常數(HK)介電層、一p型金屬閘極(PMG)層(例如p型功函數金屬層)、一n型金屬閘極(例如TiAl)層(例如n型功函數金屬層)、矽帽蓋(silicon cap;scap)層以及一黏合(例如TiN)層。
參考第14A圖的示例,在步驟1302的一實施例中,一閘極結構1400包括一低介電常數(LK)介電層1412和一閘極堆疊1401。閘極堆疊1401包括高介電常數(HK)介電層1410、p型金屬閘極(PMG)層1408、n型金屬閘極(例如TiAl)層1406、矽帽蓋層1404和黏合(例如TiN)層1402。在各種實施例中,高介電常數(HK)介電層1410的厚度約為5埃(angstroms)至約40埃,p型金屬閘極(PMG)層1408的厚度約為5A至約40埃,n型金屬閘極層1406的厚度約為5A至約40埃,矽帽蓋層1404的厚度約為5A至約40埃,以及黏合層1402的厚度約為10A至約150埃。
閘極堆疊1401可以是一n型通道金屬氧化物半導體(NMOS)或一p型通道金屬氧化物半導體(PMOS)的閘極堆疊,並且可以包含一個或多個功函數金屬層。 在使用一NMOS半導體裝置的實施例中,閘極堆疊1401可以同時包含一n型金屬閘極層1406和一p型金屬閘極層1408,或者閘極堆疊1401可以只包含一n型金屬閘極層1406而沒有p型金屬閘極層1408。在使用一PMOS半導體裝置的實施例中,閘極堆疊1401可以同時包含一n型金屬閘極層1406和一p型金屬閘極層1408,或者閘極堆疊1401可以只包含一p型金屬閘極層1408而沒有一n型金屬閘極層1406。
在各種實施例中,矽帽蓋層1404包括在閘極堆疊1401中,以抑制氧氣滲入p型金屬閘極層1408、n型金屬閘極層1406和高介電常數(HK)介電層1410,以防止功函數金屬層的氧化,從而防止臨界電壓(threshold voltage;Vt)變化並且改善整體裝置性能。在各種實施例中,矽帽蓋層1404包括矽材料,例如氧化矽(SiOx)。在各種實施例中,矽帽蓋層1404是通過將金屬閘極浸泡在一矽烷溶液中而形成。矽帽蓋層1404可以保護p型金屬閘極層1408和n型金屬閘極層1406免於受到蝕刻製程的影響,可以改善金屬閘極的性能,例如改善臨界電壓(Vt),並且可以防止臨界電壓的下降。
在步驟1304中,示例方法1300包括對閘極結構的頂表面進行一預處理,以便為後續沉積操作做沉積表面的準備,並且確保鎢在金屬閘極上的選擇性沉積。在各種實施例中,前述預處理是一氧氣(O 2氣體)電漿處理。此氧氣電漿處理也可以包括一定量的氦氣。在各種實施例中,前述預處理包括在大約1000托(Torr)至大約2500托的一壓力下以及在大約1000瓦至大約3000瓦的一功率下進行O 2氣體電漿處理。
在步驟1306中,示例方法1300包括在金屬閘極堆疊上沉積鎢(W)材料。鎢材料可以通過CVD、ALD、無電鍍沉積(ELD)、PVD、電鍍、前述之組合或其他沉積技術而沉積。
鎢材料的沉積使得鎢會在金屬堆疊的除了矽帽蓋層以外的每一層上形成。由於矽帽蓋層中矽材料的濃度很高,所以矽帽蓋層抑制了鎢材料的沉積。 因此,在閘極堆疊的上方係形成了一個不連續的鎢帽蓋。
參照第14B圖的例子,在步驟1306的一個實施例中,在閘極堆疊1401上已經形成了一不連續的鎢帽蓋1414(例如,一第一鎢層1414)。幾乎沒有任何的鎢材料在矽帽蓋層1404上形成,這是因為矽帽蓋層1404的矽含量抑制了鎢帽蓋的形成。由於含矽材料的介電特性,矽帽蓋層1404抑制了鎢帽蓋的覆蓋。在各種實施例中,不連續的金屬鎢帽蓋1414的厚度約為1-2奈米。
在各種實施例中,用於不連續的鎢帽蓋1414以及後來形成連續的鎢帽蓋1418的鎢材料基本上是不含氟的鎢(FFW)。不含氟的鎢(FFW)材料可以使用不含氟的前驅物形成。這可能是因為金屬閘極中的氟的存在會影響臨界電壓(Vt),並可能對半導體裝置的性能產生負面影響。不含氟的鎢(FFW)可包含少於5個原子百分比的氟污染物和大於3個原子百分比 (例如,約5個原子百分比、約7個原子百分比、約10個原子百分比)的氯污染物。不含氟的鎢(FFW)可以通過ALD或CVD沉積,使用一種或多種非基於氟的鎢前驅物,例如但不限於是五氯化鎢(WCl 5)或六氯化鎢(WCl 6)。
在步驟1308中,示例方法1300包括選擇性的去除先前沉積的鎢材料的一部分。通過蝕刻操作以去除沉積在閘極堆疊上且覆蓋了部分矽帽蓋層的鎢材料的該部分。這種對沉積的鎢材料的選擇性去除,係暴露出矽帽蓋層,以便進行後續的製程步驟。在各種的實施例中,鎢材料是通過使用臭氧水溶液(DIO 3)的濕式蝕刻製程而去除的。臭氧溶液的濃度可以是約10ppm至約100ppm。可以在室溫下進行蝕刻製程。
在步驟1310中,示例方法1300包括選擇性的去除矽帽蓋層的一部分,以在矽帽蓋層的頂表面中形成一凹部(recess)。去除矽帽蓋層的頂表面中的部分,以允許在後續的步驟中沉積其他的鎢材料,從而在閘極堆疊上形成一個連續的鎢帽蓋。在各種實施例中,通過使用一稀釋氫氟酸(dHF)的濕式蝕刻步驟以去除矽帽蓋層的頂表面的部分。此稀釋氫氟酸(dHF)是HF在水中的一溶液。在各種實施例中,氫氟酸(HF)與水的比例約為1:100至約1:500。在各種實施例中,溶液的溫度為大約25°C至約大50°C。
在選擇性地去除矽帽蓋層1404的部分以在矽帽蓋層1404的頂表面形成凹部1416的期間,不連續的鎢帽蓋1414可做為一蝕刻遮罩。在蝕刻矽帽蓋層1404的期間,不連續的鎢帽蓋1414可以幫助保護p型金屬閘極層1408和n型金屬閘極層1406不被蝕刻製程損傷。
參照第14C圖的例子,在步驟1310的一個實施例中,矽帽蓋層1404包括在矽帽蓋層1404的頂表面中的一凹部(recess)1416。
在步驟1312中,示例方法1300包括在閘極堆疊上沉積其他的鎢材料(例如,一第二鎢層),以形成一連續的鎢帽蓋1418。其他的鎢材料可以通過CVD、ALD、無電鍍沉積(ELD)、PVD、電鍍、前述之組合或其他沉積技術而沉積。由於凹部1416的存在,鎢材料在矽帽蓋層1404上形成並填充凹部1416。在各種實施例中,用於鎢帽蓋1418的其他的鎢材料基本上是不含氟的鎢(FFW)。
在一個示例性的實施例中,鎢材料是通過ALD製程而沉積的。可以控制沉積製程以獲得鎢帽蓋1418的理想厚度。在一些實施例中,鎢帽蓋1418的總厚度約為1-2奈米。在這個階段,鎢材料也可以部分的覆蓋低介電常數(LK)介電層1412。
參照第14D圖的示例,在步驟1312的一個實施例中,在閘極結構1400上的一連續的鎢帽蓋1418包括了在凹部1416中的部分,且連續的鎢帽蓋1418部分地位於低介電常數(LK)介電層1412上。
在步驟1314中,示例方法1300包括通過去除多餘的鎢材料來控制鎢帽蓋1418的橫向生長(lateral growth)。在各種實施例中,使用濕式蝕刻製程去除多餘的鎢材料。減少鎢帽蓋1418的橫向生長可以將鎢帽蓋限制在金屬閘極堆疊1401上方的區域,而不是低介電常數(LK)介電層1412的上方。如此可以減少漏電流的風險。在各種實施例中,鎢帽蓋1418的橫向蝕刻是使用臭氧水溶液(DIO 3)完成的。臭氧溶液的濃度可以是約10ppm至約100ppm。溶液可以是大約室溫的溫度。此方法1300可以形成一個連續的鎢帽蓋1418覆蓋在閘極堆疊1401上。
參照第14E圖的例子,在步驟1314的一實施例中,連續的鎢帽蓋1418被限制在閘極堆疊1401上,並且不覆蓋低介電常數(LK)介電層1412。
第15A-15B圖是閘極結構1400的一部分1500的剖面示意圖,其示出了由形成在矽帽蓋層1404中的凹部所定義的矽帽蓋層1404與鎢帽蓋1418之間的示例角度。第15A圖示出了可在閘極結構1400的左側形成的示例角度,第15B圖示出了可在閘極結構1400的右側形成的示例角度。
在矽帽蓋層1404和鎢帽蓋1418的界面上形成一矽化物層(silicide layer)1502,其中是在閘極堆疊1401上沉積額外的鎢材料而形成的連續的鎢帽蓋1418(例如,在步驟1312中)。前述矽化物層1502周圍的區域是由一第一角度1504、一第二角度1506和一第三角度1508所定義。第一角度1504是閘極堆疊的一水平面與矽化物層1502的一第一邊緣之間的角度。第二角度1506是閘極堆疊的一水平面與矽化物層1502的一第二邊緣之間的角度。第三角度1508是矽化物層1502的第一邊緣與矽化物層1502的第二邊緣之間的角度。
在各種實施例中,第15A圖中的角度和第15B圖中的角度之間沒有明顯差異。第15A圖中的第一角度1504與第15B圖中的第一角度1504具有大致上相同的大小(例如,有10%)。第15A圖中的第二角度1506與第15B圖中的第二角度1506具有大致上相同的大小(例如,10%)。第15A圖中的第三角度1508與第15B圖中的第三角度1508具有大致上相同的大小(例如,10%)。
在各種實施例中,第一角度1504是從大約10度到大約70度,第二角度1506是從大約10度到大約70度,而第三角度1508是從大約40度到大約160度。在所有實施例中,第三角度1508是等於180度減去角度1504和角度1506之和。
在形成連續的鎢帽蓋1418之後,可以進行進一步的製造步驟,例如金屬汲極製造步驟、通孔閘極製造步驟,以及進一步的製程以形成本領域已知的各種部件和區域。例如,後續製程可以在基底上形成接觸開口、接觸金屬以及各種接觸件/通孔/線和多層互連部件(例如,金屬層和層間介電質),配置為連接各種部件以形成可能包括一個或多個多閘極裝置的一功能性電路。在進一步的例子中,一多層互連件可以包括垂直互連件例如通孔或接觸件,以及水平互連件例如金屬線。各種互連部件可以採用各種導電材料,包括銅、鎢以及//或矽化物。在一個示例中,可使用鑲嵌以及/或雙鑲嵌製程來形成一與銅相關的多層互連結構。此外,可以在方法1300之前、期間和之後實施額外的製程步驟,並且可以根據方法1300的各種實施例替換或去除上述的一些製程步驟。
第16A-16B圖是一個示例性半導體裝置在形成一連續的鎢帽蓋和通孔閘極製造步驟後的剖面示意圖。第16A圖示出了一個示例性半導體裝置在沿著一X軸平面的一切線的二維視圖中的一部分,而第16B圖示出了示例性半導體裝置在沿著一Y軸平面的一切線的二維視圖中的一部分。
圖式中所描繪的是一基底1602、一淺溝槽隔離(STI)部件1603、一切割金屬閘極(cut metal gate;CMG)介電層1604、一底部導體蝕刻停止層(BCESL)1606、一金屬閘極堆疊(MG)1401、低介電常數(LK)介電層(閘極間隔物)1412、一鎢帽蓋1418、一通孔閘極1614、金屬源極/汲極(MD)導體1616以及一層間介電(ILD)材料1618。通孔閘極1614可以是或包括鎢、鈷、銅、釕、鋁、金、銀、前述之合金、其類似物,或前述之組合。金屬源極/汲極(MD)導體1616可以是銅、銅合金、銀、金、鎢、鈷、鋁、釕、鎳、或其類似物。層間介電(ILD)材料1618是一種低介電常數材料,例如一氧化物。
第17圖是根據一些實施例的一製程流程圖,其示出包括金屬汲極製造和通孔閘極製造的半導體製造的一示例方法1700。方法1700僅僅是一個示例,並且不旨在將本揭露用來限制超出權利要求中明確記載的內容。可以在方法1700之前、期間和之後提供其他的步驟,並且對於使用方法 1700的其他實施例,可以移動、替換或去除所描述的一些步驟。其他的部件可以添加到圖式的積體電路中,並且在其他實施例中,可以替換、修改或刪除下面所敘述的一些部件。
第17圖示出了根據一些實施例,可以在第11圖的步驟1108和步驟1110之間進行的示例步驟。第17圖可結合和第18A-18E圖,其中第18A-18E圖是描述根據一些實施例,在包括金屬汲極製造和通孔閘極製造的半導體製造的各個階段的示例性區域1800(對應於第12E-12F圖中所示的區域)的放大示意圖。為了便於描述,在一些附圖中,可能會省略其中示出的元件或部件的一些附圖標記,以避免與其他元件或部件產生混淆。
在步驟1702中,示例方法1700包括提供一基底,其具有一金屬閘極、金屬閘極側面上的閘極間隔物、形成在金屬閘極上方的鎢帽蓋、一蝕刻停止層(ESL)和在一源極/汲極區域的層間介電材料。
在步驟1704中,示例方法1700包括在鎢帽蓋的上方形成一第一層間介電(ILD)層。第一層間介電層可以包括或可以是例如氮化矽(SiN)的材料,但是其他合適的材料例如氧化矽(SiO2)、氧化鋁(AlO)、碳氧化矽(SiOC)、碳化矽(SiC)、氮化鋯(ZrN)、氧化鋯(ZrO)、前述的組合也可以使用。第一層間介電(ILD)層可以使用一沉積製程來沉積,例如電漿輔助原子層沉積(PEALD)、熱原子層沉積(熱ALD)、電漿輔助化學氣相沉積(PECVD)、或其他合適的方法。任何合適的沉積製程和製程條件亦可以使用。
在步驟1706中,示例方法1700包括形成一圖案化遮罩(patterned mask),其暴露出源極/汲極區上方的層間介電層的一部分。圖案化遮罩可以包括光阻層。圖案化遮罩可以通過光阻塗佈(例如,旋塗)、軟烘烤、遮罩對準、曝光、曝光後烘烤、顯影光阻、清洗、乾燥(例如,硬烘烤)以及/或前述之組合來形成。在一些其他實施例中,可以在光阻層下方形成各種圖案加強層以加強圖案轉移。圖案加強層可包括三層,包括一底部有機層、一中間無機層和一頂部有機層。圖案加強層還可包括一抗反射塗層(ARC)材料、一聚合物層、源自TEOS(四乙氧基矽烷)的一氧化物、氧化矽或是含矽抗反射塗層(ARC)材料,例如含42%矽的ARC層。在又一些其他實施例中,圖案化遮罩層包括一硬質遮罩層。此硬質遮罩層包括一氧化物材料、氮化矽、氮氧化矽、一非晶碳材料、碳化矽或四乙氧基矽烷(TEOS)。
參考第18A圖的例子,在完成步驟1702、1704和1706之後的一實施例中,區域1800包括具有金屬閘極1202的一基底1802、在金屬閘極1202的側面上的閘極間隔物1204、在金屬閘極1202上方形成的一鎢帽蓋1212、一蝕刻停止層1206、一源極/汲極區域1804上方的層間介電層1208、鎢帽蓋1212上方的第一層間介電層1218以及暴露出源極/汲極區域1804上方的層間介電層1208的一部分的一圖案化遮罩1806。
在步驟1708中,示例方法1700包括去除在源極/汲極區域上方的層間介電層的材料,以形成暴露下面的源極/汲極區的開口。可以通過合適的蝕刻製程,例如濕式蝕刻、乾式蝕刻或前述之組合,去除層間介電層材料的暴露部分。
在步驟1710中,示例方法1700包括可以選擇性的在已經暴露的源極/汲極區域上形成矽化物接觸件(silicide contacts)。可選擇的矽化物接觸件可以包含鈦(例如,矽化鈦(TiSi))以降低接觸件的蕭特基能障高度(Schottky barrier height)。然而,也可以使用其他金屬,例如鎳、鈷、鉺、鉑、鈀、或其類似物。矽化過程可以通過一合適的金屬層的覆蓋沉積來進行,隨後進行一退火步驟而使金屬與下方暴露出的源極/汲極區域的矽進行反應。
參照第18B圖的示例,在完成步驟1708和1710之後的一實施例中,區域1800包括暴露下面的源極/汲極區域1804的開口1808和可選擇性地在已經暴露的源極/汲極區域1804上形成的矽化物接觸件1809。第18B圖示出了源極/汲極區域1804上方的層間介電層1208已被去除,而以形成暴露下面的源極/汲極區域1804的開口(openings)1808。
在步驟1712中,示例方法1700包括在接觸源極/汲極區的開口中填充一導電材料,以形成源極/汲極接觸件。源極/汲極接觸件1216可以包括一層或多個層。例如,在一些實施例中,源極/汲極接觸件包括一襯層和一金屬填充材料(未單獨示出),可通過例如CVD、ALD、無電鍍沉積(ELD)、PVD、電鍍或其他沉積技術而進行沉積。襯層,例如一擴散阻擋層、一黏著層或其類似物,可以包括鈦、氮化鈦、鉭、氮化鉭、或其類似材料。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、釕、鎳、或其類似物。可以進行例如CMP的一平坦化製程,以去除過多的襯層和導電材料。襯層和導電材料的留下部分則在開口中形成源極/汲極接觸件。
參照第18C圖的示例,在完成步驟1712之後的一實施例中,區域1800包括於開口1808中填充一導電材料,此導電材料接觸源極/汲極區域1804以形成源極/汲極接觸件1216。
在步驟1714中,示例方法1700包括在源極/汲極區域和閘極區域的上方形成一接觸蝕刻停止層(CESL)。此接觸蝕刻停止層(CESL)可以使用一種或多種低溫沉積製程來沉積,例如使用化學氣相沉積、物理氣相沉積或原子層沉積進行沉積。
在步驟1716,示例方法1700包括在接觸蝕刻停止層之上形成一第二層間介電層。第二層間介電層可以由例如氧化物(例如,氧化矽(SiO 2))的介電材料形成,並且可以通過任何可接受的製程(例如,CVD、PEALD、熱原子層沉積、PECVD 等)沉積在 CESL 上。第二層間介電層也可以是由通過任何合適的方法(例如CVD、PECVD、可流動式CVD、或類似方法)沉積的其他合適的絕緣材料(例如PSG、BSG、BPSG、USG、或其類似物)而形成。在形成之後,可以固化第二層間介電層,例如通過一紫外線固化製程(ultraviolet curing process)而進行固化。
參見第18D圖的示例,在一實施例中,完成步驟1714和1716之後,區域1800包括形成在源極/汲極區域和閘極區域上方的接觸蝕刻停止層(CESL)1810和形成在接觸蝕刻停止層1810上方的第二層間介電層1812。
在步驟1718中,示例方法1700包括在接觸蝕刻停止層(CESL)和第二層間介電層中形成接觸件通孔開口(contact via openings),以用於容置隨後形成的閘極通孔接觸件(gate via contact)和源極/汲極通孔接觸件(source/drain via contacts)。通過使用一種或多種蝕刻製程而形成用於閘極通孔接觸件和源極/汲極通孔接觸件的開口。根據一些實施例,閘極通孔接觸件的開口是穿過第二層間介電層、接觸蝕刻停止層(CESL)和第一層間介電層而形成,且源極/汲極通孔接觸件的開口是穿過第二層間介電層和接觸蝕刻停止層(CESL)而形成。可以使用可接受的光學微影和合適的蝕刻技術的任何組合來形成前述開口,例如乾式蝕刻製程(例如,電漿蝕刻、反應性離子蝕刻(RIE)、物理性蝕刻例如,離子束蝕刻(IBE))、濕式蝕刻製程、類似製程、及前述製程的組合。然而,可以利用任何合適的蝕刻製程來形成接觸件的開口。
在步驟1720中,示例方法1700包括形成閘極通孔接觸件和源極/汲極通孔接觸件。閘極通孔接觸件形成在鎢帽蓋上並電性耦合到鎢帽蓋,源極/汲極通孔接觸件形成在源極/汲極接觸件上並電性耦合到源極/汲極接觸件。可以通過在開口中沉積金屬材料來形成閘極通孔接觸件以及/或源極/汲極通孔接觸件。金屬材料可以通過CVD、ALD、無電鍍沉積(ELD)、PVD、電鍍或其他沉積技術來沉積。閘極通孔接觸件以及/或源極/汲極通孔接觸件可以是或包括鎢、鈷、銅、釕、鋁、金、銀、前述的合金、其類似物、或前述之組合。
參照第18E圖的示例,在完成步驟1718和1720之後的實施例中,區域1800包括閘極通孔接觸件1214和源極/汲極通孔接觸件(未示出)。
在步驟1722中,示例方法1700包括進行進一步的製造操作。半導體裝置可以經過進一步製程,以形成本領域已知的各種部件和區域。例如,後續製程可以在基底上形成各種接觸件/通孔/線和多層互連部件(例如,金屬層和層間介電層),配置為連接各種部件以形成可能包括一個或多個多閘極裝置的一功能性電路。在進一步的例子中,多層互連部件可以包括垂直互連件例如通孔或接觸件,以及水平互連件例如金屬線。各種互連部件可以採用各種導電材料,包括銅、鎢以及/或矽化物。在一個示例中,使用鑲嵌以及/或雙鑲嵌製程來形成與銅相關的多層互連結構。此外,可以在方法1700之前、期間和之後實施其他的製程步驟,並且根據方法1700的各種實施例,可以替換或去除上述的一些製程步驟。
所描述的系統、方法、技術和產品係可關於形成一改良的通孔閘極(VG)。所描述的系統、方法、技術和產品可用於範圍廣泛的半導體裝置,包括GAA和FinFET。
一種半導體裝置包括在半導體基底上的一閘極結構和在前述閘極結構上形成的一連續的鎢帽蓋(W cap)。此閘極結構包括一高介電常數之介電層;一個或多個功函數金屬層;包括一氧化矽材料的一矽帽蓋(silicon cap;scap)層;以及一黏合層(glue layer)。連續的鎢帽蓋設置在前述閘極結構上。前述連續的鎢帽蓋包括:設置在前述高介電常數之介電層、一個或多個功函數金屬層和前述黏合層上的一第一鎢材料層(first W material layer)。前述連續的鎢帽蓋還包括設置在前述第一鎢材料層上以及在未被前述第一鎢材料層的鎢材料覆蓋的前述矽帽蓋層的一頂表面的一凹部上的一第二鎢材料層(second W material layer)。
在前述該半導體裝置的某些實施例中,連續的鎢帽蓋是通過以下方式形成的:沉積鎢材料(例如一第一鎢材料層)在前述閘極結構上,前述閘極結構在第一沉積步驟期間形成了一不連續的鎢帽蓋,其中有部分的矽帽蓋層未被鎢材料所覆蓋;使用第一蝕刻步驟對前述不連續的鎢帽蓋進行回蝕刻,以暴露出前述矽帽蓋層;在第二蝕刻步驟期間,對前述矽帽蓋層的一頂表面進行回蝕刻,以在前述頂表面形成凹部;在第二沉積步驟期間在前述閘極結構上沉積其他的鎢材料(例如一第二鎢材料層),以形成前述連續的鎢帽蓋;以及在第三蝕刻步驟期間去除不需要的鎢材料。
在半導體裝置的某些實施例中,前述連續的鎢帽蓋的厚度約為1奈米至約2奈米。
在某些實施例中,前述半導體裝置更包括:在前述閘極結構的一第一側,在前述矽帽蓋層和前述連續的鎢帽蓋之間的一第一矽化物層(first silicide layer);在前述閘極結構的一第二側,在前述矽帽蓋層和前述連續的鎢帽蓋之間的一第二矽化物層(second silicide layer)。在前述第一矽化物層周圍的一區域,其由一第一角度、一第二角度和一第三角度所定義,其中前述第一角度是前述閘極結構的一水平面與前述第一矽化物層的一第一邊緣之間的一角度,前述第二角度是前述閘極結構的一水平面與前述第一矽化物層的一第二邊緣之間的一角度,以及前述第三角度是前述第一矽化物層的前述第一邊緣與前述第一矽化物層的前述第二邊緣的一角度。以及在前述第二矽化物層周圍的一區域,其由一第四角度、一第五角度和一第六角度所定義,其中前述第四角度是前述閘極結構的前述水平面與前述第二矽化物層的一第一邊緣之間的一角度,前述第五角度是前述閘極結構的前述水平面與前述第二矽化物層的一第二邊緣之間的一角度,以及前述第六角度是前述第二矽化物層的前述第一邊緣與前述第二矽化物層的前述第二邊緣之間的一角度。
在前述半導體裝置的某些實施例中,前述第一角度的大小大致上等於前述第四角度的大小,前述第二角度的大小大致上等於前述第五角度的大小,前述第三角度的大小大致上等於前述第六角度的大小。
在前述半導體裝置的某些實施例中,前述第一角度的大小是從約10度到約70度,前述第二角度的大小是從約10度到約70度,以及前述第三角度的大小是等於180度減去第一角度和第二角度的大小之總和。
在前述半導體裝置的某些實施例中,前述鎢材料包括不含氟的鎢(fluorine free tungsten;FFW)。
一種半導體裝置的製造方法,包括接收一閘極結構,前述閘極結構包括一高介電常數之介電層;一或多個功函數金屬層;包括一氧化矽材料的一矽帽蓋(silicon cap;scap)層;以及一黏合層。前述半導體裝置的製造方法更包括在第一沉積步驟期間在前述閘極結構上沉積鎢(W)材料,其中在前述閘極結構上形成一不連續的鎢帽蓋(discontinuous W cap);在第一蝕刻步驟期間回蝕刻在矽帽蓋層上方的鎢材料;在第二蝕刻步驟中蝕刻矽帽蓋層的一頂表面,其中在前述矽帽蓋層中形成一凹部(recess);在第二沉積步驟中,在包括前述矽帽蓋層的前述閘極結構中,在前述矽帽蓋層的前述凹部上沉積其他的鎢材料,以在前述閘極結構上形成一連續的鎢帽蓋(continuous W cap);以及在第三蝕刻步驟中通過去除不需要的鎢材料,以控制前述鎢帽蓋的橫向生長。
在某些實施例中,前述半導體裝置的製造方法更包括在前述第一沉積步驟之前,使用一氧氣(O 2)氣體電漿處理對前述閘極結構的前述頂表面進行預處理。
在前述半導體裝置的製造方法的某些實施例中,對前述閘極結構的前述頂表面進行預處理包括在約1000托至約2500托的一壓力下和在約1000瓦至約3000瓦的一功率下,對前述閘極結構的前述頂表面進行預處理。
在前述半導體裝置的製造方法的某些實施例中,其中在第一蝕刻步驟期間回蝕刻在前述矽帽蓋層上的前述鎢材料係包括在第一蝕刻步驟期間,使用濃度在約10ppm至約100ppm的一臭氧水溶液(DIO 3)回蝕刻在前述矽帽蓋層上的前述鎢材料。
在前述半導體裝置的製造方法的某些實施例中,在第二蝕刻步驟期間蝕刻前述矽帽蓋層的前述頂表面包括在第二蝕刻步驟期間使用在去離子水中的一稀釋氫氟酸(HF)溶液,約1:100至約1:500的體積比,對前述矽帽蓋層的前述頂表面進行蝕刻。
在前述半導體裝置的製造方法的某些實施例中,在第三次蝕刻步驟期間去除不需要的鎢材料係包括:在第三次蝕刻步驟期間,使用臭氧-去離子水(DIO 3)去除不需要的鎢材料。
在前述半導體裝置的製造方法的某些實施例中,前述鎢材料包括不含氟的鎢(fluorine free tungsten;FFW)。
一種半導體裝置的製造方法,包括接收一閘極結構,前述閘極結構包括一高介電常數之介電層;一或多個功函數金屬層;包括一氧化矽材料的一矽帽蓋(silicon cap;scap)層;以及包括氮化鈦(TiN)的一黏合層。前述半導體裝置的製造方法更包括使用氧氣(O 2)氣體對前述閘極結構的一頂表面進行預處理;在第一沉積步驟期間在前述閘極結構上沉積不含氟的鎢(FFW)材料,其中在前述閘極結構上形成一不連續的鎢帽蓋;在第一蝕刻步驟期間使用一臭氧溶液(DIO 3)回蝕刻前述矽帽蓋層上的前述不含氟的鎢材料,其中前述矽帽蓋層的一頂表面被暴露出來以做進一步的製程處理;在第二次蝕刻步驟期間,使用稀釋的氫氟酸(dHF)蝕刻前述矽帽蓋層的前述頂表面,其中在前述矽帽蓋層中形成一凹部;在第二次沉積步驟期間,在包括前述矽帽蓋層的前述閘極結構中,在前述矽帽蓋層中的前述凹部上沉積其他的不含氟的鎢材料,其中係形成一連續的不含氟的鎢帽蓋(a continuous FFW cap);以及在第三次蝕刻步驟期間,通過使用一臭氧溶液(DIO 3)從閘極間隔物的表面去除不必要的不含氟的鎢材料,以控制前述連續的不含氟的鎢帽蓋的橫向生長。
在前述半導體裝置的製造方法的某些實施例中,在第二次沉積步驟期間,在包括前述矽帽蓋層的前述閘極結構中,在前述矽帽蓋層中的前述凹部上沉積其他的不含氟的鎢材料係包括: 在前述閘極結構的一第一側的前述矽帽蓋層和前述FFW帽蓋之間形成一第一矽化物層(first silicide layer);在前述閘極結構的一第二側的前述矽帽蓋層和前述FFW帽蓋之間形成一第二矽化物層(second silicide layer);形成在前述第一矽化物層周圍的一區域,其由一第一角度、一第二角度和一第三角度所定義,其中前述第一角度是前述閘極結構的一水平面與前述第一矽化物層的一第一邊緣之間的一角度,前述第二角度是前述閘極結構的前述水平面與前述第一矽化物層的一第二邊緣之間的一角度,以及前述第三角度是前述第一矽化物層的前述第一邊緣和前述第一矽化物層的前述第二邊緣之間的一角度;以及在前述第二矽化物層周圍形成一區域,其由一第四角度、一第五角度和一第六角度所定義,其中前述第四角度是前述閘極結構的前述水平面與前述第二矽化物層的一第一邊緣之間的一角度,前述第五角度是前述閘極結構的前述水平面與前述第二矽化物層的一第二邊緣之間的一角度,以及前述第六角度是前述第二矽化物層的前述第一邊緣與前述第二矽化物層的前述第二邊緣之間的一角度。
在前述半導體裝置的製造方法的某些實施例中,前述第一角度的大小大致上等於前述第四角度的大小,前述第二角度的大小大致上等於前述第五角度的大小,而前述第三角度的大小大致上等於前述第六角度的大小。
在前述半導體裝置的製造方法的某些實施例中,前述第一角度的大小是從約10度到約70度,前述第二角度的大小是從約10度到約70度,前述第三角度的大小是等於180度減去前述第一角度和前述第二角度的大小之總和。
在前述半導體裝置的製造方法的某些實施例中,在第一次蝕刻步驟期間和第三次蝕刻步驟期間使用的前述臭氧溶液(DIO 3)溶液的濃度為約10ppm至約100ppm。
在前述半導體裝置的製造方法的某些實施例中,前述稀釋的氫氟酸(dHF)包括氫氟酸和去離子水,其體積比為約1:100至約1:500。
在前述半導體裝置的製造方法的某些實施例中,使用氧氣(O 2)氣體對前述閘極結構的前述頂表面進行預處理,包括在約1000托至約2500托的一壓力以及約1000瓦至約3000瓦的一功率下,使用氧氣(O 2)氣體對前述閘極結構的前述頂表面進行預處理。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100,1100,1300,1700:方法 102,104,106,108,110,112,114,116,118,120,122,1102,1104,1106,1108,1110,1112,1302,1304,1306,1308,1310,1312,1314,1702,1704,1706,1708,1710,1712,1714,1716, 1718,1720,1722:步驟 200:半導體裝置(多閘極裝置) 202,1602,1802:基底 204:磊晶堆疊 206,208:磊晶層 210:鰭狀部件(鰭部) 302,1603:淺溝槽隔離部件(STI部件) 304:閘極堆疊 402:間隔物材料層 602:氧化層 702:源極/汲極部件 802:層間介電層 1002,1401:高介電常數之介電材料/金屬閘極堆疊(閘極堆疊) 1006:金屬層 1200,1800:區域 1202:金屬閘極 1204:閘極間隔物 1206,1606:底部導體蝕刻停止層(蝕刻停止層) 1208:層間介電層 1209:氧化鎢(WOx) 1210:鎢材料 1211:厚度 1212:鎢帽蓋 1214,1614:通孔閘極(閘極通孔接觸件) 1216:源極/汲極接觸件 1218:層間介電層(第一層間介電層) 1400:閘極結構 1402:黏合層 1404:矽帽蓋層 1406:n型金屬閘極層 1408:p型金屬閘極(PMG)層 1410:高介電常數(HK)介電層 1412:低介電常數(LK)介電層 1414:不連續的鎢帽蓋(第一鎢層) 1416:凹部 1418:連續的鎢帽蓋(第二鎢層) 1500:閘極結構的一部分 1502:矽化物層 1504:第一角度 1506:第二角度 1508:第三角度 1604:切割金屬閘極介電層 1616:金屬源極/汲極導體 1618:層間介電材料 1804:源極/汲極區域 1806:圖案化遮罩 1808:開口 1809:矽化物接觸件 1810:接觸蝕刻停止層 1812:第二層間介電層 X-X’:第一切線 H:高度
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1圖是敘述包括製造多閘極裝置的半導體製造的一示例方法的流程圖。 第2A、3A、4A、5A、6A、7A、8A、9A和10A圖是一示例性半導體裝置的等角視圖。 第2B、3B、4B、5B、6B、7B、8B、9B和10B圖是根據一些實施例的示例性製造過程中,沿第一切線X-X’所繪製的一示例性半導體裝置的對應的剖面側視圖。 第11圖是敘述進一步半導體製造的一示例方法的流程圖,此半導體製造包括製作用於之後形成的通孔閘極導體的一金屬帽蓋。 第12A-12F圖是描述根據一些實施例在一金屬閘極上方製作一鎢帽蓋(W cap)的各個階段的放大示意圖。 第13圖是根據一些實施例的一製程流程圖,其示出包括金屬汲極製造和通孔閘極製造的進一步半導體製造的一示例方法。 第14A-14E圖示出了根據一些實施例,在一金屬閘極上方製造一連續的金屬帽蓋的各種階段的一個示例性半導體裝置的放大示意圖。 第15A-15B圖示出了在形成一金屬帽蓋之後,在閘極結構的一部分中的角度的示意圖,包括分別在閘極結構的左側和右側的示例角度。 第16A-16B圖分別示出了在形成鎢帽蓋和通孔閘極之後,沿著半導體裝置的一Y切面和一X切面的示意圖。 第17圖是根據一些實施例的一製程流程圖,其示出包括金屬汲極製造和通孔閘極製造的半導體製造的一示例方法。 第18A-18E圖是描述根據一些實施例的一半導體裝置,在包括金屬汲極製造和通孔閘極製造的半導體製造的各個階段的一示例性區域的放大示意圖。
1400:閘極結構
1401:閘極堆疊
1402:黏合層
1404:矽帽蓋層
1406:n型金屬閘極層
1408:p型金屬閘極(PMG)層
1410:高介電常數(HK)介電層
1412:低介電常數(LK)介電層
1418:連續的鎢帽蓋

Claims (20)

  1. 一種半導體裝置,包括: 一閘極結構在一半導體基底的上方,該閘極結構包括: 一高介電常數之介電層; 一或多個功函數金屬層; 一矽帽蓋(silicon cap;scap)層包括一氧化矽材料;和 一黏合層(glue layer);以及 一連續的鎢帽蓋(continuous W cap)在該閘極結構的上方,該連續的鎢帽蓋包括: 一第一鎢材料層(first W material layer),設置在該高介電常數之介電層、該個或該些功函數金屬層和該黏合層的上方;以及 一第二鎢材料層(second W material layer),設置在該第一鎢材料層上方以及在未被該第一鎢材料層的鎢材料覆蓋的該矽帽蓋層的一頂表面的一凹部(recess)的上方。
  2. 如請求項1所述的半導體裝置,其中該連續的鎢帽蓋的一厚度約為1奈米至約2奈米。
  3. 如請求項1所述的半導體裝置,更包括: 一第一矽化物層(first silicide layer),位於該閘極結構的一第一側的該矽帽蓋層和該連續的鎢帽蓋之間; 一第二矽化物層(second silicide layer),位於該閘極結構的一第二側的該矽帽蓋層和該連續的鎢帽蓋之間; 在該第一矽化物層周圍的一區域,其由一第一角度、一第二角度和一第三角度所定義,其中該第一角度是該閘極結構的一水平面與該第一矽化物層的一第一邊緣之間的一角度,該第二角度是該閘極結構的一水平面與該第一矽化物層的一第二邊緣之間的一角度,以及該第三角度是該第一矽化物層的該第一邊緣與該第一矽化物層的該第二邊緣的一角度;以及 在該第二矽化物層周圍的一區域,其由一第四角度、一第五角度和一第六角度所定義,其中該第四角度是該閘極結構的該水平面與該第二矽化物層的一第一邊緣之間的一角度,該第五角度是該閘極結構的該水平面與該第二矽化物層的一第二邊緣之間的一角度,以及該第六角度是該第二矽化物層的該第一邊緣與該第二矽化物層的該第二邊緣之間的一角度。
  4. 如請求項3所述的半導體裝置,其中該第一角度的大小大致上等於該第四角度的大小,該第二角度的大小大致上等於該第五角度的大小,該第三角度的大小大致上等於該第六角度的大小。
  5. 如請求項3所述的半導體裝置,其中該第一角度的大小是從約10度到約70度,該第二角度的大小是從約10度到約70度,以及該第三角度的大小是等於180度減去該第一角度和該第二角度的大小之總和。
  6. 如請求項1所述的半導體裝置,其中該鎢材料包括不含氟的鎢(fluorine free tungsten;FFW)。
  7. 一種半導體裝置的製造方法,包括: 接收一閘極結構,包括: 一高介電常數之介電層; 一或多個功函數金屬層; 包括一氧化矽材料的一矽帽蓋(silicon cap;scap)層;以及 一黏合層; 在第一沉積步驟期間在該閘極結構上沉積鎢(W)材料,其中在該閘極結構上形成一不連續的鎢帽蓋(discontinuous W cap); 在第一蝕刻步驟期間回蝕刻在該矽帽蓋層上方的該鎢材料; 在第二蝕刻步驟中蝕刻該矽帽蓋層的一頂表面,其中在該矽帽蓋層中形成一凹部(recess); 在第二沉積步驟中,在包括該矽帽蓋層的該閘極結構中,在該矽帽蓋層的該凹部上方沉積其他的鎢材料,以在該閘極結構上形成一連續的鎢帽蓋(continuous W cap);以及 在第三蝕刻步驟中通過去除不需要的鎢材料,以控制該連續的鎢帽蓋的橫向生長。
  8. 如請求項7所述的半導體裝置的製造方法,更包括在該些第一沉積步驟之前,使用一氧氣(O 2)氣體電漿處理對該閘極結構的該頂表面進行預處理。
  9. 如請求項8所述的半導體裝置的製造方法,其中對該閘極結構的該頂表面進行預處理包括在約1000托至約2500托的一壓力下和在約1000瓦至約3000瓦的一功率下,對該閘極結構的該頂表面進行預處理。
  10. 如請求項7所述的半導體裝置的製造方法,其中在該些第一蝕刻步驟期間回蝕刻在該矽帽蓋層上的該鎢材料係包括:在該些第一蝕刻步驟期間,使用濃度在約10ppm至約100ppm的一臭氧水溶液(DIO 3)回蝕刻在該矽帽蓋層上的該鎢材料。
  11. 如請求項7所述的半導體裝置的製造方法,其中在該些第二蝕刻步驟期間蝕刻該矽帽蓋層的該頂表面係包括:在該些第二蝕刻步驟期間使用在去離子水中的一稀釋氫氟酸(HF)溶液,約1:100至約1:500的體積比,對該矽帽蓋層的該頂表面進行蝕刻。
  12. 如請求項7所述的半導體裝置的製造方法,其中在該些第三次蝕刻步驟期間去除不需要的鎢材料係包括:在該些第三次蝕刻步驟期間,使用臭氧-去離子水(DIO 3)去除不需要的鎢材料。
  13. 如請求項7所述的半導體裝置的製造方法,其中該鎢材料包括不含氟的鎢(fluorine free tungsten;FFW)。
  14. 一種半導體裝置的製造方法,包括: 接收一閘極結構,該閘極結構包括: 一高介電常數之介電層; 一或多個功函數金屬層; 包括一氧化矽材料的一矽帽蓋(silicon cap;scap)層;以及 包括氮化鈦(TiN)的一黏合層; 使用氧氣(O 2)氣體對該閘極結構的一頂表面進行預處理; 在第一沉積步驟期間在該閘極結構上沉積不含氟的鎢(FFW)材料,其中在該閘極結構上形成一不連續的鎢帽蓋; 在第一蝕刻步驟期間使用一臭氧溶液(DIO 3)回蝕刻該矽帽蓋層上的該不含氟的鎢材料,其中該矽帽蓋層的一頂表面被暴露出來以進行後續製程; 在第二次蝕刻步驟期間,使用稀釋的氫氟酸(dHF)蝕刻該矽帽蓋層的該頂表面,其中在該矽帽蓋層中形成一凹部; 在第二次沉積步驟期間,在包括該矽帽蓋層的該閘極結構中,在該矽帽蓋層中的該凹部上沉積其他不含氟的鎢材料,其中係形成一連續的不含氟的鎢帽蓋(a continuous FFW cap);以及 在第三次蝕刻步驟期間,通過使用一臭氧溶液(DIO 3)從閘極間隔物的表面去除不必要的不含氟的鎢材料,以控制該連續的不含氟的鎢帽蓋的橫向生長。
  15. 如請求項14所述的半導體裝置的製造方法,其中在該些第二次沉積步驟期間,在包括該矽帽蓋層的該閘極結構中,在該矽帽蓋層中的該凹部上沉積其他不含氟的鎢材料係包括: 在該閘極結構的一第一側的該矽帽蓋層和該不含氟的鎢帽蓋之間形成一第一矽化物層(first silicide layer); 在該閘極結構的一第二側的該矽帽蓋層和該不含氟的鎢帽蓋之間形成一第二矽化物層(second silicide layer); 形成在該第一矽化物層周圍的一區域,其由一第一角度、一第二角度和一第三角度所定義,其中該第一角度是該閘極結構的一水平面與該第一矽化物層的一第一邊緣之間的一角度,該第二角度是該閘極結構的該水平面與該第一矽化物層的一第二邊緣之間的一角度,以及該第三角度是該第一矽化物層的該第一邊緣和該第一矽化物層的該第二邊緣之間的一角度;以及 在該第二矽化物層周圍形成一區域,其由一第四角度、一第五角度和一第六角度所定義,其中該第四角度是該閘極結構的該水平面與該第二矽化物層的一第一邊緣之間的一角度,該第五角度是該閘極結構的該水平面與該第二矽化物層的一第二邊緣之間的一角度,以及該第六角度是該第二矽化物層的該第一邊緣與該第二矽化物層的該第二邊緣之間的一角度。
  16. 如請求項15所述的半導體裝置的製造方法,其中該第一角度的大小大致上等於該第四角度的大小,該第二角度的大小大致上等於該第五角度的大小,而該第三角度的大小大致上等於該第六角度的大小。
  17. 如請求項15所述的半導體裝置的製造方法,其中該第一角度的大小是從約10度到約70度,該第二角度的大小是從約10度到約70度,該第三角度的大小是等於180度減去該第一角度和該第二角度的大小之總和。
  18. 如請求項14所述的半導體裝置的製造方法,其中在該些第一次蝕刻步驟期間和在該些第三次蝕刻步驟期間所使用的該臭氧溶液(DIO 3)溶液的濃度為約10ppm至約100ppm。
  19. 如請求項14所述的半導體裝置的製造方法,其中該稀釋的氫氟酸(dHF)包括氫氟酸和去離子水,其一體積比為約1:100至約1:500。
  20. 如請求項14所述的半導體裝置的製造方法,其中使用氧氣(O2)氣體對該閘極結構的該頂表面進行預處理係包括在約1000托至約2500托的一壓力以及約1000瓦至約3000瓦的一功率下,使用氧氣(O 2)氣體對該閘極結構的該頂表面進行預處理。
TW112109312A 2022-06-27 2023-03-14 半導體裝置及其製造方法 TW202401586A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US17/809,030 US20230420543A1 (en) 2022-06-27 2022-06-27 Semiconductor device and manufacturing method thereof
US17/809,030 2022-06-27
US202263382839P 2022-11-08 2022-11-08
US63/382,839 2022-11-08
US18/153,491 2023-01-12
US18/153,491 US20230420534A1 (en) 2022-06-27 2023-01-12 Semiconductor device and manufacturing method thereof

Publications (1)

Publication Number Publication Date
TW202401586A true TW202401586A (zh) 2024-01-01

Family

ID=89323560

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112109312A TW202401586A (zh) 2022-06-27 2023-03-14 半導體裝置及其製造方法
TW112109311A TW202401825A (zh) 2022-06-27 2023-03-14 半導體裝置及其製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW112109311A TW202401825A (zh) 2022-06-27 2023-03-14 半導體裝置及其製造方法

Country Status (3)

Country Link
US (2) US20230420543A1 (zh)
CN (1) CN220510045U (zh)
TW (2) TW202401586A (zh)

Also Published As

Publication number Publication date
US20230420543A1 (en) 2023-12-28
TW202401825A (zh) 2024-01-01
US20230420534A1 (en) 2023-12-28
CN220510045U (zh) 2024-02-20

Similar Documents

Publication Publication Date Title
US11355611B2 (en) Multi-gate device and method of fabrication thereof
US11942548B2 (en) Multi-gate device and method of fabrication thereof
US9559190B2 (en) Semiconductor structure and manufacturing method thereof
CN106469654B (zh) 半导体装置及其制造方法
CN108074983B (zh) 多栅极半导体器件及其制造方法
US9455344B2 (en) Integrated circuit metal gate structure having tapered profile
US20190088743A1 (en) Multi-gate device and method of fabrication thereof
US7939392B2 (en) Method for gate height control in a gate last process
TWI382498B (zh) 半導體元件的製造方法
TWI489589B (zh) 製造半導體裝置的方法
KR102073398B1 (ko) 반도체 장치 및 이의 제조 방법
US20220285225A1 (en) Integrated Circuit Device With Low Threshold Voltage
TWI779834B (zh) 半導體裝置及其製造方法
CN220569680U (zh) 半导体装置
TW202401586A (zh) 半導體裝置及其製造方法
US20240097005A1 (en) Area-selective removal and selective metal cap
TW202414835A (zh) 半導體裝置、其製造方法及在金屬閘極結構的上方形成連續的金屬蓋的方法
US20230047598A1 (en) Semiconductor devices and methods of manufacture
CN117423736A (zh) 半导体装置、其制造方法及形成连续的金属盖的方法
CN117936571A (zh) 半导体装置及栅极结构的形成方法
TW202414070A (zh) 半導體裝置及其製造方法