TW202316431A - 半導體裝置、正反器電路及製造積體電路的方法 - Google Patents

半導體裝置、正反器電路及製造積體電路的方法 Download PDF

Info

Publication number
TW202316431A
TW202316431A TW111125739A TW111125739A TW202316431A TW 202316431 A TW202316431 A TW 202316431A TW 111125739 A TW111125739 A TW 111125739A TW 111125739 A TW111125739 A TW 111125739A TW 202316431 A TW202316431 A TW 202316431A
Authority
TW
Taiwan
Prior art keywords
region
width
active
conductive region
conductive
Prior art date
Application number
TW111125739A
Other languages
English (en)
Other versions
TWI844881B (zh
Inventor
黃敬餘
彭士瑋
曾威程
林威呈
曾健庭
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202316431A publication Critical patent/TW202316431A/zh
Application granted granted Critical
Publication of TWI844881B publication Critical patent/TWI844881B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/353Generators characterised by the type of circuit or by the means used for producing pulses by the use, as active elements, of field-effect transistors with internal or external positive feedback
    • H03K3/356Bistable circuits
    • H03K3/3562Bistable circuits of the master-slave type
    • H03K3/35625Bistable circuits of the master-slave type using complementary field-effect transistors
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/353Generators characterised by the type of circuit or by the means used for producing pulses by the use, as active elements, of field-effect transistors with internal or external positive feedback
    • H03K3/356Bistable circuits
    • H03K3/356104Bistable circuits using complementary field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/353Generators characterised by the type of circuit or by the means used for producing pulses by the use, as active elements, of field-effect transistors with internal or external positive feedback
    • H03K3/356Bistable circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種半導體裝置包含第一導電區、第二導電區及第三導電區以及第一主動區及第二主動區。該第一導電區具有一第一寬度且沿著一第一方向延伸。該第二導電區具有一第二寬度且沿著該第一方向延伸。該第一寬度大於該第二寬度。該第一主動區具有一第三寬度且沿著該第一方向延伸。該第二主動區具有一第四寬度且沿著該第一方向延伸。該第三寬度小於該第四寬度。該第三導電區沿著一第二方向延伸且電連接至該第一導電區。該第二方向與該第一方向不同。該第一主動區及該第二主動區為鄰近主動區。

Description

半導體裝置及其設計及製造方法
本揭露係關於半導體裝置及其設計及製造方法。
為了將更多閘極整合於半導體裝置中,具有小面積的電路係合乎需要的。當電路面積減小時,可對電路的運行速度產生不利影響。當在半導體裝置中設計及應用具有小面積的電路時,可能亦需要避免或至少減輕速度降低。
以下揭示內容提供了用於實施所提供主題的不同特徵的許多不同實施例或實例。下面描述元件及配置的具體實例係為了簡化本揭露。當然,這些僅僅為實例並且不意欲作為限制。舉例而言,在以下描述中,在第二特徵上方或第二特徵上形成第一特徵可包含第一特徵及第二特徵直接接觸地形成的實施例,且亦可包含可在第一特徵與第二特徵之間形成有附加特徵以使得第一特徵及第二特徵可不直接接觸的實施例。此外,本揭露可在各種實例中重複附圖標記及/或字母。此重複係出於簡單及清晰的目的,且本身並不指示所論述的各種實施例及/或組態之間的關係。
另外,為易於描述,在本文中可使用諸如「在……之下」、「下方」、「下部」、「上方」、「上部」及類似者的空間相對術語來描述如圖中所說明的一個部件或特徵與另一部件或特徵的關係。除了圖中所描繪的定向之外,空間相對術語亦意欲涵蓋裝置在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞可同樣相應地進行解譯。
根據本揭露的一些實施例,可減小積體電路的電路面積以整合更多的閘極。在一些實施例中,示例性半導體裝置包含第一導電區、第二導電區及第三導電區以及第一主動區及第二主動區。第一主動區及第二主動區為鄰近主動區。第一導電區具有第一寬度且沿著第一方向延伸。第二導電區具有第二寬度且沿著第一方向延伸。第一寬度大於第二寬度。第一主動區具有第三寬度且沿著第一方向延伸。第二主動區具有第四寬度且沿著第一方向延伸。第三寬度小於第四寬度。第三導電區沿著第二方向延伸且電連接至第一導電區。第二方向與第一方向不同。較小寬度的第一主動區有助於減小半導體裝置的電路面積。
根據一些實施例,示例性半導體裝置包括三金屬佈線正反器電路。舉例而言,正反器電路包含具有第一寬度且沿著第一方向延伸的第一導電區。正反器電路亦包含具有第二寬度且沿著第一方向延伸的第二導電區。第一寬度大於第二寬度。正反器電路亦包含具有第三寬度及第四寬度且沿著第一方向延伸的主動區。第三寬度小於第四寬度。此外,正反器電路包含沿著第二方向延伸且電連接至第一導電區的第三導電區。第二方向與第一方向不同。第三寬度(亦即,較小寬度)的主動區亦有助於減小正反器電路的電路面積。
第1A圖說明根據一些實施例的示例性三金屬佈線正反器電路100A的圖。如第1A圖中所示出,正反器電路100A包含MUX輸入電路110 (零件1)、主開關電路120 (零件2)、從開關電路130 (零件3)、Q輸出電路140 (零件4)、第一時脈相位反相器(clock phase inverter,CP-INV)電路150 (零件5)、第二時脈相位反相器(clock phase inverter,CP-INV)電路160 (零件6)及讀出賦能反相器(sense enable inverter,SE-INV)電路170 (零件7)。MUX輸入電路110 (零件1)、主開關電路120 (零件2)、從開關電路130 (零件3)及Q輸出電路140 (零件4)串聯耦接為具有掃描輸入的正反器電路。
MUX輸入電路110 (零件1)用以選擇掃描輸入(scan input,SI)訊號或資料(D)輸入訊號。主開關電路120 (零件2)用以在時脈相位(clock phase,CP)訊號自0改變為1時鎖存資料且在CP訊號自1改變為0時傳遞資料。從開關電路130 (零件3)用以在CP訊號自0改變為1時傳遞資料且在CP訊號自1改變為0時鎖存資料。Q輸出電路140 (零件4)用以輸出輸出訊號(Q)。第一CP-INV電路150 (零件5)及第二CP-INV電路160 (零件6)串聯耦接以接收輸入時脈相位(clock phase,CP)訊號且將時脈相位訊號clkb及clkbb輸出至主開關電路120 (零件2)及從開關電路130 (零件3)。SE-INV電路170 (零件7)接收選擇賦能(selection enable,SE)訊號且將反相選擇賦能(inverted selection enable,SEB)訊號輸出至MUX輸入電路110 (零件1)。
第1B圖說明根據一些實施例的第1A圖中所說明的示例性三金屬佈線正反器電路100A的平面圖100B。如第1B圖中所示出,MUX輸入電路110 (零件1)、主開關電路120 (零件2)、從開關電路130 (零件3)及Q輸出電路140 (零件4)置放在一起作為資料路徑。第一CP-INV電路150 (零件5)及第二CP-INV電路160 (零件6)與主開關電路120 (零件2)及從開關電路130 (零件3)一起置放以提供時脈相位訊號clkb及clkbb。SE-INV電路170 (零件7)與MUX輸入電路110 (零件1)一起置放。
第1C圖說明根據一些實施例的第1A圖中所說明的示例性三金屬佈線正反器電路100A的佈局100C。佈局100C對應於三金屬佈線正反器電路100A (第1A圖)的平面圖100B (第1B圖)。佈局100C的左上部分對應於MUX輸入電路110 (零件1)的掃描輸入(scan-input,SI)電路。佈局100C的左下部分對應於MUX輸入電路110 (零件1)的資料(D)輸入電路。佈局100C具有兩單元高度且包含在金屬0 (metal 0,M0)層中的每一單元高度內沿著Y軸方向的三M0區(亦即,導電區)的間隔。如第1C圖的左手側所示出,沿著Y軸方向的標籤包含電力軌(V SS)、正常M0、第一寬M0、正常M0、電力軌(V DD)、正常M0、第二寬M0、正常M0及電力軌(V SS)。這些標籤指示沿著其在佈局100C中的對應區的Y軸方向的位置。正常M0標籤指示此位置處的M0區具有根據佈局100C的半導體製程的所需寬度。第一寬M0標籤或第二寬M0標籤指示此位置處的M0區具有比根據佈局100C的半導體製程的所需寬度更寬的寬度。亦即,對應於第一寬M0位置或第二寬M0位置的M0區具有比對應於正常M0位置的M0區的寬度更寬的寬度。
如第1C圖中所示出,佈局100C包含沿著X軸方向延伸的主動區111a、111b、111c及111d。主動區為擴散區,該擴散區為電路中的電晶體的源極或汲極。舉例而言,主動區111a (第1C圖)包括複數個擴散區,這些擴散區為示例性三金屬佈線正反器電路100C (第1C圖)中的電晶體的源極及/或汲極。佈局100C亦包含對應於在Y軸方向上的第一寬M0位置及/或第二寬M0位置的一或多個寬M0區(未示出)。寬M0區具有比其他M0區(未示出)的寬度更大的寬度,其他M0區對應於沿著Y軸方向的正常M0位置。
在上側,佈局100C包含第一主動區111a及第二主動區111b。主動區111b具有AR緩行結構。亦即,主動區111b具有兩個不同寬度,其中主動區111b的第一寬度小於主動區111b的第二寬度。主動區111b的第二寬度等於主動區111a、111c及111d的寬度,亦即,佈局100C中的主動區的正常寬度。
在上側,佈局100C包含位於主動區111a上方的金屬擴散(metal diffusion,MD)區112,亦即,導電區。MD區112沿著Y軸方向且朝向具有第一寬度(亦即,主動區111b的較小(第一)寬度)的主動區111b延伸。MD區112藉由切割MD (cut MD,「CMD」)區114 (亦即,隔離區)與具有第一寬度的主動區111b分隔開。CMD區114為MD區112與MD區116之間的非導電區(第2C圖)。CMD區114藉由將MD區切割成MD區112及116來形成(第2C圖)且將MD區112與MD區116隔離(第2C圖)。MD區112藉由連通柱(VD) 113 (耦接在第一寬M0區與MD區112之間的連通柱)電連接至第一寬M0區。
主動區111b的較小寬度為佈局100C提供減小的面積。CMD區114以較小寬度(亦即,小主動區)將MD區112與主動區111b分隔開。VD 113將MD區112電連接至第一寬M0區(第1C圖中未示出;參見例如第2B圖中的寬M0區117),以便連接至佈局100C的其他電路。如第1C圖中所示出,區115包含主動區111a的一部分、具有較小寬度的主動區111b (亦即,小主動區)的一部分、VD 113、CMD區114及第一寬M0區的一部分(第1C圖中未示出;參見例如第2B圖中的寬M0區117)。下面參考第2A圖至第2F圖描述了區115的更多細節。
第2A圖說明根據一些實施例的第1A圖中所說明的示例性三金屬佈線正反器電路100A的一部分的電路200A。電路200A對應於第1A圖中具有掃描輸入的正反器電路,包含MUX輸入電路110 (零件1)、主開關電路120 (零件2)、從開關電路130 (零件3)及Q輸出電路140 (零件4)。如第2A圖中所示出,電路200A包含自第一多工器(亦即,mx1)的資料(D)輸入電路至輸出電路的臨界路徑202。臨界路徑202為電路200A在電訊號傳播方面的最長電路路徑。
如第2A圖中所示出,第一多工器(亦即,mx1)的掃描輸入(scan input,SI)電路211a及p型電晶體211b具有AR緩行結構。SI電路211a及p型電晶體211b的AR緩行結構對應於第1C圖中的較小寬度的主動區111b (亦即,小主動區)的一部分。亦即,代替資料(D)輸入電路,將AR緩行結構應用於第一多工器(亦即,mx1)的SI電路以避免延遲電路200A的臨界路徑202上的訊號傳播。儘管亦將AR緩行結構應用於臨界路徑202上的p型電晶體211b,但AR緩行結構僅略微地影響訊號傳播。
電路200A包含用於SI輸入及D輸入的第二多工器(亦即,mx2)。第二多工器(亦即,mx2)的SI及D輸入電路經由金屬區連接在一起。
第2B圖說明根據一些實施例的第2A圖中所說明的示例性三金屬佈線正反器電路200A的一部分的佈局200B。佈局200B對應於第1C圖中的佈局100C的左側。如第2B圖中所示出,佈局200B包含主動區111a、111b-1及111b-2、MD區112及116、CMD區114、VD 113及寬M0區117。VD 113將MD區112電連接至寬M0區117。主動區111a具有W AR_2的第二寬度且對應於第1C圖中具有正常寬度的主動區111a。主動區111b-1具有W AR_1的第一寬度且對應於第1C圖中具有較小寬度的主動區111b。主動區111b-2具有W AR_2的第二寬度且對應於第1C圖中具有正常寬度的主動區111b的區。主動區111b-1及111b-2藉由氧化物擴散邊緣上共用聚矽(common polysilicon on oxide diffusion edge,CPODE)耦接在一起。
如第2B圖中所示出,寬度W AR_1比寬度W AR_2小W AR-jog的微分寬度。W AR-jog的微分寬度為佈局200B中的主動區111b-1的減小寬度。MD區112與主動區111b-1以SPC MD-AR的間隔分隔開。
第2C圖說明根據一些實施例的第2B圖中所說明的佈局200B中的橫截面視圖CC'。如第2C圖中所示出,橫截面視圖CC’包含主動區111a及111b-1、磊晶(epitaxy,EPI)區210及220、超鰭(hyper-fin,HF)區(未標記)、MD區112及116、VD 113、CMD區114及寬M0區117。EPI區210及主動區111a藉由HF區與EPI區220及主動區111b-1分隔開。CMD區114以SPC MD-AR的間隔將MD區112與主動區111b-1分隔開。SPC MD-AR的間隔需要大於臨限值間隔以避免時間相依介電崩潰(time-dependent dielectric breakdown,TDDB)。主動區111b-1具有較小寬度以容納SPC MD-AR的所需間隔。此外,AR緩行結構有助於避免或減輕MD區112與EPI區220之間的MD-EPI洩露。因此,AR緩行結構的小主動區減小電路面積且沒有增加對半導體製造製程的要求。
第2D圖說明根據一些實施例的第2A圖中所說明的示例性三金屬佈線正反器電路200A的一部分的佈局200D。佈局200D對應於第2B圖中的佈局200B且包含CMD區的附加細節。如第2D圖中所示出,MD區112電連接至寬M0區117。寬M0區117具有W WM0的寬度,W WM0的寬度大於佈局100C (第1C圖)中單元高度內的其他M0區的寬度。
如第2C圖中所示出,鑒於AR緩行結構,CMD區114形成於CMD區112與116之間。在第2D圖中,佈局200D亦包含MD區122及126以及其間的CMD區118。CMD區118與CMD區114相距PITCH CMD的距離。PITCH CMD的距離需要大於間距臨限值,使得CMD區114及118以及佈局200D的其他CMD區可藉由單次圖案化及單次蝕刻(single-patterning and single-etching,1P1E)方法來製造。亦即,佈局200D的任何兩個給定CMD區彼此間隔開至少間距臨限值;因此,不需要使用兩個光罩圖案來製造兩個CMD區。
第2E圖說明根據一些實施例的第2A圖中所說明的示例性三金屬佈線正反器電路200A的一部分的電路200E。如第2E圖中所示出,電路200E包含兩個多工器mx1及mx2。多工器mx1及mx2各自包含SI輸入電路及D輸入電路。為多工器mx1的SI及D輸入電路供應正電壓位準(亦即,V DD)。為多工器mx2的SI及D輸入電路供應負電壓位準(亦即,V SS)。
第2F圖說明根據一些實施例的第2A圖中所說明的示例性三金屬佈線正反器電路200A的一部分的佈局200F。佈局200F實施電路200E。佈局200F的中間部分實施多工器mx1。如第2F圖中所示出,將AR緩行結構應用於多工器mx1的SI輸入路徑。佈局200F的上部部分及下部部分分別實施多工器mx2的SI及D輸入電路。SI輸入電路經由金屬1 (metal 1,M1)區與D輸入路徑耦接在一起。下表I列出但不限於2奈米(nanometer,nm)製程的三金屬佈線電路單元中的佈局200F的複數個示例性區大小。特殊構築MD長度為經由連通柱(例如VD 113)耦接至寬M0區(例如寬M0區117)的特殊MD區(例如MD 112)的長度。
I
(nm)
單元高度 92
PO間距 45
NP間隔 28
AR寬度 18
小AR寬度 13.5
AR緩行 4.5
向AR間隔的MD 13
MD寬度 15
MD space_Y 15
最小MD長度 23
特殊構築MD長度 36(≥23+10)
VD寬度 10
圍封VD/MD 1
圍封VD/M0 0
M0寬度 10
寬M0寬度 11
M0間隔 10
在一些實施例中,示例性正反器電路包含第一導電區、第二導電區、第三導電區及主動區。第一導電區具有第一寬度且沿著第一方向延伸。第二導電區具有第二寬度且沿著第一方向延伸。第一寬度大於第二寬度。第三導電區沿著第二方向延伸且電連接至第一導電區。第二方向與第一方向不同。主動區具有第三寬度及第四寬度且沿著第一方向延伸。第三寬度小於第四寬度。
舉例而言,如第2A圖至第2F圖中所示出且參考表I中的示例性尺寸,三金屬佈線正反器電路200A包含寬M0區117 (第2D圖),亦即,導電區。寬M0區117具有W WM0的寬度且沿著X軸方向延伸。寬M0區117的寬度為11奈米(nanometer,nm) (表I),亦即,W WM0= 11 nm。三金屬佈線正反器電路200A亦包含對應於第1C圖中的正常M0位置的M0區。M0區為導電區,具有W M0的寬度且沿著X軸方向延伸。M0區的寬度為10 nm (表I),亦即,W M0= 10 nm。寬M0區117的寬度(亦即,11 nm)大於M0區的寬度(亦即,10 nm)。
三金屬佈線正反器電路200A亦包含主動區111b (第2B圖或第1C圖),亦即,主動區。主動區111b沿著X軸方向延伸且具有主動區111b-1的寬度W AR_1及主動區111b-2的寬度W AR_2。主動區111b-1的寬度W AR_1為13.5 nm (表I),亦即,W AR_1= 13.5 nm。主動區111b-2的寬度W AR_2為18 nm (表I),亦即,W AR_1= 18 nm。主動區111b-1的寬度W AR_1(亦即,13.5 nm)小於主動區111b-2的寬度W AR_2(亦即,18 nm)。三金屬佈線正反器電路200A亦包含MD區112 (第2B圖),亦即,導電區。MD區112沿著Y軸方向延伸且藉由VD 113電連接至寬M0區117。Y軸方向與X軸方向不同。
在一些實施例中,在具有第一導電區、第二導電區及第三導電區以及主動區的以上示例性正反器電路中,第一導電區、第二導電區及第三導電區以及主動區為第一複數個電路。示例性正反器電路亦包含第二複數個電路。第二複數個電路包含示例性正反器電路的最長電路路徑。舉例而言,除了寬M0區117 (第2D圖)之外,M0區對應於位於被視為第一複數個電路的第一寬M0 (第1C圖)、MD區112 (第2B圖)及主動區111b (第1C圖)上方的正常M0位置,三金屬佈線正反器電路200A (第2A圖)亦包含沿著自多工器mx1的D輸入電路至輸出電路(Q)的臨界路徑202的第二複數個電路。
在一些實施例中,具有第一導電區、第二導電區及第三導電區以及主動區的以上示例性正反器電路具有多工器。多工器包含資料輸入電路及掃描輸入電路。掃描輸入電路包含第一主動區的至少一部分。舉例而言,如第2A圖中所示出,三金屬佈線正反器電路200A包含多工器mx1。多工器mx1包含D輸入電路及SI輸入電路。如第2F圖中所示出,經由主動區111b-1 (第2B圖)實施多工器mx1的SI輸入電路。主動區111b-1為主動區111b (第2B圖)的一部分。
第3圖說明根據一些實施例的包含小主動區的電路單元的示例性部分的佈局300A。包含佈局300A的電路單元可為正反器電路100A或200A (第1A圖至第1C圖或第2A圖至第2F圖)、及閘、反及閘、或閘、反或閘、互斥或閘、異或非閘、多工器、反相器、正反器、鎖存器、加法器或其他電路。電路單元可用於實施用於各種應用程式的各種電路。如第3圖中所示出,佈局300A包含主動區111a、小主動區111b-1、MD區112、VD 113及寬M0區117。上面參考第1A圖至第1C圖及第2A圖至第2F圖描述了這些區及連通柱。
在一些實施例中,示例性半導體裝置包含第一導電區、第二導電區、第三導電區、第一主動區及第二主動區。第一導電區具有第一寬度且沿著第一方向延伸。第二導電區具有第二寬度且沿著第一方向延伸。第一寬度大於第二寬度。第一主動區具有第三寬度且沿著第一方向延伸。第二主動區具有第四寬度且沿著第一方向延伸。第三寬度小於第四寬度。第三導電區沿著第二方向延伸且電連接至第一導電區。第二方向與第一方向不同。第一主動區及第二主動區為鄰近主動區。
舉例而言,當半導體裝置由包含佈局300A的電路單元實施時,半導體裝置包含寬M0區117 (亦即,導電區),如第3圖中所示出。寬M0區117具有W WM0的寬度(例如11 nm)且沿著X軸方向延伸。半導體裝置亦包含位於寬M0區117 (亦即,導電區)上方的正常寬度(未示出)的M0區,如上面參考第1C圖所描述。M0區具有W M0的寬度(例如10 nm)且沿著X軸方向延伸。寬M0區117的寬度W WM0(例如11 nm)大於M0區的寬度W M0(例如10 nm)。
半導體裝置亦包含小主動區111b-1 (亦即,主動區)。主動區111b-1具有W AR_1的寬度(例如13.5 nm)且沿著X軸方向延伸。半導體裝置亦包含主動區111a (亦即,主動區)。主動區111a具有W AR_2的寬度(例如18 nm)且沿著X軸方向延伸。主動區111b-1的寬度W AR_1(例如13.5 nm)小於主動區111a的寬度W AR_2(例如18 nm)。半導體裝置亦包含MD區112 (亦即,導電區)。MD區112沿著Y軸方向延伸且經由VD 113電連接至寬M0區117。Y軸方向與X軸方向不同。寬M0區117、位於寬M0區117上方的M0區、MD區112、主動區111b-1及主動區111a、VD 113位於實施三金屬佈線正反器電路100A或200A的電路單元中。主動區111a及111b-1彼此緊鄰且因此為鄰近主動區。
在一些實施例中,在具有第一導電區、第二導電區及第三導電區以及第一主動區及第二主動區的以上示例性半導體裝置中,第一導電區位於第一層中。第三導電區位於第二層中。第二方向垂直於第一方向。舉例而言,在由包含佈局300A的電路單元實施的以上半導體裝置中,寬M0 117位於金屬0層中。MD 112位於MD層中。Y軸方向垂直於X軸方向。
在一些實施例中,具有第一導電區、第二導電區及第三導電區以及第一主動區及第二主動區的以上示例性半導體裝置亦包含耦接在第一導電區與第三導電區之間的連通柱。連通柱具有等於或小於第一導電區的第一寬度的第五寬度。舉例而言,在由包含佈局300A的電路單元實施的以上半導體裝置中,VD 113耦接在寬M0區117與MD區112之間。VD 113具有W VD的寬度。寬度W VD為例如10 nm (表I)。VD 113的寬度W VD(例如10 nm)小於寬M0區117的寬度W WM0(例如11 nm)。
在一些實施例中,具有第一導電區、第二導電區及第三導電區以及第一主動區及第二主動區的以上示例性半導體裝置亦包含第三主動區。第三主動區具有第二主動區的第四寬度且沿著第一方向延伸。第三主動區耦接至第一主動區。舉例而言,由包含佈局300A的電路單元實施的以上半導體裝置包含主動區111b-2 (亦即,主動區),如上面參考第2B圖所描述。主動區111b-2具有W AR_2的寬度(例如18 nm)且沿著X軸方向延伸。主動區111b-2耦接至主動區111b-1。
在一些實施例中,在具有第一導電區、第二導電區及第三導電區以及第一主動區及第二主動區的以上示例性半導體裝置中,第三導電區與第一導電區之間的間隔等於或大於間隔臨限值。舉例而言,在由包含佈局300A的電路單元實施的以上半導體裝置中,MD區112與主動區111b-1之間的間隔SPC MD-AR(第2B圖或第2C圖)等於或大於電路單元庫的設計規則中的間隔臨限值。間隔SPC MD-AR為例如13 nm (表I)。根據電路單元庫的設計規則,間隔臨限值為例如12 nm。間隔SPC MD-AR(例如13 nm)大於間隔臨限值(例如12 nm)。
在一些實施例中,具有第一導電區、第二導電區及第三導電區以及第一主動區及第二主動區的以上示例性半導體裝置亦包含第四導電區。第四導電區具有第二寬度且沿著第一方向延伸。第一導電區、第二導電區及第四導電區位於第一層中。第三導電區位於第二層中且沿著垂直於第一方向的第二方向延伸。舉例而言,由包含佈局300A的電路單元實施的以上半導體裝置進一步包含M0區連同位於第一寬M0 (亦即,導電區)下方的正常M0位置,如上面參考第1C圖所描述。M0區具有W M0的寬度(例如10 nm)且沿著X軸方向延伸。寬M0 117及具有W M0的寬度的兩個M0區位於金屬0層中。MD 112為MD層且沿著垂直於X軸方向的Y軸方向延伸。
在一些實施例中,具有第一導電區、第二導電區及第三導電區以及第一主動區及第二主動區的以上示例性半導體裝置亦包含第四導電區及隔離區。第四導電區沿著第二方向延伸。隔離區沿著第二方向延伸且形成於第三導電區與第四導電區之間。舉例而言,由包含佈局300A的電路單元實施的以上半導體裝置進一步包含MD區116 (亦即,導電區),如上面參考第2C圖所描述。MD區116沿著Y軸方向延伸。半導體裝置亦包含CMD區114 (亦即,隔離區),如上面參考第2C圖所描述。CMD 114沿著Y軸方向延伸且形成於MD區112與116之間。
在一些實施例中,在具有第一導電區、第二導電區、第三導電區及第四導電區、第一主動區及第二主動區以及隔離區的以上示例性半導體裝置中,第一主動區及第二主動區位於第一層中。第三導電區及第四導電區以及隔離區位於第二層中。第二層與第一層不同。第三導電區在第二層中且在第一層中的第二主動區上方延伸。第四導電區在第二層中且在第一層中的第一主動區上方延伸。
舉例而言,在由包含佈局300A的電路單元實施的以上半導體裝置中,主動區111a及111b-1位於AR層中。MD區112及116以及CMD區114位於MD層中,如上面參考第2C圖所說明。MD層與AR層不同。MD區112在MD層中且在AR層中的主動區111a上方延伸。MD區116在MD層中且在AR層中的主動區111b-1上方延伸。
在一些實施例中,在具有第一導電區、第二導電區、第三導電區及第四導電區、第一主動區及第二主動區以及隔離區的以上示例性半導體裝置中,隔離區為第一隔離區。示例性半導體裝置亦包含沿著第二方向延伸的第二隔離區。第一隔離區及第二隔離區位於第一主動區的相對側。第一隔離區與第二隔離區之間的距離等於或大於臨限值距離。舉例而言,由包含佈局300A的電路單元實施的以上半導體裝置進一步包含CMD區118 (亦即,隔離區),如上面參考第2D圖所描述。CMD區118沿著Y軸方向延伸。CMD區114及118位於主動區111b-1的相對側,如下面參考第4A圖或第4B圖所描述。CMD區114與118之間的距離PITCH CMD等於或大於臨限值距離。
除了佈局300A (第3圖)中的AR結構之外,本揭露亦係關於包含AR緩行結構的複數個電路單元,如下面參考第4A圖至第4C圖及第5A圖至第5C圖所描述。包含AR緩行結構的這些電路單元可為正反器電路100A或200A (第1A圖至第1C圖或第2A圖至第2F圖)、及閘、反及閘、或閘、反或閘、互斥或閘、異或非閘、多工器、反相器、正反器、鎖存器、加法器或其他電路。這些電路單元可用於實施用於各種應用程式的各種電路。
第4A圖說明根據一些實施例的包含AR緩行結構的示例性雙層電路單元的佈局400A。如第4A圖中所示出,雙層電路單元的佈局400A包含主動區111b-1及111b-2、MD區112、VD 113及CMD區114及118。上面參考第1A圖至第1C圖及第2A圖至第2F圖描述了這些區及連通柱。主動區111b-1及111b-2、MD區112、VD 113及CMD區114處於電路單元的上部單元高度範圍內。CMD區118處於電路單元的底部單元高度範圍內。CMD區118與CMD區114相距PITCH CMD的距離,如上面參考第2D圖所描述。如第4A圖中所示出,CMD區114及118位於主動區111b-1的相對側。出於清楚說明起見,在第4A圖中未示出位於邊緣主動區111b-1及111b-2上方的CPODE結構,如第2B圖中所示出。
第4B圖說明根據一些實施例的包含AR緩行結構的示例性雙層電路單元的佈局400B。如第4B圖中所示出,雙層電路單元的佈局400B包含主動區111b-1及111b-2、MD區112、VD 113及CMD區114及118。上面參考第1A圖至第1C圖及第2A圖至第2F圖描述了這些區及連通柱。主動區111b-1及111b-2、MD區112、VD 113及CMD區114處於電路單元的上部單元高度範圍內。CMD區118處於電路單元的底部單元高度範圍內。CMD區118與CMD區114相距比第4A圖中的距離大得多的距離。更具體地,如第4B圖中所示出,CMD區114及118位於主動區111b-1的相對側。出於清楚說明起見,在第4B圖中未示出位於邊緣主動區111b-1及111b-2上方的CPODE結構,如第2B圖中所示出。
第4C圖說明根據一些實施例的包含AR緩行結構的示例性單層電路單元的佈局400C。如第4C圖中所示出,單層電路單元的佈局400C包含主動區411b-1及411b-2、MD區412、VD 413-1及413-2以及CMD區414。這些區及VD 413-1類似於如上面參考第1A圖至第1C圖及第2A圖至第2F圖所描述的主動區111b-1及111b-2、MD區112、VD 113及CMD區114。VD 413-1及413-2位於單層電路單元中的主動區411b-1及CMD區414兩者的相對側。由於VD 413-1耦接至三金屬佈線單元的中間M0區,因此VD 413-2位於電路單元的外側。在該實施例中,在電路單元的外側不存在用於容納另一CMD區的空間。VD 413-2處的電晶體端子為電晶體的源極,此源極防止在VD 413-2旁邊的鄰接單元中置放CMD區。以此方式,兩個CMD區不會被置放得彼此過於接近。因此,CMD間距可大於CMD間距的臨限值,如上面參考第2D圖針對CMD區114及118所描述。此有助於藉由單次圖案化及單次蝕刻(single-patterning and single-etching,1P1E)方法來製造包含佈局400C的電路。出於清楚說明起見,在第4C圖中未示出位於邊緣主動區411b-1及411b-2上方的CPODE結構,如第2B中所示出。
在一些實施例中,具有第一導電區、第二導電區及第三導電區以及第一主動區及第二主動區的以上示例性半導體裝置亦包含第一連通柱及第二連通柱。第一連通柱耦接在第一導電區與第三導電區之間。第二連通柱耦接至電晶體的源極。第一連通柱及第二連通柱位於第一主動區的相對側。
舉例而言,當半導體裝置由包含佈局400C的電路單元實施時,半導體裝置包含主動區411b-1及411b-2、MD區412、VD 413-1及413-2以及CMD區414。由於這些區及VD 413-1類似於佈局200B (第2B圖)中的主動區111b-1及111b-2、MD區112、CMD區114及VD 113,因此由包含佈局400C的電路單元實施的半導體裝置具有與由包含佈局200B的電路單元實施的以上半導體裝置類似的區及連通柱,如上面參考第2B圖所描述。因此,如第4C圖中所示出,由包含佈局400C的電路單元實施的以上半導體裝置包含耦接在寬M0區(第4C圖中未示出)與MD區412之間的VD 413-1。此外,半導體裝置亦包含耦接至電晶體的源極的VD 413-2,如上面參考第4C圖所描述。VD 413-1及413-2位於第4C圖的單層電路單元內且位於主動區411b-1的相對側。
第5A圖說明根據一些實施例的包含兩個AR緩行結構的示例性雙層電路單元的佈局500A。如第5A圖中所示出,雙層電路單元的佈局500A包含處於電路單元的上部單元高度範圍內的主動區111b-1及111b-2、MD區112、VD 113及CMD區114。上面參考第1A圖至第1C圖及第2A圖至第2F圖描述了這些區及連通柱。佈局500A亦包含處於電路單元的底部單元高度範圍內的主動區511b-1及511b-2、MD區512、VD 513及CMD區514。這些區及連通柱類似於處於上部單元高度範圍內的那些區及連通柱,但位於Y軸上的相反方向上。出於清楚說明起見,在第5A圖中未示出位於邊緣主動區111b-1及111b-2上方及主動區511b-1及511b-2的邊緣上方的CPODE結構,如第2B圖中所示出。
在一些實施例中,具有第一導電區、第二導電區及第三導電區以及第一主動區及第二主動區的以上示例性半導體裝置亦包含第四導電區、第五導電區、第六導電區、第三主動區及第四主動區。第四導電區具有第一寬度且沿著第一方向延伸。第五導電區具有第二寬度且沿著第一方向延伸。第三主動區具有第三寬度且沿著第一方向延伸。第四主動區具有第四寬度且沿著第一方向延伸。第六導電區沿著第二方向延伸且電連接至第四導電區。第三主動區及第四主動區為鄰近主動區。
舉例而言,當半導體裝置由包含佈局500A的電路單元實施時,半導體裝置包含主動區111b-1及111b-2、MD區112、VD 113及CMD區114。由於這些區及連通柱類似於佈局300A中的區及連通柱,因此由包含佈局500A的電路單元實施的半導體裝置具有與由包含佈局300A的電路單元實施的以上半導體裝置類似的區及連通柱,如上面參考第3圖所描述。
此外,由包含佈局500A的電路單元實施的以上半導體裝置亦包含耦接至處於底部單元高度範圍內的VD 513的中間寬M0區(亦即,導電區)。寬M0區具有W WM0的寬度(例如11 nm)且沿著X軸方向延伸,諸如第1C圖中的第二寬M0位置處的寬M0區。半導體裝置亦包含位於寬M0區(亦即,導電區)上方的M0區,諸如對應於位於第1C圖中的第二寬M0上方的正常M0位置的M0區。M0區具有W M0的寬度(例如10 nm)且沿著X軸方向延伸。
半導體裝置亦包含小主動區511b-1 (亦即,主動區)。主動區511b-1具有W AR_1的寬度(例如13.5 nm)且沿著X軸方向延伸。半導體裝置亦包含主動區511b-2 (亦即,主動區)。主動區511b-2具有W AR_2的寬度(例如18 nm)且沿著X軸方向延伸。半導體裝置亦包含MD區512 (亦即,導電區)。MD區512沿著Y軸方向延伸且經由VD 513電連接至寬M0區。寬M0區、位於寬M0區上方的M0區、MD區512、主動區511b-1及511b-2以及VD 513位於包含佈局500A的電路單元中。主動區511b-1及511b-2耦接在一起且因此彼此緊鄰。主動區511b-1及511b-2為鄰接主動區。在主動區511b-1與511b-2之間不存在其他主動區。主動區511b-1及511b-2在本文中亦被視為鄰近主動區。
在一些實施例中,在具有第一導電區、第二導電區、第三導電區、第四導電區、第五導電區及第六導電區以及第一主動區、第二主動區、第三主動區及第四主動區的以上示例性半導體裝置中,第三導電區及第六導電區位於第一主動區的相對側。第三導電區及第六導電區亦位於第三主動區的相對側。舉例而言,在由包含佈局500A的電路單元實施的以上半導體裝置中,MD區112及512位於主動區111b-1的相對側。MD區112及512亦位於主動區511b-1的相對側。
第5B圖說明根據一些實施例的包含兩個AR緩行結構的示例性雙層電路單元的佈局500B。如第5B圖中所示出,雙層電路單元的佈局500B包含處於電路單元的上部單元高度範圍內的主動區111b-1及111b-2、MD區112、VD 113及CMD區114。這些區及連通柱類似於處於第5A圖的雙層電路單元中的佈局500A的上部單元高度範圍內的那些區及連通柱。佈局500B亦包含處於電路單元的底部單元高度範圍內的主動區521b-1及521b-2、MD區522、VD 523-1及523-2以及CMD區524。這些區及連通柱類似於佈局400C中的那些區及連通柱,如上面參考第4C圖所描述。出於清楚說明起見,在第5B圖中未示出位於邊緣主動區111b-1及111b-2上方及主動區521b-1及521b-2的邊緣上方的CPODE結構,如第2B圖中所示出。
在一些實施例中,具有第一導電區、第二導電區、第三導電區、第四導電區、第五導電區及第六導電區以及第一主動區、第二主動區、第三主動區及第四主動區的以上示例性半導體裝置亦包含第一連通柱、第二連通柱及第三連通柱。第一連通柱耦接在第一導電區與第三導電區之間。第二連通柱耦接在第四導電區與第六導電區之間。第三連通柱耦接至電晶體的源極。第二連通柱及第三連通柱位於第三主動區的相對側。
舉例而言,當半導體裝置由包含佈局500B的電路單元實施時,半導體裝置包含主動區111b-1及111b-2、MD區112、VD 113及CMD區114。這些區及連通柱類似於佈局500A的上部單元高度範圍中的區及連通柱。由包含佈局500B的電路單元實施的以上半導體裝置亦包含主動區521b-1及521b-2、MD區522、VD 523-1及CMD區524。除了位於沿著Y軸的相反方向上之外,這些區及連通柱類似於佈局500A的底部單元高度範圍中的區及連通柱。換言之,由包含佈局500B的電路單元實施的半導體裝置亦具有與由包含佈局500A的電路單元實施的以上半導體裝置類似的區及連通柱。此外,由包含佈局500B的電路單元實施的半導體裝置亦包含與第4C圖中的VD 413-2類似的VD 523-2。
因此,由包含佈局500B的電路單元實施的半導體裝置包含耦接在處於上部單元高度範圍內的寬M0區與MD區112之間的VD 113。半導體裝置亦包含耦接在處於底部單元高度範圍內的寬M0區與MD區522之間的VD 523-1。半導體裝置亦包含耦接至電晶體的源極的VD 523-2,如上面參考第4C圖所描述。VD 113、523-1及523-2位於第5B圖的雙層電路單元內。VD 523-1及523-2位於主動區521b-1的相對側。
第5C圖說明根據一些實施例的包含兩個AR緩行結構的示例性雙層電路單元的佈局500C。如第5C圖中所示出,雙層電路單元的佈局500C包含處於電路單元的底部單元高度範圍內的主動區521b-1及521b-2、MD區522、VD 523-1及523-2以及CMD區524。這些區及連通柱類似於處於佈局500B (第5B圖)的底部單元高度範圍內的那些區及連通柱。佈局500C亦包含處於電路單元的上部單元高度範圍內的主動區531b-1及531b-2、MD區532、VD 533-1及CMD區534。這些區及連通柱類似於處於佈局500B (第5B圖)的上部單元高度範圍內的那些區及連通柱,但位於沿著Y軸的相反方向上。如第5C圖中所示出,佈局500C亦包含電路單元的上部邊界處的VD 533-2。VD 533-2類似於VD 523-2 (第5C圖)或VD 413-2 (第4C圖)。由於VD 533-1耦接至三金屬佈線單元的中間M0區,因此位於電路單元的外側的VD 533-2為電晶體的源極。出於清楚說明起見,在第5C圖中未示出位於邊緣主動區521b-1及521b-2上方及主動區531b-1及531b-2的邊緣上方的CPODE結構,如第2B圖中所示出。
在一些實施例中,在具有第一導電區、第二導電區、第三導電區、第四導電區、第五導電區及第六導電區、第一主動區、第二主動區、第三主動區及第四主動區以及第一連通柱、第二連通柱及第三連通柱的以上示例性半導體裝置中,電晶體為第一電晶體。示例性半導體裝置亦包含耦接至第二電晶體的源極的第四連通柱。第一連通柱及第四連通柱位於第一主動區的相對側。
舉例而言,當半導體裝置由包含佈局500C的電路單元實施時,半導體裝置包含主動區521b-1、521b-2、MD區522、VD 523-1及523-2以及CMD區524。這些區及連通柱類似於佈局500B的底部單元高度範圍中的區及連通柱。由包含佈局500C的電路單元實施的半導體裝置亦包含主動區531b-1及531b-2、MD區532、VD 533-1及CMD區534。除了位於沿著Y軸的相反方向上之外,這些區及連通柱類似於佈局500B的上部單元高度範圍中的區及連通柱。換言之,由包含佈局500C的電路單元實施的半導體裝置具有與由包含佈局500B的電路單元實施的以上半導體裝置類似的區及連通柱。此外,由包含佈局500C的電路單元實施的半導體裝置亦包含類似於耦接至電晶體的源極的VD 523-2的VD 533-2。
因此,在由包含佈局500C的電路單元實施的半導體裝置中,VD 523-2連接至第一電晶體的源極。VD 533-2耦接至第二電晶體的源極。VD 533-1及533-2位於主動區531b-1的相對側(第5C圖)。
第6A圖為根據一些實施例的由計算機執行的用於判定儲存於記憶體中的電路單元中的主動區的寬度的示例性方法600的流程圖。方法600可由包含處理器及記憶體的計算機實踐,記憶體儲存電路單元的指令及資料。處理器可用以執行指令以執行方法600。
如第6A圖中所示出,方法600包含:自記憶體電路獲得電路單元中的第一導電區的第一寬度、主動區的第一寬度、主動區的微分寬度及主動區與電路單元中的第二導電區之間的間隔(步驟610);基於第一導電區的第一寬度來計算第一參數(步驟620);基於主動區的第一寬度、主動區的微分寬度及主動區與第二導電區之間的間隔來計算第二參數(步驟630);判定第一參數是否小於第二參數(步驟640);回應於判定第一參數小於第二參數,基於第一導電區的第二寬度來計算第三參數且基於主動區的微分寬度來計算第四參數,第一導電區的第二寬度大於第一導電區的第一寬度(步驟650);判定第三參數是否等於或大於第四參數(步驟660);回應於判定第三參數等於或大於第四參數,將第一導電區的第二寬度判定為第一導電區的寬度(步驟670);判定主動區的寬度等於主動區的第一寬度減去主動區的微分寬度(步驟680);及將隔離區插入於第二導電區與第三導電區之間(步驟690)。
步驟610包含自記憶體電路獲得電路單元中的第一導電區的第一寬度、主動區的第一寬度、主動區的微分寬度及主動區與電路單元中的第二導電區之間的間隔。舉例而言且參考表I中的示例性尺寸,計算機的處理器用以執行指令以自計算機的記憶體讀取電路單元的高度(H C) (例如表I (上文)中的單元高度= 92 nm,亦即,H C= 92 nm)、中間M0區的寬度(W M0) (例如表I中的M0寬度= 10 nm,亦即,W M0= 10 nm)、n型MOS電晶體與p型MOS電晶體之間的間隔(SPC NP) (例如表I中的NP間隔= 28 nm,亦即,SPC NP= 28 nm)、主動區的寬度(W AR) (例如表I中的AR寬度= 18 nm,亦即,W AR= 18 nm)、主動區的微分寬度(W AR-jog) (例如表I中的AR緩行= 4.5 nm,亦即,W AR-jog= 4.5 nm)、主動區與MD區之間的間隔(SPC MD-AR) (例如表I中的向AR間隔的MD = 13 nm,亦即,SPC MD-AR= 13 nm)、MD區對VD的圍封(ENC VD/MD) (例如表I中的VD/MD圍封= 1 nm,亦即,ENC VD/MD= 1 nm)、VD的寬度(W VD) (例如表I中的VD寬度= 10 nm,亦即,W VD= 10 nm)及中間M0區對VD的圍封(ENC VD/M0) (例如表I中的VD/M0圍封= 0,亦即,ENC VD/M0= 0 nm)。
步驟620包含基於第一導電區的第一寬度來計算第一參數。舉例而言,處理器用以執行指令以根據下式,基於電路單元的高度(H C= 92 nm)及中間M0區的寬度(W M0= 10 nm)來計算第一參數H HC:H HC= 0.5 × (H C+ W M0)。舉例而言,當H C= 92且W M0= 10時,H HC= 0.5 × (92 + 10) = 51 nm。
步驟630包含基於主動區的第一寬度及主動區與第二導電區之間的間隔來計算第二參數。舉例而言,處理器用以執行指令以根據下式,基於n型MOS電晶體與p型MOS電晶體之間的間隔(SPC NP= 28 nm)、主動區的寬度(W AR= 18 nm)、主動區的微分寬度(W AR-jog= 4.5 nm)、主動區與MD區之間的間隔(SPC MD-AR= 13 nm)、MD區對VD的圍封(ENC VD/MD= 1 nm)、VD的寬度(W VD= 10 nm)及中間M0區對VD的圍封(ENC VD/M0= 0 nm)來計算第二參數SH HC:SH HC= 0.5 × SPC NP+ W AR+ SPC MD-AR+ ENC VD/MD+ W VD+ ENC VD/M0。舉例而言,當SPC NP= 28 nm、W AR= 18 nm、W AR-jog= 4.5 nm、SPC MD-AR= 13 nm、ENC VD/MD= 1 nm、W VD= 10 nm且ENC VD/M0= 0 nm時,SH HC= 0.5 × 28 + 18 - 0 + 13 + 1 + 10 + 0 = 56。
步驟640包含判定第一參數是否小於第二參數。舉例而言,處理器用以執行指令以判定H HC是否小於SH HC。舉例而言,當H HC= 51 nm (步驟620)且SH HC= 56 nm (步驟630)時,處理器用以判定H HC小於SH HC,亦即,H HC< SH HC
作為另一實例,若基於步驟630中的等式,SPC MD-AR= 8 nm且SH HC= 51 nm,則處理器用以判定H HC不小於SH HC,亦即,H HC>= SH HC。在一些實施例中,處理器用以執行指令以判定小主動區不適用於電路單元。
步驟650包含回應於判定第一參數小於第二參數,基於第一導電區的第二寬度來計算第三參數且基於主動區的微分寬度來計算第四參數。第一導電區的第二寬度大於第一導電區的第一寬度。舉例而言,處理器用以執行指令以根據下式,基於電路單元的高度(H C= 92 nm)及寬中間M0區的寬度(例如表I中的寬M0寬度= 11 nm,亦即,W WM0= 11)來計算第三參數H’ HC:H’ HC= 0.5 × (H C+ W WM0)。舉例而言,當H C= 92且W WM0= 11時,H’ HC= 0.5 × (92 + 11) = 51.5 nm。
處理器亦用以執行指令以根據下式,基於n型MOS電晶體與p型MOS電晶體之間的間隔(SPC NP= 28 nm)、主動區的寬度(W AR= 18 nm)、主動區的微分寬度(W AR-jog= 4.5 nm)、主動區與MD區之間的間隔(SPC MD-AR= 13 nm)、MD區對VD的圍封(ENC VD/MD= 1 nm)、VD的寬度(W VD= 10 nm)及中間M0區對VD的圍封(ENC VD/M0= 0 nm)來計算第四參數SH’ HC:SH’ HC= 0.5 × SPC NP+ W AR- W AR-jog+ SPC MD-AR+ ENC VD/MD+ W VD+ ENC VD/M0,如下面參考第6B圖所描述。舉例而言,當SPC NP= 28 nm、W AR= 18 nm、W AR-jog= 4.5 nm、SPC MD-AR= 13 nm、ENC VD/MD= 1 nm、W VD= 10 nm且ENC VD/M0= 0 nm時,SH’ HC= 0.5 × 28 + 18 - 4.5 + 13 + 1 + 10 + 0 = 51.5。
步驟660包含判定第三參數是否等於或大於第四參數。舉例而言,處理器用以執行指令以判定H’ HC是否等於或大於SH’ HC。舉例而言,當H’ HC= 51.5 nm (步驟650)且SH’ HC= 51.5 nm (步驟650)時,處理器用以判定H’ HC等於SH’ HC,亦即,H’ HC= SH’ HC
步驟670包含回應於判定第三參數等於或大於第四參數,將第一導電區的第二寬度判定為第一導電區的寬度。舉例而言,處理器用以回應於判定H’ HC等於SH’ HC,亦即,H’ HC= SH’ HC而執行指令以判定W WM0(11 nm)為中間M0區的寬度。亦即,中間M0區具有比其他M0區更寬的寬度且為例如第3圖中的寬M0區117。
步驟680包含判定主動區的寬度等於主動區的第一寬度減去主動區的微分寬度。舉例而言,處理器用以執行指令以判定第3圖中的主動區111b-1的寬度(W AR-111b-1)等於主動區的寬度(W AR= 18 nm)減去主動區的微分寬度(W AR-jog= 4.5 nm)。舉例而言,當W AR= 18 nm (步驟610)且W AR-jog= 4.5 nm (步驟650)時,W AR-111b-1= W AR- W AR-jog= 18 - 4.5 = 13.5 nm。
步驟690包含將隔離區插入於第二導電區與第三導電區之間。舉例而言,處理器用以在處理器判定MD區112的長度等於或大於最小MD長度(例如表I中的最小MD長度= 23 nm)之後執行指令以將CMD區114插入於第2C圖中的MD區112與116之間。
在一些實施例中,在步驟680及690之前,方法600進一步包含判定主動區是否位於電路單元的臨界路徑上。舉例而言,在執行步驟680及690之前,處理器用以執行指令以判定主動區是否位於電路單元的臨界路徑上。回應於判定主動區不位於電路單元的臨界路徑上,處理用以執行如上所述的步驟680及690。回應於判定主動區位於電路單元的臨界路徑上,處理可用以選擇其他主動區以執行步驟680及690。替代地,回應於判定主動區位於電路單元的臨界路徑上,處理可用以判定小主動區不適用於電路單元。
第6B圖說明根據一些實施例的第6A圖中所說明的示例性方法600的佈局600B的幾何形狀。佈局600B對應於第3圖中的佈局300A及第1C圖中的區115。如第6B圖中所示出,佈局600B包含主動區111a、111b-1及111b-2、MD區112及116、VD 113及CMD區114。區及連通柱對應於本揭露中的相同附圖標記的那些區及連通柱。
方法600的步驟650中的第四參數SH’ HC為如第6B圖中所指示的X-Y平面中的高度(亦即,沿著Y軸方向的長度)。高度SH’ HC包含第一部分高度、第二部分高度及第三部分高度。第一部分高度等於n型MOS電晶體與p型MOS電晶體之間的間隔的二分之一加上主動區111b-2的寬度,亦即,0.5 × SPC NP+ W AR,如第6B圖中所說明。第二部分高度等於MD區112與主動區111b-1之間的間隔減去AR緩行的寬度,亦即,- W AR-jog+ SPC MD-AR,如第6B圖中所說明。第三部分高度等於MD區112對VD 113的圍封加上VD 113的寬度加上寬M0區117對VD 113的圍封(第3圖),亦即,ENC VD/MD+ W VD+ ENC VD/M0,如第6B圖中所說明。
第7A圖說明根據一些實施例的示例性電路單元700A的後段製程(back-end-of-line,BEOL)的示例性幾何形狀。下表II列出了2 nm製程的三金屬佈線電路單元中的電路單元700A的複數個示例性區大小。如第7A圖及表II中所說明,M0區對VD的圍封的範圍介於0 nm至5 nm,亦即,圍封VD/M0 = 0 nm~5 nm。M0區的寬度等於或大於單元高度的十分之一,亦即,M0寬度≥ 0.1 ×單元高度。M0區的寬度等於或小於單元高度的十分之一,亦即,M0寬度≤ 0.2 ×單元高度。M0間隔等於或大於單元高度的十分之一,亦即,M0間隔≥ 0.1 ×單元高度。M0間隔等於或小於單元高度的十分之二,亦即,M0寬度≤ 0.2 ×單元高度。中間寬M0區的寬度等於或大於M0區的寬度,亦即,寬M0寬度≥ M0寬度。
II
關係 範圍 (nm)
圍封VD/M0 0~5
M0寬度 ≥ 0.1 ×單元高度; ≤ 0.2 ×單元高度
M0間隔 ≥ 0.1 ×單元高度; ≤ 0.2 ×單元高度
寬M0寬度 ≥ M0寬度 (必須在兩根電力接線之間)
第7B圖說明根據一些實施例的示例性電路單元700B的中段製程(middle-of-line,MEOL)的示例性幾何形狀。下表III列出了2 nm製程的三金屬佈線電路單元中的電路單元700B的複數個示例性區大小。如第7B圖及表III中所說明,MD與主動區之間的間隔的範圍介於5 nm至15 nm,亦即,向AR間隔的MD = 5 nm~15 nm。MD區的寬度等於或大於VD的寬度,亦即,MD寬度≥ VD寬度。MD區的寬度等於或小於多間距大小的二分之一,亦即,MD寬度≤ 0.5 × PO間距。沿著Y軸方向的MD間隔的範圍介於10 nm至40 nm,亦即,MD space_Y = 10 nm~40 nm。
III
關係 範圍 (nm)
向AR間隔的MD 5~15
MD寬度 ≥ VD寬度;≤ 0.5 × PO間距
MD space_Y 10~40
最小MD長度 ≥單元高度– 1.5 × MD space_Y - 0.5 × 圍封VD/MD
特殊構築MD長度 ≥最小MD長度+ VD寬度
VD寬度 5~15
圍封VD/MD 0~5
最小MD長度等於或大於單元高度減去沿著Y軸方向的MD間隔的一又二分之一減去MD區對VD的圍封的二分之一,亦即,最小MD長度≥單元高度- 1.5 × MD space_Y – 0.5 ×圍封VD/MD。特殊構築MD長度等於或大於最小MD長度加上VD寬度,亦即,特殊構築MD長度≥最小MD長度+ VD寬度。VD寬度的範圍介於5 nm至15 nm,亦即,VD寬度= 5 nm~15 nm。MD區對VD的圍封的範圍介於0 nm至5 nm,亦即,圍封VD/MD = 0 nm~5 nm。
第7C圖說明根據一些實施例的示例性電路單元700C的前段製程(front-end-of-line,FEOL)的示例性幾何形狀。下表IV列出了2 nm製程的三金屬佈線電路單元中的電路單元700C的複數個示例性區大小。如第7C圖中所說明,單元高度的範圍介於80 nm至110 nm,亦即,單元高度= 80 nm~110 nm。多間距大小的範圍介於40 nm至55 nm,亦即,PO間距= 40 nm~55 nm。n型MOS電晶體與p型MOS電晶體之間的間隔的範圍介於20 nm至35 nm,亦即,NP間隔= 20 nm~35 nm。主動區的寬度等於或小於單元高度減去n型MOS電晶體與p型MOS電晶體之間的兩個間隔,亦即,AR寬度≤單元高度- 2 × NP間隔。小主動區的寬度等於主動區的寬度減去AR緩行的寬度,亦即,小AR寬度= AR寬度- W AR-jog。AR緩行的寬度等於或大於0 nm。AR緩行的寬度等於或小於AR間距大小的二分之一,亦即,W AR-jog≥ 0且W AR-jog≤ 0.5 × AR間距。
IV
關係 範圍 (nm)
單元高度 80~110
PO間距 40~55
NP間隔 20~35
AR寬度 ≤ 單元高度– 2 × NP間隔
小AR寬度 AR寬度– W AR-jog
W AR-jog ≥ 0; ≤ 0.5 × AR間距
第8圖為根據一些實施例的用於製造積體電路的示例性方法800的流程圖。方法800包含:在第一層中形成第一主動區(步驟802);在第一層中形成第二主動區,第一主動區及第二主動區為鄰近主動區(步驟804);在第一層中形成第三主動區(步驟806);在第二層中形成隔離區(步驟808);在第二層中形成第一導電區(步驟810);製造耦接至第一導電區且位於第二層上方的連通柱(步驟812);形成位於第三層中且耦接至連通柱的第二導電區(步驟814);及在第三層中形成第三導電區(步驟816)。
步驟802包含在第一層中形成第一主動區。第一主動區具有第一寬度且沿著第一方向延伸。舉例而言,半導體製造裝備在三金屬佈線正反器電路200A (第2A圖)的主動區層中形成主動區111b-1 (第2B圖、第2C圖或第1C圖)。主動區111b-1沿著X軸方向延伸且具有寬度W AR_1。在示例性實施例中,主動區111b-1的寬度W AR_1為13.5 nm,亦即,W AR_1= 13.5 nm。
更具體地,在第一層中形成第一主動區的步驟802包含在第一井中製造複數個電晶體的源極及汲極區。舉例而言,半導體製造裝備製造包括一或多個p型摻雜劑的第一井。p摻雜劑包含硼、鋁或其他合適的p型摻雜劑。在一些實施例中,半導體製造裝備藉由在用於第一井的基板的區上方形成磊晶層來製造第一井。半導體製造裝備亦可在磊晶製程期間藉由添加一或多種摻雜劑來摻雜磊晶層。在一些實施例中,半導體製造裝備可在磊晶層形成之後藉由離子植入來摻雜磊晶層。在一些實施例中,半導體製造裝備藉由摻雜基板來製造第一井。舉例而言,半導體製造裝備藉由離子植入來摻雜基板。在一些實施例中,第一井包括n型摻雜劑。n型摻雜劑可為磷、砷或另一種合適的n型摻雜劑。
在示例性實施例中,半導體製造裝備執行步驟802以形成主動區111b-1、EPI區220、HF區及其他類似區,如第2C圖中所示出。
步驟804包含在第一層中形成第二主動區。第一主動區及第二主動區為鄰近主動區。第二主動區具有第二寬度且沿著第一方向延伸。第一寬度小於第二寬度。舉例而言,半導體製造裝備在三金屬佈線正反器電路200A (第2A圖)的主動區層中形成主動區111a (第2B圖或第2C圖)。主動區111b-1及111a為鄰近主動區。主動區111a沿著X軸方向延伸且具有寬度W AR_2。在示例性實施例中,主動區111a的寬度W AR_2為18 nm,亦即,W AR_2= 18 nm。主動區111b-1的寬度W AR_1(例如13.5 nm)小於主動區111a的寬度W AR_2(例如18 nm)。
更具體地,在第一層中形成第二主動區的步驟804包含在第二井中製造複數個電晶體的源極及汲極區。在一些實施例中,半導體製造裝備藉由上面參考步驟802描述的製程及技術中的一或多者同時執行步驟802及804。
在示例性實施例中,半導體製造裝備執行步驟804以形成主動區111a、EPI區210、HF區及其他類似區,如第2C圖中所示出。
步驟806包含在第一層中形成第三主動區。第三主動區具有第二寬度,沿著第一方向延伸且耦接至第一主動區。舉例而言,半導體製造裝備在三金屬佈線正反器電路200A (第2A圖)的主動區層中形成主動區111b-2 (第2B圖)。主動區111b-2具有第二寬度W AR_2且沿著X軸方向延伸。主動區111b-2耦接至主動區111b-1 (第2B圖)。主動區111b-1及111b-2為鄰接主動區。主動區111b-1及111b-2在本文中亦被視為鄰近主動區。在示例性實施例中,主動區111b-2的寬度W AR_2為18 nm,亦即,W AR_2= 18 nm。主動區111b-1的寬度W AR_1(例如13.5 nm)小於主動區111b-2的寬度W AR_2(例如18 nm)。
更具體地,在一些實施例中,在步驟802中形成的第一主動區包含具有兩個寬度的整個主動區111b (第2B圖),包含具有寬度W AR_1的主動區111b-1及具有W AR_2的主動區111b-2。在這些實施例中,在第一層中形成第三主動區的步驟806包含藉由氧化物定義邊緣上連續多(continuous poly on oxide definition edge,CPODE)結構將主動區111b分成兩個主動區111b-1及111b-2。舉例而言,半導體製造裝備在主動區111b-1與111b-2之間的邊緣上方製造假性閘極結構。在主動區111b-1與111b-2之間的邊緣上的CPODE結構有助於避免兩個主動區中的鄰近電晶體之間的洩漏且在製造期間提供附加的可靠性。在步驟802中,具有較小寬度(亦即,W AR_1)的主動區111b-1保留為第一主動區。在步驟806中將具有正常寬度(亦即,W AR_2)的主動區111b-2形成為第三主動區。
在一些實施例中,步驟806包含將步驟802中的第一井分成兩個井區。在步驟802中,兩個井區中的一者保持為第一主動區,亦即,主動區111b-1。兩個井區中的另一者形成第三主動區,亦即,主動區111b-2。舉例而言,半導體製造裝備藉由一或多種蝕刻製程來去除假性閘極結構以形成溝槽且用介電材料(例如氮化矽)填充溝槽以作為CPODE結構,溝槽的底表面位於兩個井區的底表面下方。因此,將步驟802中的第一井分成兩個井區。具有較小寬度(亦即,W AR_1)的一個井區保留為主動區111b-1。具有正常寬度(亦即,W AR_2)的另一個井區形成主動區111b-2。
在一些實施例中,半導體製造裝備藉由上面參考步驟802描述的製程及技術中的一或多者同時執行步驟802及806。在一些實施例中,半導體製造裝備藉由上面參考步驟802描述的製程及技術中的一或多者同時執行步驟802、804及806。
在示例性實施例中,半導體製造裝備執行步驟806以形成主動區111b-2 (第2B圖)、其他主動區、其他EPI區、其他HF區。
步驟808包含在第二層中形成隔離區。第二層位於第一層上方。例如,半導體製造裝備在EPI 210及220 (第2C圖)、主動區111b-1及111a (第2C圖)及其他EPI區及主動區上方沈積及形成導電材料層以形成MD區。這些MD區的導電材料可包含銅(Cu)、銀(Ag)、鎢(W)、鈦(Ti)、鎳(Ni)、錫(Sn)、鋁(Al)或適合於在積體電路結構部件之間提供低電阻電連接的其他金屬或材料(例如具有低於預定臨限值、對應於對電路效能的基於電阻的效應的一或多個容許位準的電阻位準的材料)中的一或多者。
半導體製造裝備亦根據CMD圖案在CMD區114及其他CMD區的位置處蝕刻導電材料層中的一或多個區。半導體製造裝備然後填充非導電材料或藉由將蝕刻間隔留空來進行填充以形成CMD區114及其他CMD區。因此,CMD區114為隔離區。在一些實施例中,隔離材料包含一或多種介電材料,諸如二氧化矽及氮氧化矽。
步驟810包含在第二層中形成第一導電區。第一導電區沿著第二方向延伸。第二方向與第一方向不同。例如,半導體製造裝備根據MD圖案藉由蝕刻製程來在位於EPI區210及220 (第2C圖)、主動區111b-1及111a (第2C圖)上方的於步驟808中沈積的導電材料層中形成MD區,包含MD區112及116 (第2C圖)。導電材料層為三金屬佈線正反器電路200A (第2A圖)的MD層。MD層中的MD區112及116為導電區且沿著Y軸方向延伸(第2B圖及第2C圖)。Y軸方向與X軸方向不同(第2B圖)。
更具體地,在第二層中形成第一導電區(步驟810)包含在EPI區210及220 (第2C圖)、主動區111b-1及111a (第2C圖)及其他EPI區及主動區上方形成複數個觸點。複數個觸點為積體電路中的複數個電晶體的源極及汲極端子。電晶體的源極及汲極端子因此沿著這些MD區延伸或電連接。
在一些實施例中,步驟810進一步包含形成電晶體的複數個閘極區。閘極區形成於電晶體的汲極區與源極區之間。在一些實施例中,閘極區位於第一井及第二井以及基板上方。在一些實施例中,製造閘極區的步驟810包含執行一或多種沈積製程以形成一或多個介電材料層。沈積製程可包含化學氣相沈積(chemical vapor deposition,CVD)、電漿增強化學氣相沈積(plasma-enhanced CVD,PECVD)、原子層沈積(atomic layer deposition,ALD)或適合於沈積一或多個材料層的其他製程。在一些實施例中,製造閘極區的步驟810包含執行一或多種沈積製程以形成一或多個導電材料層。在一些實施例中,製造閘極區包含形成閘極電極或假性閘極電極。在一些實施例中,製造閘極區包含沈積或生長至少一個介電層,例如閘極介電質。在一些實施例中,半導體製造裝備使用摻雜或非摻雜多晶矽(或聚矽)形成閘極電極。在一些實施例中,半導體製造裝備形成包含金屬的閘極電極,諸如鋁、銅、鎢、鈦、鉭、氮化鈦、氮化鉭、鎳矽、碳矽、其他合適的導電材料或他們的組合。
步驟812包含形成耦接至第一導電區且位於第二層上方的連通柱。連通柱具有第三寬度。舉例而言,半導體製造裝備形成耦接至MD區112 (第2C圖)且位於MD與M0層之間的VD 113 (第2C圖)。更具體地,在半導體製造裝備在MD層中形成MD區112及116、CMD區114、其他MD區及其他CMD區之後,半導體製造裝備在MD層上方沈積金屬內介電質(intra-metal dielectric,IMD) (亦即,絕緣體)層。半導體製造裝備亦藉由例如光刻及乾式IMD蝕刻製程形成VD 113 (第2C圖)的間隔處的連通柱開口及IMD層中的其他連通柱開口。半導體製造裝備亦藉由例如離子化金屬電漿(metal plasma,MP)濺射來填充連通柱開口以形成VD 113 (第2C圖)及其他VD。VD 113耦接至MD區112且耦接在MD層與M0層之間。M0層位於MD層上方。VD 113具有W VD的寬度。在示例性實施例中,W VD的寬度為10 nm,亦即,W VD= 10 nm。
步驟814包含形成位於第三層中且耦接至連通柱的第二導電區。第三層位於第二層上方。連通柱耦接在第二層中的第一導電區與第三層中的第二導電區之間。第二導電區具有第四寬度且沿著第一方向延伸。第三寬度等於或小於第四寬度。舉例而言,半導體製造裝備在三金屬佈線正反器電路200A (第2A圖)的M0金屬層中形成複數個導電區,包含寬M0區117 (第2C圖或第2D圖)及其他M0區。寬M0區117為導電區且耦接至VD 113。因此,MD層中的MD區112藉由VD 113電連接至M0層中的寬M0區117。寬M0區117具有W WM0的寬度且沿著X軸方向延伸。在示例性實施例中,寬M0區117的寬度為11 nm,亦即,W WM0= 11 nm。VD 113的寬度W VD(例如10 nm)小於寬M0區117的寬度W WM0(例如11 nm)。
在一些實施例中,複數個導電區包含導電材料,諸如聚矽、銅(Cu)、銀(Ag)、鎢(W)、鈦(Ti)、鎳(Ni)、錫(Sn)、鋁(Al)或適合於在積體電路結構部件之間提供低電阻電連接的另一金屬或材料中的一者或多者。
步驟816包含在第三層中形成第三導電區。第三導電區具有第五寬度且沿著第一方向延伸。第四寬度大於第五寬度。舉例而言,半導體製造裝備在第1C圖中的第一寬M0上方形成對應於正常M0位置的一或多個M0區。對應於正常M0位置的M0區為導電區且具有W M0的寬度。M0區沿著X軸方向延伸。在示例性實施例中,M0區的寬度為10 nm,亦即,W M0= 10 nm。在示例性實施例中,寬M0區117的寬度(亦即,11 nm)大於M0區的寬度(亦即,10 nm)。
在一些實施例中,步驟816亦包含形成一或多個M0區連同位於第1C圖中的第一寬M0下方的正常M0位置。在一些實施例中,半導體製造裝備同時執行步驟816及818以在積體電路的M0層中形成M0區及寬M0區。
在一些實施例中,步驟808中的隔離區為第一隔離區。方法800亦包含形成第二隔離區。第一隔離區及第二隔離區位於在步驟802中形成的第一主動區的相對側。第一隔離區與第二隔離區之間的距離等於或大於臨限值距離。舉例而言,根據CMD圖案,半導體製造裝備在三金屬佈線正反器電路200A (第2A圖)的MD層中形成CMD區114及118 (第2D圖)兩者以及其他CMD區。因此,CMD區118為MD區122與126之間的隔離區(第2D圖),其中MD區122及126亦在步驟810中根據MD圖案藉由蝕刻製程來形成。CMD區114及118位於主動區111b-1的相對側(第4A圖)。CMD區114與118之間的距離等於或大於PITCH CMD(第2D圖)。
在一些實施例中,半導體製造裝備藉由單次圖案化及單次蝕刻(single-patterning and single-etching,1P1E)製程來形成積體電路的所有CMD區。任意兩個CMD區以等於或大於PITCH CMD的距離彼此遠離(第2D圖)。
在一些實施例中,半導體製造裝備進一步用以執行步驟800以製造積體電路100A (第1A圖)、100B (第1B圖)、100C (第1C圖)、200A (第2A圖)、200B (第2B圖)、200D (第2D圖)、200E (第2E圖)、200F (第2F圖)、300A (第3圖)、400A (第4A圖)、400B (第4B圖)、400C (第4C圖)、500A (第5A圖)、500B (第5B圖)、500C (第5C圖)及/或第2C圖中的其他區及結構,如本文中所描述。
在一些實施例中,半導體製造裝備亦用以執行用於製造本文中的積體電路的附加製造步驟。在一些實施例中,半導體製造裝備可執行方法800的步驟的另一次序以製造本文中的積體電路。
如上面所解釋,本揭露係關於包含比習知主動區更小的主動區(亦即,AR緩行區)的半導體裝置。更小主動區需要更小面積且因此有助於減小半導體裝置的面積。替代地,主動區的更小面積使得能夠增加半導體裝置的閘極密度。而且,該半導體裝置亦包含用於M0區與MD區之間的VD的較寬中間M0區。因此,包含MD區的電路可經由M0區路由且連接至其他電路。在一些實施例中,半導體裝置亦包含隔離兩個MD區的CMD區。CMD區在MD與主動區之間提供間隔,且因此有助於避免半導體裝置中的時間相依介電崩潰(time-dependent dielectric breakdown,TDDB)。由於MD與主動區之間的間隔,因此亦可在半導體裝置中避免或至少減輕MD-EPI洩露。因此,小主動區(或AR緩行結構)連同CMD區減小電路面積且釋放製程窗口。
本揭露亦係關於三金屬佈線正反器電路。該正反器電路在其非臨界路徑上包含小主動區(亦即,AR緩行結構)。此有助於減小正反器電路的電路面積。本揭露亦係關於電路單元。該電路單元包含小主動區(亦即,AR結構)。此有助於減小電路單元的電路面積。此亦有助於減小由採用AR緩行結構的電路單元或類似電路單元實施的任何積體電路的面積。此亦有助於將更多閘極整合於裝置上。
本揭露亦係關於由計算機執行以判定電路單元是否可利用小主動區(或AR緩行結構)的方法。該方法有助於檢查電路單元是否可利用小主動區(或AR緩行結構)。該方法可有助於利用小主動區產生複數個新電路單元。這些電路單元可用作替代電路單元,以實施具有小面積的特殊應用積體電路。
本揭露的一個態樣係關於半導體裝置。該半導體裝置包含第一導電區、第二導電區及第三導電區以及第一主動區及第二主動區。第一導電區具有第一寬度且沿著第一方向延伸。第二導電區具有第二寬度且沿著第一方向延伸。第一寬度大於第二寬度。第一主動區具有第三寬度且沿著第一方向延伸。第二主動區具有第四寬度且沿著第一方向延伸。第三寬度小於第四寬度。第三導電區沿著第二方向延伸且電連接至第一導電區。第二方向與第一方向不同。第一主動區及第二主動區為鄰近主動區。
本揭露的另一態樣係關於三金屬佈線正反器電路。該正反器電路包含具有第一寬度且沿著第一方向延伸的第一導電區。該正反器電路亦包含具有第二寬度且沿著第一方向延伸的第二導電區。第一寬度大於第二寬度。該正反器電路亦包含具有第三寬度及第四寬度且沿著第一方向延伸的主動區。第三寬度小於第四寬度。此外,該正反器電路包含沿著第二方向延伸且電連接至第一導電區的第三導電區。第二方向與第一方向不同。第三寬度(亦即,小寬度)的主動區亦有助於減小正反器電路的電路面積。
本揭露的又一態樣係關於由計算機執行以判定儲存於記憶體中的電路單元中的主動區的寬度的方法。該方法包含自記憶體電路獲得電路單元中的第一導電區的第一寬度、主動區的第一寬度、主動區的微分寬度及主動區與電路單元中的第二導電區之間的間隔。該方法亦包含基於第一導電區的第一寬度來計算第一參數。該方法亦包含基於主動區的第一寬度及主動區與第二導電區之間的間隔來計算第二參數。該方法亦包含判定第一參數是否小於第二參數。此外,該方法包含回應於判定第一參數小於第二參數,基於第一導電區的第二寬度來計算第三參數且基於主動區的微分寬度來計算第四參數,第一導電區的第二寬度大於第一導電區的第一寬度。該方法亦包含判定第三參數是否等於或大於第四參數。該方法亦包含回應於判定第三參數等於或大於第四參數,將第一導電區的第二寬度判定為第一導電區的寬度。該方法亦包含判定主動區的寬度等於主動區的第一寬度減去主動區的微分寬度。在一些實施例中,該方法亦包含將隔離區插入於第二導電區與第三導電區之間。
本揭露的又一態樣係關於用於製造積體電路的方法。該方法包含在第一層中形成第一主動區。第一主動區具有第一寬度且沿著第一方向延伸。該方法亦包含在第一層中形成第二主動區。第二主動區具有第二寬度且沿著第一方向延伸。第一寬度小於第二寬度。第一主動區及第二主動區為鄰近主動區。第二層位於第一層上方。該方法亦包含在第二層中形成第一導電區。第一導電區沿著第二方向延伸。第二方向與第一方向不同。該方法亦包含製造耦接至第一導電區且位於第二層上方的連通柱。連通柱具有第三寬度。該方法亦包含形成位於第三層中且耦接至連通柱的第二導電區。第三層位於第二層上方。連通柱耦接在第二層中的第一導電區與第三層中的第二導電區之間。第二導電區具有第四寬度且沿著第一方向延伸。第三寬度等於或小於第四寬度。該方法亦包含在第三層中形成第三導電區。第三導電區具有第五寬度且沿著第一方向延伸。第四寬度大於第五寬度。
已經提供了金屬接線、層及元件尺寸的具體實例。然而,這些實例不意欲作為限制。熟習此項技術者現在將理解,本文中的實施例可用具有其他金屬接線、層級尺寸的元件以相等有效性進行實踐。
前述內容概述了數個實施例的特徵,使得熟習此項技術者可更佳地理解本揭露的各個態樣。熟習此項技術者應瞭解,他們可容易地使用本揭露作為設計或修改用於實現本文中所引入的實施例的相同目的及/或達成相同優勢的其他製程及結構的基礎。熟習此項技術者亦應認識到,此類等效構造並不脫離本揭露的精神及範疇,且在不脫離本揭露的精神及範疇的情況下可在本文中進行複數種改變、替換及變更。
100A、200A、200E:電路 100B:平面圖 100C、200B、200D、200F、300A、400A、400B、400C、500A、500B、500C、600B:佈局 110:MUX輸入電路 111a、111b、111b-1、111b-2、111c、111d、411b-1、411b-2、511b-1、511b-2、521b-1、521b-2、531b-1、531b-2:主動區 112、116、122、126、412、512、522、532:MD區 113、413-1、413-2、513、523-1、523-2、533-1、533-2:連通柱 114、118、414、514、524、534:CMD區 115:區 117:寬M0區 120:主開關電路 130:從開關電路 140:Q輸出電路 150:第一時脈相位反相器 160:第二時脈相位反相器 170:讀出啟用反相器電路 202:臨界路徑 210、220:磊晶區 211a:掃描輸入電路 211b:p型電晶體 600、800:方法 610、620、630、640、650、660、670、680、690、802、804、806、808、810、812、814、816:步驟 700A、700B、700C:電路單元 AR:主動區 clkb、clkbb:時脈相位訊號 CC’:橫截面視圖 CMD:切割MD區 CP:時脈相位訊號 D:資料 ENC VD/MD:MD區對VD的圍封 ENC VD/M0:中間M0區對VD的圍封 EPI:磊晶區 HF:超鰭區 H HC:第一參數 H’HC:第三參數 mx1:第一多工器 mx2:第二多工器 M1:金屬1區 MD:金屬擴散區 PITCH CMD:距離 Q:輸出訊號/輸出電路 SE:選擇賦能訊號 SEB:反相選擇賦能訊號 SH HC:第二參數 SH’HC:第四參數 SI:掃描輸入訊號 SPC MD-AR、SPC NP:間隔 V DD、V SS:電力軌 VD:連通柱 W AR、W VD、W WM0:寬度 W AR_1、W AR_2、W AR-111b-1:第二寬度 W AR-jog:微分寬度
在結合隨附圖式閱讀以下詳細描述時可最佳地理解本揭露的各個態樣。應注意,根據業界的標準慣例,各種特徵並未按比例繪製。實際上,各種特徵的尺寸可出於論述的清晰起見而任意地增大或減小。 第1A圖說明根據一些實施例的示例性三金屬佈線正反器電路的圖。 第1B圖說明根據一些實施例的第1A圖中所說明的示例性三金屬佈線正反器電路的平面圖。 第1C圖說明根據一些實施例的第1A圖中所說明的示例性三金屬佈線正反器電路的佈局。 第2A圖說明根據一些實施例的第1A圖中所說明的示例性三金屬佈線正反器電路的一部分的電路。 第2B圖說明根據一些實施例的第2A圖中所說明的示例性三金屬佈線正反器電路的一部分的佈局。 第2C圖說明根據一些實施例的第2B圖中所說明的佈局中的橫截面視圖。 第2D圖說明根據一些實施例的第1C圖中所說明的示例性三金屬佈線正反器電路的一部分的佈局。 第2E圖說明根據一些實施例的第2A圖中所說明的示例性三金屬佈線正反器電路的一部分的電路。 第2F圖說明根據一些實施例的第2A圖中所說明的示例性三金屬佈線正反器電路的部分的佈局。 第3圖說明根據一些實施例的包含小主動區(active region,AR)的電路單元的示例性部分的佈局。 第4A圖說明根據一些實施例的包含AR緩行結構(AR-jog structure)的示例性雙層電路單元(double-height circuit cell)的佈局。 第4B圖說明根據一些實施例的包含AR緩行結構的另一示例性雙層電路單元的佈局。 第4C圖說明根據一些實施例的包含AR緩行結構的示例性單層電路單元(single-height circuit cell)的佈局。 第5A圖說明根據一些實施例的包含兩個AR緩行結構的示例性雙層電路單元的佈局。 第5B圖說明根據一些實施例的包含兩個AR緩行結構的另一示例性雙層電路單元的佈局。 第5C圖說明根據一些實施例的包含兩個AR緩行結構的另一示例性雙層電路單元的佈局。 第6A圖為根據一些實施例的由計算機執行的用於判定儲存於記憶體中的電路單元中的主動區的寬度的示例性方法的流程圖。 第6B圖說明根據一些實施例的對應於第6A圖中所說明的示例性方法的佈局的示例性幾何形狀。 第7A圖說明根據一些實施例的示例性電路單元的後段製程(back-end-of-line,BEOL)的示例性幾何形狀。 第7B圖說明根據一些實施例的示例性電路單元的中段製程(middle-of-line,MEOL)的示例性幾何形狀。 第7C圖說明根據一些實施例的示例性電路單元的前段製程(front-end-of-line,FEOL)的示例性幾何形狀。 第8圖為根據一些實施例的用於製造積體電路的示例性方法的流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
800:方法
802、804、806、808、810、812、814、816:步驟

Claims (20)

  1. 一種半導體裝置,包括: 一第一導電區,具有一第一寬度且沿著一第一方向延伸; 一第二導電區,具有一第二寬度且沿著該第一方向延伸,該第一寬度大於該第二寬度; 一第一主動區,具有一第三寬度且沿著該第一方向延伸; 一第二主動區,具有一第四寬度且沿著該第一方向延伸,該第三寬度小於該第四寬度;及 一第三導電區,沿著一第二方向延伸且電連接至該第一導電區,該第二方向與該第一方向不同, 其中該第一主動區及該第二主動區為鄰近的主動區。
  2. 如請求項1所述之半導體裝置,其中: 該第一導電區位於一第一層中; 該第三導電區位於一第二層中;且 該第二方向垂直於該第一方向。
  3. 如請求項2所述之半導體裝置,進一步包括: 一連通柱,耦接在該第一導電區與該第三導電區之間,該連通柱具有等於或小於該第一寬度的一第五寬度。
  4. 如請求項1所述之半導體裝置,進一步包括: 一第三主動區,具有該第四寬度,沿著該第一方向延伸且耦接至該第一主動區。
  5. 如請求項1所述之半導體裝置,其中該第三導電區與該第一主動區之間的一間隔等於或大於一間隔臨限值。
  6. 如請求項1所述之半導體裝置,進一步包括: 一第四導電區,具有該第二寬度且沿著該第一方向延伸; 其中: 該第一導電區、該第二導電區及該第四導電區位於一第一層中;且 該第三導電區位於一第二層中且沿著垂直於該第一方向的該第二方向延伸。
  7. 如請求項1所述之半導體裝置,進一步包括: 一第四導電區,沿著該第二方向延伸;及 一隔離區,沿著該第二方向延伸且形成於該第三導電區與該第四導電區之間。
  8. 如請求項7所述之半導體裝置,其中: 該第一主動區及該第二主動區位於一第一層中; 該第三導電區及該第四導電區以及該隔離區位於一第二層中,該第二層與該第一層不同; 該第三導電區在該第二層中延伸且在該第一層中的該第二主動區上方;且 該第四導電區在該第二層中延伸且在該第一層中的該第一主動區上方。
  9. 如請求項7所述之半導體裝置,其中該隔離區為一第一隔離區,該半導體裝置進一步包括: 一第二隔離區,沿著該第二方向延伸, 其中: 該第一隔離區及該第二隔離區位於該第一主動區的二個相對側;且 該第一隔離區與該第二隔離區之間的一距離等於或大於一臨限值距離。
  10. 如請求項1所述之半導體裝置,進一步包括: 一第一連通柱,耦接在該第一導電區與該第三導電區之間;及 一第二連通柱,耦接至一電晶體的一源極, 其中該第一連通柱及該第二連通柱位於該第一主動區的二個相對側。
  11. 如請求項1所述之半導體裝置,進一步包括: 一第四導電區,具有該第一寬度且沿著該第一方向延伸; 一第五導電區,具有該第二寬度且沿著該第一方向延伸; 一第三主動區,具有該第三寬度且沿著該第一方向延伸; 一第四主動區,具有該第四寬度且沿著該第一方向延伸;及 一第六導電區,沿著該第二方向延伸且電連接至該第四導電區, 其中該第三主動區及該第四主動區為鄰近的主動區。
  12. 如請求項11所述之半導體裝置,其中: 該第三導電區及該第六導電區位於該第一主動區的二個相對側;且 該第三導電區及該第六導電區位於該第三主動區的二個相對側。
  13. 如請求項11所述之半導體裝置,進一步包括: 一第一連通柱,耦接在該第一導電區與該第三導電區之間; 一第二連通柱,耦接在該第四導電區與該第六導電區之間;及 一第三連通柱,耦接至一電晶體的一源極; 其中該第二連通柱及該第三連通柱位於該第三主動區的二個相對側。
  14. 如請求項13所述之半導體裝置,其中該電晶體為一第一電晶體,該半導體裝置進一步包括: 一第四連通柱,耦接至一第二電晶體的一源極, 其中該第一連通柱及該第四連通柱位於該第一主動區的二個相對側。
  15. 一種正反器電路,包括: 一第一導電區,具有一第一寬度且沿著一第一方向延伸; 一第二導電區,具有一第二寬度且沿著該第一方向延伸,該第一寬度大於該第二寬度; 一主動區,具有一第三寬度及一第四寬度且沿著該第一方向延伸,該第三寬度小於該第四寬度;及 一第三導電區,沿著一第二方向延伸且電連接至該第一導電區,該第二方向與該第一方向不同。
  16. 如請求項15所述之正反器電路,其中: 該第一導電區、該第二導電區及該第三導電區以及該主動區為第一複數個電路;且 該正反器電路進一步包括第二複數個電路,該第二複數個電路包括該正反器電路的一最長電路路徑。
  17. 如請求項15所述之正反器電路,進一步包括: 一多工器,包括一資料輸入電路及一掃描輸入電路, 其中該掃描輸入電路包括該主動區的至少一部分。
  18. 一種用於製造積體電路的方法,該方法包括以下步驟: 在一第一層中形成一第一主動區,該第一主動區具有一第一寬度且沿著一第一方向延伸; 在該第一層中形成一第二主動區,該第二主動區具有一第二寬度且沿著該第一方向延伸,該第一寬度小於該第二寬度; 其中該第一主動區及該第二主動區為鄰近的主動區; 在一第二層中形成一隔離區,該第二層位於該第一層上方; 在該第二層中形成一第一導電區,該第一導電區沿著一第二方向延伸,該第二方向與該第一方向不同; 製造耦接至該第一導電區且位於該第二層上方的一連通柱,該連通柱具有一第三寬度; 形成位於一第三層中且耦接至該連通柱的一第二導電區,該第三層位於該第二層上方,該連通柱耦接在該第二層中的該第一導電區與該第三層中的該第二導電區之間,該第二導電區具有一第四寬度且沿著該第一方向延伸,該第三寬度等於或小於該第四寬度;及 在該第三層中形成一第三導電區,該第三導電區具有一第五寬度且沿著該第一方向延伸,該第四寬度大於該第五寬度。
  19. 如請求項18所述之方法,進一步包括以下步驟: 在該第一層中形成一第三主動區,該第三主動區具有該第二寬度,沿著該第一方向延伸且耦接至該第一主動區。
  20. 如請求項18所述之方法,其中該隔離區為一第一隔離區,該方法進一步包括以下步驟: 在該第二層中形成一第二隔離區; 其中: 該第一隔離區及該第二隔離區位於該第一主動區的二個相對側;且 該第一隔離區與該第二隔離區之間的一距離等於或大於一臨限值距離。
TW111125739A 2021-07-09 2022-07-08 半導體裝置、正反器電路及製造積體電路的方法 TWI844881B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163220320P 2021-07-09 2021-07-09
US63/220,320 2021-07-09
US17/657,631 US20230016635A1 (en) 2021-07-09 2022-04-01 Semiconductor devices and methods of designing and manufacturing the same
US17/657,631 2022-04-01

Publications (2)

Publication Number Publication Date
TW202316431A true TW202316431A (zh) 2023-04-16
TWI844881B TWI844881B (zh) 2024-06-11

Family

ID=

Also Published As

Publication number Publication date
CN115276610A (zh) 2022-11-01
US20230016635A1 (en) 2023-01-19

Similar Documents

Publication Publication Date Title
US11088092B2 (en) Via rail solution for high power electromigration
US20220352072A1 (en) Standard-cell layout structure with horn power and smart metal cut
US8173491B2 (en) Standard cell architecture and methods with variable design rules
TW201719835A (zh) 具有埋入電源軌的半導體裝置及製造其的方法
US12048135B2 (en) Four-poly-pitch SRAM cell with backside metal tracks
US10043571B1 (en) SRAM structure
US10854518B2 (en) Configuring different via sizes for bridging risk reduction and performance improvement
KR101547386B1 (ko) 디커플링 finfet 캐패시터
TWI833287B (zh) 積體電路結構、設計其佈局的方法及用於單元佈局設計的庫
TW201733025A (zh) 靜態隨機存取記憶體單元
US20230387015A1 (en) Cell structure with intermediate metal layers for power supplies
CN102623436A (zh) 分布式金属布线
TW202316431A (zh) 半導體裝置、正反器電路及製造積體電路的方法
TW202349251A (zh) 積體電路系統及積體電路的設計方法
TWI844881B (zh) 半導體裝置、正反器電路及製造積體電路的方法
US20220130737A1 (en) Semiconductor device
CN109585413B (zh) 半导体结构及其形成方法及标准单元结构
TW201742190A (zh) 佈局方法以及半導體結構
CN117529097B (zh) 半导体结构及其制备方法
TW202220060A (zh) 柱狀半導體裝置及其製造方法
KR20220058783A (ko) 반도체 장치
TW202303766A (zh) 半導體結構
CN118173561A (zh) 半导体结构及其形成方法
KR20230073656A (ko) 반도체 소자