TW202310194A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW202310194A
TW202310194A TW111111340A TW111111340A TW202310194A TW 202310194 A TW202310194 A TW 202310194A TW 111111340 A TW111111340 A TW 111111340A TW 111111340 A TW111111340 A TW 111111340A TW 202310194 A TW202310194 A TW 202310194A
Authority
TW
Taiwan
Prior art keywords
region
layer
channel
source
inner spacer
Prior art date
Application number
TW111111340A
Other languages
English (en)
Inventor
賴韋仁
呂偉元
林家彬
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202310194A publication Critical patent/TW202310194A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

根據本揭露的方法包括在基板上方沉積包括由犧牲層交錯的通道層的堆疊,在基板的第一區和第二區中形成第一鰭狀結構和第二鰭狀結構,在第一鰭狀結構上方沉積第一虛設閘極堆疊並且在第二鰭狀結構上方沉積第二虛設閘極堆疊,凹蝕第一鰭狀結構和第二鰭狀結構的源極/汲極區以形成第一源極/汲極溝槽和第二源極/汲極溝槽,選擇性地和部分地蝕刻犧牲層以形成第一內部間隔物凹槽和第二內部間隔物凹槽,在第一內部間隔物凹槽中形成第一內部間隔部件,以及在第二內部間隔物凹槽中形成第二內部間隔部件。第一內部間隔部件的組成不同於第二內部間隔部件的組成。

Description

半導體裝置的形成方法
本發明是關於半導體裝置的形成方法,特別是關於半導體裝置之內部間隔部件的形成方法。
半導體積體電路( integrated circuit, IC)產業經歷了快速成長。積體電路材料和設計的技術進步產生了幾代的積體電路,每一代的電路都比上一代更小、更複雜。積體電路演進期間,功能密度(亦即,單位晶片面積的互連裝置數目)通常會增加而幾何尺寸(亦即,即可使用製程生產的最小元件(或線))卻減少。此微縮化的過程通常會以增加生產效率與降低相關成本而提供助益。這種按比例縮小也增加了加工和製造體積體電路的複雜性。
例如,隨著積體電路技術向更小的技術節點發展,已引入多閘極裝置以通過增加閘極-通道耦合、減少截止狀態電流(off-state current)和減少短通道效應(short-channel effects,SCEs)來改進閘極控制。多閘極裝置通常是指具有閘極結構或其一部分的裝置,且閘極結構設置在通道區的多於一側上。鰭式場效電晶體(fin-like field effect transistor, FinFET)和多橋通道(multi-bridge-channel, MBC)電晶體是多閘極裝置的示例,它們已成為高性能和低漏電應用中的常用和看好的候選裝置。鰭式場效電晶體具有於多於一側被閘極包覆的升高通道(例如,閘極包覆從基板延伸的半導體材料「鰭片」的頂部和側壁)。多橋通道電晶體具有可以部分或完全地圍繞通道區延伸的閘極結構,以提供在兩側或更多側對通道區進行控制。由於其閘極結構圍繞通道區,多橋通道電晶體也可以稱為環繞閘極電晶體(surrounding gate transistor, SGT)或全繞式閘極(gate-all-around, GAA)電晶體。多橋通道電晶體的通道區可以由奈米線、奈米片或其他奈米結構形成,因此,多橋通道電晶體也可以稱為奈米線電晶體或奈米片電晶體。
已在多橋通道電晶體中使用內部間隔部件,以將閘極結構與磊晶源極/汲極部件隔開,並在通道釋放製程期間保護源極/汲極部件。在傳統技術中,用於n型多橋通道電晶體和p型多橋通道電晶體的內部間隔部件具有相同的組成,並且沒有被配置為不同地應變通道構件以增強不同裝置區域中的載流子遷移率。因此,雖然傳統的多閘極結構通常足以滿足其預期目的,但它們並非在所有方面都令人滿意。
本發明實施例提供了一種半導體裝置的形成方法,包括:在基板上沉積堆疊,堆疊包括以複數個犧牲層交錯的複數個通道層;從位於基板的第一區的堆疊形成第一鰭狀結構,且從位於基板的第二區的堆疊形成第二鰭狀結構;在第一鰭狀結構的通道區上沉積第一虛設閘極堆疊,且在第二鰭狀結構的通道區上沉積第二虛設閘極堆疊;凹蝕第一鰭狀結構的多個源極/汲極區及第二鰭狀結構的多個源極/汲極區,以分別形成多個第一源極/汲極溝槽及多個第二源極/汲極溝槽;選擇性地且部分地蝕刻犧牲層,以在第一區形成多個第一內部間隔物凹槽,且在第二區形成多個第二內部間隔物凹槽;在第一內部間隔物凹槽中形成多個第一內部間隔部件;以及在第二內部間隔物凹槽中形成多個第二內部間隔部件,其中第一內部間隔部件的組成與第二內部間隔部件的組成不同。
本發明實施例還提供了一種半導體裝置的形成方法,包括:在基板的n型裝置區形成第一鰭狀結構,且在基板的p型裝置區形成第二鰭狀結構,其中第一鰭狀結構及第二鰭狀結構各包括以複數個犧牲層交錯的複數個通道層;在第一鰭狀結構的通道區上沉積第一虛設閘極堆疊,且在第二鰭狀結構的通道區上沉積第二虛設閘極堆疊;凹蝕第一鰭狀結構的多個源極/汲極區及第二鰭狀結構的多個源極/汲極區,以分別形成多個第一源極/汲極溝槽及多個第二源極/汲極溝槽;選擇性地且部分地蝕刻犧牲層,以在n型裝置區中形成多個第一內部間隔物凹槽,且在p型裝置區中形成多個第二內部間隔物凹槽;在第一內部間隔物凹槽上選擇性地沉積第一內部間隔層;在選擇性地沉積第一內部間隔層之後,執行第一退火製程;在第一退火製程之後,在第一源極/汲極溝槽中形成多個n型源極/汲極部件;在第二內部間隔物凹槽上選擇性地沉積第二內部間隔層;在選擇性地沉積第二內部間隔層之後,執行第二退火製程;以及在第二退火製程之後,在第二源極/汲極溝槽中形成多個p型源極/汲極部件。
本發明實施例更提供了一種半導體裝置,包括:複數個第一通道構件(channel members),在兩個n型源極/汲極部件之間延伸;複數個第一內部間隔部件,與第一通道構件交錯;第一閘極,包繞第一通道構件中的每一者;複數個第二通道構件,在兩個p型源極/汲極部件之間延伸;複數個第二內部間隔部件,與第二通道構件交錯;以及第二閘極,包繞第二通道構件中的每一者,其中第一內部間隔部件的組成與第二內部間隔部件的組成不同。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及∕或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及∕或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。此外,當使用「大約」、「近似」等描述一個數字或數字範圍時,該術語旨在涵蓋在合理範圍內的數字,包括所描述的數字,例如在所描述的數字的+/-10%或本領域技術人員理解的其他值內。例如,術語「約5nm」可以涵蓋從4.5nm到5.5nm的尺寸範圍。
本揭露總體上是關於多閘極電晶體和製造方法,更特別是關於使用不同的內部間隔部件以在不同裝置區域中不同地應變通道構件。
如上所述,多橋通道電晶體也可以稱為環繞閘極電晶體、全繞式閘極電晶體、奈米片電晶體或奈米線電晶體。它們可以是n型或p型。已經在通道構件之間使用了內部間隔部件,以將閘極結構與源極/汲極部件隔離,且保護源極/汲極部件在通道釋放製程期間中不被損壞。隨著半導體裝置的尺寸不斷縮小,提高通道構件中載流子的遷移率變得更加困難。用於製造半導體裝置中最常見的矽基板的表面在(100)晶面上,以使沿<110>方向的電子遷移率最大化。然而,電洞是p型多橋通道電晶體的主要電荷載流子,並且(100)晶面上的電洞遷移率不太理想。在傳統技術中,通道部件可能會因源極/汲極部件而應變,以提高載流子遷移率。在那些傳統技術中,內部間隔部件大體上不具應力(stress free),並且不對通道構件施加任何應力。此外,用於不同類型多橋通道電晶體的傳統內部間隔部件具有相同的組成。因此,傳統的多橋通道電晶體可能沒有令人滿意的性能。
本揭露為n型裝置和p型裝置提供不同的內部間隔部件以提供不同應變的通道構件。本揭露的方法分別形成第一內部間隔部件以與用於n型裝置的通道構件交錯,以及第二內部間隔部件以與p型裝置的通道構件交錯。第一內部間隔部件的組成不同於第二內部間隔部件的組成。在退火時,第一內部隔離物可以對n型裝置區中的通道構件施加壓縮應力,而第二內部隔離物可以對p型裝置區中的通道構件施加拉伸應力。壓縮應力可以增強用於n型裝置的通道構件中的電子遷移率,而拉伸應力可以增強p型裝置的通道構件中的電洞遷移率。通過增强两種類型裝置的載流子遷移率,本揭露的方法可以產生具有改進性能的半導體裝置。
現在將參考圖示更詳細地描述本揭露的各個面向。第1圖根據本揭露的一個或多個面向,繪示出從工作件形成半導體裝置的方法100的流程圖。方法100僅僅是一個示例,並不旨在將本揭露限制為方法100中明確繪示的內容。可以在方法100之前、期間和之後提供附加步驟,並且對於方法的其他實施例可以替換、消除或移動所描述的一些步驟。為了簡單起見,本文並未詳細描述所有步驟。下面結合第2-16圖描述方法100,其示出了工作件根據方法100的實施例在不同製造階段的局部剖面圖。
參考圖參考第1圖和第2圖,方法100包括方框102,其中在工作件200的第一區1000和第二區2000上沉積堆疊204。因為半導體裝置將由工作件200形成,所以根據上下文需要,工作件200可以被稱為半導體裝置200。工作件200包括基板202。在一個實施例中,基板202可以是矽(Si)基板。在一些其他實施例中,基板202可以包括其他半導體,例如鍺(Ge)、矽鍺(SiGe)或III-V半導體材料。示例III-V半導體材料可以包括砷化鎵(GaAs)、磷化銦(InP)、磷化鎵(GaP)、氮化鎵(GaN)、磷化砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、磷化鎵銦(GaInP)和砷化銦鎵(InGaAs)。基板202還可以包括絕緣層,例如氧化矽層,以具有絕緣體上覆矽(silicon-on-insulator, SOI)結構。在一些實施例中,第一區1000是設置n型多橋通道電晶體的n型裝置區域,第二區2000是設置p型多橋通道電晶體的p型裝置區域。儘管未明確示出,但基板202可以包括在第一區1000中的p型井區和在第二區2000中的n型井區。p型井區和n型井區中的每一個都包括摻雜輪廓(doping profile)。n型井區包括n型摻雜劑的摻雜輪廓,例如磷(P)或砷(As)。p型井區包括p型摻雜劑的摻雜輪廓,例如硼(B)。n型井區和p型井區的摻雜可以使用離子植入或熱擴散來形成,並且可以視為基板202的部分。
在方框102處沉積的堆疊204可以包括以複數個犧牲層206交錯的複數個通道層208。通道層208和犧牲層206可以具有不同的半導體組成。在一些實施方式中,通道層208由矽(Si)形成並且犧牲層206由矽鍺(SiGe)形成。在這些實施方式中,犧牲層206中額外的鍺含量允許犧牲層206的選擇性去除或凹蝕,而大抵上不會對通道層208造成損害。在一些實施例中,可以使用磊晶製程來沉積犧牲層206和通道層208。用於堆疊204的合適的磊晶製程包括化學氣相沉積(chemical vapor deposition, CVD)的沉積技術(例如,氣相磊晶(vapor-phase epitaxy, VPE)及/或超高真空化學氣相沉積(ultra-high vacuum CVD, UHV-CVD))、分子束磊晶(molecular beam epitaxy, MBE)及/或其他合適的製程。如第2圖所示,在方框102中,犧牲層206和通道層208一個接一個地交替沉積,以在第一區1000和第二區2000上方形成堆疊204。應注意的是,四(4)層的犧牲層206和三(3)層的通道層208交替且垂直地設置,如第2圖所示,其僅用於說明目的並且不旨在限制於超出請求範圍中具體記載的內容。膜層的數量取決於裝置200的通道構件的期望數量。在一些實施例中,通道層208的數量在2和10之間。根據本揭露,為了在隨後的製程中良好地對所有通道層施加應力,每個通道層208夾在兩個犧牲層206之間。為了圖案化的目的,工作件200可以包括在堆疊204上方的硬遮罩層210。硬遮罩層210可以是單層或多層。在一個示例中,硬遮罩層210包括氧化矽層和氮化矽層。
參考第1圖和第3圖,方法100包括方框104,其中第一鰭狀結構212-1(或第一鰭形結構212-1)形成在第一區1000,且第二鰭狀結構212-2(或第二鰭形結構212-2)形成在第二區2000中。如第3圖所示,第一鰭狀結構212-1由堆疊204及基板202的一部分形成,且第二鰭狀結構212-2由堆疊204及基板202的一部分形成。為了便於參考,第一鰭狀結構212-1和第二鰭狀結構212-2可以統稱為鰭狀結構212。在一些實施例中,在方框104中,堆疊204和基板202(包括n型井區202N和P型井區202P)被圖案化以形成鰭狀結構212。鰭狀結構212從基板202沿Z方向垂直延伸。每個鰭狀結構212包括由基板202形成的底部和由堆疊204形成的頂部。可以使用合適的製程對鰭狀結構212進行圖案化,包括雙重圖案化或多重圖案化製程。一般來說,雙重圖案化或多重圖案化製程結合了微影製程與自對準製程,以創建出例如,比使用單一、直接微影製程所得的節距更小的圖案。例如,在一實施例中,在基板上方形成材料層,並使用微影製程對其進行圖案化。使用自對準製程在圖案化的材料層旁邊形成間隔物。之後去除材料層,然後可以使用剩餘的間隔物或心軸,以通過蝕刻堆疊204和基板202來圖案化鰭狀結構212。蝕刻製程可以包括乾式蝕刻、濕式蝕刻、反應離子蝕刻(reactive ion etching, RIE),及/或其他合適的製程。
繼續參考第1圖和第3圖,方法100包括方框106,其中形成隔離部件214。在形成鰭狀結構212之後,在鄰近的鰭狀結構212之間形成隔離部件214。隔離部件214也可以被稱為淺溝槽隔離(shallow trench isolation, STI)部件214。在示例製程中,首先沉積介電層在工作件200上方,用介電材料填充鰭狀結構212之間的溝槽。在一些實施例中,介電層可以包括氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass, FSG)、低介電常數介電質、它們的組合及/或其他合適的材料。在各種示例中,可以通過化學氣相沉積製程、次常壓化學氣相沉積(subatmospheric CVD ,SACVD) 製程、流動式化學氣相沉積製程、原子層沉積(atomic layer deposition, ALD)製程、物理氣相沉積(physical vapor deposition, PVD)製程、旋塗及/或其他合適的製程來沉積介電層。然後例如通過化學機械拋光(chemical mechanical polishing, CMP)製程將沉積的介電材料薄化和平坦化。通過乾式蝕刻製程、濕式蝕刻製程及/或它們的組合進一步凹蝕平坦化的介電層,以形成隔離部件214。如第3圖所示,鰭狀結構212的頂部上升到隔離部件之上214。在第3圖所示的一些實施方式中,硬遮罩層210 也可以在隔離部件214的形成期間中被去除。
參考圖參照第1圖、第4圖和第5圖,方法100包括方框108,其中在鰭狀結構212的通道區202C上方形成虛設閘極堆疊220。在一些實施例中,採用閘極替換製程(或閘極後製程(gate-last process)),其中虛設閘極堆疊220用作功能閘極結構的佔位件(placeholder)。其他製程和配置是可能的。在一些實施例中,虛設閘極堆疊220形成在隔離部件214上,且至少部分地設置在鰭狀結構212的通道區202C之上。如第4圖所示,虛設閘極堆疊220沿Y方向縱向延伸,以包裹(wrap over)第一鰭狀結構212-1和第二鰭狀結構212-2。每個虛設閘極堆疊220包括虛設介電層216和虛設閘電極218。為了說明虛設閘極堆疊220如何設置在鰭狀結構212上方,第一區1000沿剖面A-A’的剖面圖和第二區2000沿剖面B-B’的剖面圖如第5圖所示。如第5圖所示,鰭狀結構212位於虛設閘極堆疊220下方的部分為通道區202C。通道區202C還定義了不被虛設閘極堆疊220垂直重疊的源極/汲極區202SD。每個通道區202C設置在兩個源極/汲極區202SD之間。
在一些實施例中,虛設閘極堆疊220通過各種製程步驟形成,例如膜層沉積、圖案化、蝕刻,以及其他合適的製程步驟。示例性膜層沉積製程包括低壓化學氣相沉積、化學氣相沉積、電漿增強化學氣相沉積(plasma-enhanced CVD, PECVD)、物理氣相沉積、原子層沉積、熱氧化、電子束蒸發(e-beam evaporation)或其他合適的沉積技術,或它們的組合。例如,圖案化製程可以包括微影製程(例如,光學微影或電子束微影),其還可包括光阻塗佈(例如,旋塗)、軟烘烤(soft baking)、遮罩對準、曝光、曝光後烘烤、光阻顯影、清洗(rinsing)、乾燥(例如,旋轉乾燥及/或硬烘烤)、其他合適的微影技術及/或它們的組合。在一些實施例中,蝕刻製程可以包括乾式蝕刻(例如,反應離子蝕刻)、濕式蝕刻及/或其他蝕刻方法。在示例製程中,虛設介電層216、用於虛設閘電極218的虛設電極層和閘極頂部硬遮罩層219依序地沉積在工作件200上方,包括在鰭狀結構212(包括第一鰭狀結構212-1和第二鰭狀結構212-2)上方。可以使用化學氣相沉積製程、次常壓化學氣相沉積(SACVD)製程、流動式化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程或其他合適的製程來完成沉積。然後使用光學微影製程對虛設介電質層216和虛設電極層進行圖案化以形成虛設閘極堆疊220。在一些實施例中,虛設介電質層216可以包括氧化矽並且虛設閘電極218可以包括多晶矽(polysilicon)。閘極頂部硬遮罩層219可以包括氧化矽層和氮化物層。舉例來說,虛設介電層216可用於防止在後續製程期間損壞鰭狀結構212。
方框108還可以包括沿虛設閘極堆疊220的側壁沉積閘極間隔層222的操作,如第5圖所示。在一些實施例中,閘極間隔層222的形成包括在工作件200上保形沉積一層或多層介電層,以及從工作件200朝上的表面(top-facing surfaces)回蝕閘極間隔層222。在示例性製程中,一層或多層介電層使用化學氣相沉積、次常壓化學氣相沉積或原子層沉積而沉積,並在非等向性蝕刻製程中被回蝕,以形成閘極間隔層222。閘極間隔層222可以包括氧化矽、氮化矽、碳化矽、氮氧化矽、碳氮化矽、碳氧化矽、碳氧氮化矽及/或它們的組合。
參考第1圖和第6圖,方法100包括方框110,其中在鰭狀結構212(包括第一鰭狀結構212-1和第二鰭狀結構212-2)的源極/汲極區202SD中形成源極/汲極溝槽224。如第6圖所示,蝕刻未被閘極頂部硬遮罩層219或閘間隔層222遮蔽的源極/汲極區202SD。在第6圖所示的實施方式中,源極/汲極溝槽224可以部分地延伸到基板202中。方框110的蝕刻製程可以是乾式蝕刻製程或合適的蝕刻製程。例如,乾式蝕刻製程可以使用含氧氣體、含氟氣體(例如CF 4、SF 6、CH 2F 2、CHF 3及/或C 2F 6)、含氯氣體(例如Cl 2、CHCl 3、CCl 4及/或BCl 3)、含溴氣體(例如HBr及/或CHBr 3)、含碘氣體、其他合適的氣體及/或電漿、及/或它們的組合。如第6圖所示,犧牲層206和通道層208的側壁在源極/汲極溝槽224中露出。
參考第1圖和第7圖,方法100包括方框112,其中在第一區1000和第二區2000中形成內部間隔物凹槽226。在方框112,在源極/汲極溝槽224中露出的犧牲層206被選擇性地和部分地凹蝕以在第一區1000和第二區2000中形成內部間隔物凹槽226,而露出的通道層208大抵上未被蝕刻。在一實施例中,通道層208基本上由矽(Si)組成(consist essentially of)並且犧牲層206基本上由矽鍺(SiGe)組成,選擇地和部分地凹蝕犧牲層206的可以包括SiGe氧化製程,接續SiGe的氧化物去除。在此實施例中,SiGe氧化製程可以包括使用臭氧。在一些其他實施例中,選擇性凹蝕可以是選擇性等向性蝕刻製程(例如,選擇性乾式蝕刻製程或選擇性濕式蝕刻製程),並且犧牲層206凹蝕的程度由蝕刻製程的持續時間控制。選擇性乾式蝕刻製程可包括使用一種或多種氟系蝕刻劑(fluorine-based etchants),例如氟氣或氫氟烴。選擇性濕式蝕刻製程可以包括氫氟酸(HF)或NH 4OH蝕刻劑。
應該注意的是,方框102至方框112中的操作可能不是區域特定的。換而言之,除非有意使第一區1000和第二區2000的鰭狀結構212的寬度或虛設閘極堆疊220的寬度不同,否則依據方框102至112中操作形成的結構在整個工作件200上大抵相同。
參考第1圖和第8圖,方法100包括方框114,其中第一內部間隔層228選擇性地沉積在第一區1000中的內部間隔物凹槽226上方,同時第二區2000被第一遮罩層230覆蓋和保護。在一些實施例中,第一遮罩層230可以包括一層或多層光阻層。在示例製程中,首先使用流動化學氣相沉積(FCVD)、旋塗或合適的沉積製程將第一遮罩層230毯覆地沉積在工作件200上。然後使用光學微影製程將第一遮罩層230圖案化,其中第一遮罩層230被軟烘烤、暴光於從光罩反射或透過光罩的輻射、在後烘烤製程中烘烤並在顯影劑溶液中顯影。如第8圖所示,第一遮罩層230被圖案化以覆蓋第二區2000並露出第一區1000。在第二區2000覆蓋在第一遮罩層230之下的情況下,第一內部間隔層228保形地沉積在第一區100上,包括沉積在第一區1000中的內部間隔物凹槽226上方和內部。第一內部間隔層228可以包括氮化矽(SiN)或可以在有水或含氧環境中吸收氧氣的其他合適的介電材料。保形沉積可以使用化學氣相沉積、電漿增強化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、原子層沉積(atomic layer deposition, ALD)、電漿增強原子層沉積(plasma-enhanced ALD, PEALD)或合適的沉積方法來執行。
參考第1圖和第9圖,方法100包括方框116,其中執行第一退火製程300。在一些實施例中,第一退火製程300包括在大約500℃至大約700℃之間的第一退火溫度T1,並且在諸如水蒸氣環境的含氧環境中執行。在一些實施方式中,第一退火製程300可以在爐管執行約30分鐘至約120分鐘的持續時間。可以觀察到第一退火製程300可以氧化第一內部間隔層228,或將氧結合到第一內部間隔層228中。氧化或結合氧原子導致第一內部間隔層228體積膨脹。如第9圖中的箭頭符號所示,第一內部間隔層228的膨脹可以對第一區1000中通道區202C中的通道層208施加拉伸應力。拉伸應力沿著通道長度方向(即X方向)作用在通道層208上,並引起通道208中的拉伸應變。可以觀察到此拉伸應力可提高第一區1000中通道層208中的電子遷移率。
參考第1圖和第10圖,方法100包括方框118,其中凹蝕第一內部間隔層228以形成第一內部間隔部件2280。在方框118,接著回蝕經退火的第一內部間隔層228,以去除位於第一區1000中內部間隔物凹槽226外部的第一內部間隔層228,以形成第一內部間隔部件2280,如第10圖所示。在一些實施例中,可以使用非等向性乾式蝕刻製程來執行方框118處的凹蝕。示例性乾式蝕刻製程可以包括含氟氣體(例如,CF 4、SF 6、CH 2F 2、CHF 3、NF 3及/或C 2F 6)、含氯氣體(例如,Cl 2、CHCl 3、CCl 4及/或BCl 3)、含溴氣體(例如,HBr及/或CHBr3)、含碘氣體、其他合適的氣體及/或電漿、及/或它們的組合。如第10圖所示,凹蝕還可以從第一區1000中的源極/汲極區202SD去除第一內部間隔層228。可以觀察到,當第一內部間隔部件2280佔三分之一(1/3)至大約一半(1/2)的通道層208的長度,方框118處的凹蝕大抵上不會釋放施加在通道層208上的拉伸應力。參考第10圖,在第一區1000的通道區202C中的通道層208具有沿X方向的第一長度(L1),並且每個第一內部間隔部件2280具有沿X方向的第二長度(L2)。為了在凹蝕之後保持拉伸應力,在通道層208的兩端處的兩個第一內部間隔部件2280的總長度(L2*2)在第一長度(L1)的大約三分之一(1/3)和大約二分之一(1/2)之間。如下文將描述的,待沉積在第一區1000中的源極/汲極溝槽224中的源極/汲極部件也對第一區1000中的通道層208施加拉伸應力。較早形成和退火的第一內部間隔部件2280可被視為第一階段拉伸應力源(first stage tensile stressor),並且第一區1000中的源極/汲極部件可被視為第二階段拉伸應力源。與僅具有源極/汲極部件作為單階段應力源(single-stage stressor)的傳統結構相比,根據本揭露的實施例可以更有效地在通道層上施加應力並提高載流子遷移率。
參照第1圖和第11圖,方法100包括方框120,其中第一源極/汲極部件232在第一區1000中的源極/汲極溝槽224中形成。由於第一遮罩層230仍然覆蓋第二區2000,第一源極/汲極部件232可以選擇地沉積在第一區1000中的源極/汲極溝槽224中。在一些實施例中,可以使用磊晶製程形成第一源極/汲極部件232,例如氣相磊晶(vapor-phase epitaxy, VPE)、超高真空化學氣相沉積(ultra-high vacuum CVD, UHV-CVD)、分子束磊晶(molecular beam epitaxy, MBE)及/或其他合適的製程。磊晶生長製程可以使用氣體及/或液體前驅物,它們與基板202以及通道層208的組成相互作用。在第10圖所示的實施例中,形成在第一區1000上的第一源極/汲極部件232是n型源極/汲極部件。第一源極/汲極部件232可以包括矽(Si)、砷化鎵(GaAs)、砷摻雜矽(SiAs)、磷摻雜矽(SiP)、磷摻雜砷化鎵(GaAsP)或其他合適的材料。當摻雜第一源極/汲極部件232時,可以在磊晶製程期間通過引入諸如磷(P)、砷(As)或兩者的n型摻雜劑而原位摻雜。當第一源極/汲極部件232沒有原位摻雜n型摻雜劑時,可以執行佈植製程(即,接面佈植(junction implant)製程),以用n型摻雜劑摻雜第一源極/汲極部件232。如上所述,第一源極/汲極部件232可以對第一區1000的通道區202C中的通道層208施加拉伸應力,並且可以被視為第二階段拉伸應力源,而第一內部間隔部件2280被視為第一階段拉伸應力源。第二階段拉伸應力源比第一階段拉伸應力源施加更多拉伸應力。如第11圖中的箭頭所示,第一內部間隔部件2280和第一源極/汲極部件232協同地和階段式地(stage-wise)在第一區1000中的通道層208上施加拉伸應力。在形成源極/汲極部件232之後,第一遮罩層230通過灰化(ashing)或合適的製程去除。
參考第1圖和第12圖,方法100包括方框122,其中第二內部間隔層236選擇性地沉積在第二區2000中的內部間隔物凹槽226上方,同時第一區1000被第二遮罩層234覆蓋和保護。在一些實施例中,第二遮罩層234與第一遮罩層230一樣,可以包括一層或多層光阻層。在示例製程中,首先使用流動式化學氣相沉積(FCVD)、旋塗或合適的沉積製程將第二遮罩層234毯覆地沉積在工作件200上。然後使用光學微影製程對第二遮罩層234進行圖案化,其中將第二遮罩層234軟烘烤、暴光於從光罩反射或透過光罩的輻射、在後烘烤製程中烘烤並在顯影劑溶液中顯影。如第12圖所示,第二遮罩層234被圖案化以覆蓋第一區1000並露出第二區2000。在第一區1000覆蓋在第二遮罩層234之下的情況下,第二內部間隔層236保形地沉積在第二區2000上,包括沉積在第二區2000中的內部間隔物凹槽226之上和之中。在一些實施例中,第二內部間隔物層236可以包括氧化鋁或摻雜有摻雜劑的合適金屬氧化物。示例摻雜劑可以包括碳、氟或氫。在一個實施例中,第二內部間隔層236包括摻雜有氟和碳的氧化鋁。在第二退火製程400(將在下文描述)期間,由於第二內部間隔層236中雜質的清除或去除,第二內部間隔層236可能緻密化或收縮。換句話說,第二退火製程400可能導致第二內部間隔層236的縮合(condensation),導致體積收縮。保形沉積可以使用化學氣相沉積、電漿增強化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、原子層沉積(ALD)、電漿增強原子層沉積(PEALD)或合適的沉積方法來執行。
參考第1圖和第13圖,方法100包括方框124,其中執行第二退火製程400。在一些實施例中,第二退火製程400可以包括快速熱退火(rapid thermal anneal, RTA)製程、雷射尖峰退火(laser spike anneal)製程或閃光退火(flash anneal)製程。在一些情況下,退火製程包括在大約650°C和大約950°C之間的第二退火溫度T2。第二退火製程400可以持續1秒到3秒之間。可以觀察到,第二退火製程400可導致第二內部間隔層236中雜質的去除或清除,並導致第二內部間隔層236的體積收縮。在一些實施例中,第二退火溫度T2高於第一退火溫度T1,因為需要更高的溫度來去除第二內部間隔層236中的雜質以引起體積收縮。第二內部間隔層236的體積收縮引起壓縮應力沿通道長度方向X作用在(位於第二區2000中的)通道層208上,並在通道層208中引起壓縮應變。可以觀察到這種壓縮應力可以提高第二區2000的通道層208中的電洞遷移率。
參考第1圖和第14圖,方法100包括方框126,其中第二內部間隔層236被凹蝕以形成第二內部間隔部件2360。在方框126,接續回蝕經過退火的第二內部間隔層236,以去除位於第二區2000中的內部間隔物凹槽226外部的第二內部間隔層236,以形成第二內部間隔部件2360,如第14圖所示。在一些實施例中,可以使用非等向性乾式蝕刻製程來執行方框126處的凹陷。示例乾式蝕刻製程可以包括含氟氣體(例如,CF 4、SF 6、CH 2F 2、CHF 3、NF 3及/或C 2F 6)、含氯氣體(例如,Cl 2、CHCl 3、CCl 4及/或BCl 3)、含溴氣體(例如,HBr及/或CHBr 3)、含碘氣體、其他合適的氣體及/或電漿、及/或它們的組合。如第14圖所示,凹蝕還可以從第二區2000中的源極/汲極區202SD去除第二內部間隔層236。可以觀察到,當第二內部間隔部件2360佔三分之一(1/3)和大約一半(1/2)的通道層208的長度,方框126處的凹蝕基本上不會釋放施加在通道層208上的壓縮應力。參考第14圖,在第二區2000中的通道區202C中的通道層208具有沿X方向的第三長度(L3),並且每個第二內部間隔部件2360具有沿X方向的第四長度(L4)。為了在凹蝕之後保持壓縮應力,在通道層208的兩端處的兩個第二內部間隔部件2360的總長度(L4*2)在第三個長度(L3)的大約三分之一(1/3)和大約二分之一(1/2)之間。如下文將描述的,待沉積在第二區2000的源極/汲極溝槽224中的源極/汲極部件也對第二區2000中的通道層208施加壓縮應力。較早形成和退火的第二內部間隔部件2360可被視為第一階段壓縮應力源,並且第二區2000中的源極/汲極部件可被視為第二階段壓縮應力源。與僅具有源極/汲極部件作為單階段應力源的傳統結構相比,根據本揭露的實施例可以更有效地對通道層施加應力並提高載流子遷移率。
取決於半導體裝置200的設計,第一長度Ll和第三長度L3可以不相同。例如,因為第一區1000和第二區2000中的內部間隔物凹槽226在相同的製程步驟中形成,所以第一區1000和第二區2000中的內部間隔物凹槽226可以具有相似的尺寸。當第三長度L3小於第一長度L1,第二內部間隔部件2360可以在第二區2000中成比例放大(proportionally larger)以對位於第二區2000中的通道層208施加更大的壓縮應力。在需要有更大壓縮應力以提高第二區2000中的矽通道層208中急需的電洞遷移率的一些實施例中,第二區2000中的第三長度L3小於第一區1000中的第一長度L1。
參考第1圖和第15圖,方法100包括方框128,其中第二源極/汲極部件238形成在第二區2000中的源極/汲極溝槽224中。由於第二遮罩層234仍然覆蓋第一區1000,第二源極/汲極部件238可以選擇性地沉積在第二區2000中的源極/汲極溝槽224中。在一些實施例中,可以使用磊晶製程形成第二源極/汲極部件238,例如氣相磊晶(VPE)、超高真空化學氣相沉積(UHV-CVD)、分子束磊晶(MBE)及/或其他合適的製程。磊晶生長製程可以使用氣體及/或液體前驅物,它們與基板202以及通道層208的組成相互作用。在第15圖所示的實施例中,形成在第二區2000上的第二源極/汲極部件238是p型源極/汲極部件。第二源極/汲極部件238可以包括鍺(Ge)、矽鍺(SiGe)、鋁摻雜砷化鎵(AlGaAs)、硼摻雜矽鍺(SiGeB)或其他合適的材料。當第二源極/汲極部件238被摻雜時,可以在磊晶製程期間通過引入諸如鋁(Al)或硼(B)或兩者的p型摻雜劑來原位摻雜。當第二源極/汲極部件238沒有原位摻雜p型摻雜劑時,可以執行佈植製程(即,接面佈植製程)以用p型摻雜劑摻雜第二源極/汲極部件238。如上所述,第二源極/汲極部件238可以對第二區2000的通道區202C中的通道層208施加壓縮應力,並且可以被視為第二階段壓縮應力源,而第二內部間隔部件2360被視為作為第一階段壓縮應力源。如第15圖中的箭頭所示,第二內部間隔部件2360和第二源極/汲極部件238協同地和階段式地對第二區2000中的通道層208施加壓縮應力。在形成源極/汲極部件238之後,第二遮罩層234通過灰化或合適的製程去除。
儘管方框114、116、118和120被描述為在方框122、124、126和128之前執行,它們也可以在方框122、124、126和128之後執行。也就是說,在第一內部間隔部件2280和第一源極/汲極部件232選擇性地形成在第一區1000中之前,第二內部間隔部件2360和第二源極/汲極部件238可以選擇性地形成在第二區2000中。為了簡潔起見,省略了此替代製程的細節。
參考第1圖和第16圖所示,方法100可以包括進一步的製程。在一些實施例中,這些進一步的製程不特定於第一區1000或第二區2000,並且可以用另外的遮罩層來執行。這樣的進一步製程可以包括例如接觸蝕刻停止層(contact etch stop layer, CESL)240的沉積、層間介電質(interlayer dielectric, ILD)層242的沉積、虛設閘極堆疊220的去除(如第15圖所示)、選擇性去除通道區202C中的犧牲層206,以及閘極結構250的形成。
在示例製程中,首先將接觸蝕刻停止層240沉積在工作件200上方。接觸蝕刻停止層240可以包括氮化矽、氧化矽、氮氧化矽及/或本領域已知的其他材料。接觸蝕刻停止層240可以使用原子層沉積、電漿增強化學氣相沉積(PECVD)製程及/或其他合適的沉積或氧化製程來沉積。如第16圖所示,接觸蝕刻停止層240可以沉積在第一源極/汲極部件232及第二源極/汲極部件238的頂表面上,並且沿著閘極間隔層222的側壁沉積。層間介電質層242沉積在接觸蝕刻停止層240上。在一些實施例中,層間介電質層242包括諸如正矽酸四乙酯(tetraethylorthosilicate, TEOS)氧化物、未摻雜矽酸鹽玻璃或諸如硼磷矽玻璃(borophosphosilicate glass, BPSG)、熔融石英玻璃(fused silica glass, FSG)、磷矽玻璃(phosphosilicate glass, PSG)、硼摻雜矽玻璃(boron doped silicon glass, BSG)的摻雜氧化矽及/或其他合適的介電材料。層間介電質層242可以通過旋塗、電漿增強化學氣相沉積製程或其他合適的沉積技術來沉積。在一些實施例中,在形成層間介電質層242之後,可以對工作件200進行退火以提高層間介電質層242的完整性。為了去除多餘的材料且露出虛設閘極堆疊220的頂表面,可執行平坦化製程,例如化學機械拋光(chemical mechanical polishing, CMP)製程。然後從工作件200去除露出的虛設閘極堆疊220。去除虛設閘極堆疊220導致通道區202C上方的閘極溝槽。虛設閘極堆疊220的去除可以包括對虛設閘極堆疊220中的材料具有選擇性的一道或多道蝕刻製程。例如,虛設閘極堆疊220的去除可以使用選擇性濕式蝕刻、選擇性乾式蝕刻,或其組合。在去除虛設閘極堆疊220之後,通道區202C中的通道層208及犧牲層206的側壁在閘極溝槽中露出。
在去除虛設閘極堆疊220之後,可以選擇性地去除通道層208之間的犧牲層206,以釋放通道層208以形成通道構件208。應該注意的是,為了簡單起見,用相同的圖示符號208表示通道構件208。犧牲層206的選擇性去除可以通過選擇性乾式蝕刻、選擇性濕式蝕刻或其他選擇性蝕刻製程來實現。在一些實施例中,選擇性濕式蝕刻包括APM(ammonia peroxide mixture)蝕刻(例如,氫氧化氨-過氧化氫-水混合物)。在犧牲層206由矽鍺形成的一些實施例中,選擇性去除包括矽鍺氧化,接續矽鍺氧化物去除。例如,可以通過臭氧清潔提供氧化,然後通過諸如NH 4OH的蝕刻劑去除矽鍺氧化物。然後將閘極結構250沉積到閘極溝槽中以包繞第一區1000和第二區2000中YZ平面上的每個通道構件208。在一些實施例中,閘極結構250包括閘極介電層244以及形成在閘極介電層244上方的閘電極246。在一些實施例中,閘極介電層244可以包括界面層和高介電常數介電層。如本文所使用和描述的,高介電常數閘極介電質包括具有高介電常數的介電材料,例如,大於熱氧化矽(~3.9)的介電常數。界面層可以包括介電材料,例如氧化矽、矽酸鉿或氮氧化矽。可以使用化學氧化、熱氧化、原子層沉積(ALD)、化學氣相沉積(CVD)及/或其他合適的方法來沉積界面層。高介電常數介電層可以包括諸如氧化鉿的高介電常數介電層。或者,高介電常數介電質層可以包括其他高介電常數介電質,例如氧化鉿(HfO)、氧化鈦(TiO 2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta 2O 5)、氧化鉿矽(HfSiO 4)、氧化鋯(ZrO 2)、氧化鋯矽(ZrSiO 2)、氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鋯(ZrO)、氧化釔(Y 2O 3)、SrTiO 3(STO)、BaTiO 3(BTO)、BaZrO、鉿氧化鑭(HfLaO)、氧化鑭矽(LaSiO)、氧化矽鋁(AlSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、(Ba,Sr)TiO 3(BST)、氮化矽(SiN)、氧氮化矽(SiON)、它們的組合或其他合適的材料。可以通過原子層沉積、物理氣相沉積(PVD)、化學氣相沉積、氧化及/或其他合適的方法來形成高介電常數介電層。
閘極結構250的閘電極246可以包括單層或多層結構,例如下列各種組合:用以增強器裝置性能的具有選定功函數的金屬層(功函數金屬層)、襯層、潤濕層(wetting layer)、粘附層、金屬合金或金屬矽化物。作為示例,閘電極可以是氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鉭(TaN)、鉭鋁(TaAl)、氮化鉭鋁(TaAlN)、碳化鉭鋁(TaAlC)、碳氮化鉭(TaCN)、鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、碳化鉭(TaC)、氮化鉭矽(TaSiN)、銅(Cu)、其他難熔金屬或其他合適的金屬材料或它們的組合。在各種實施例中,閘極結構250的閘極電極246可以通過原子層沉積、理氣相沉積、化學氣相沉積、電子束蒸發或其他合適的製程形成。在各種實施例中,可以執行諸如化學機械拋光製程的平坦化製程以去除過多的材料,以提供閘極結構250大抵上平坦的頂表面。
如第16圖所示,在方框130的操作結束時,第一多橋通道電晶體260形成在半導體裝置200的第一區1000中,並且第二多橋通道電晶體280形成在半導體裝置200的第二區2000中。第一內部間隔部件2280和第一源極/汲極部件232協同地對第一區1000中的通道構件208施加拉伸應力,以提高通道構件208中的電子遷移率並增加第一多橋通道電晶體260的驅動電流。第二內部間隔部件2360和第二源極/汲極部件238協同地對第二區2000中的通道層208施加壓縮應力,以提高通道構件208中的電洞遷移率並增加第二多橋通道電晶體280的驅動電流。在第16圖所示的實施例中,第一多橋通道電晶體260是n型多橋通道電晶體,第二多橋通道電晶體280是p型多橋通道電晶體。
儘管不旨在限制,但本揭露的一個或多個實施例為半導體裝置及其形成提供了許多益處。例如,本揭露提供了包括用於n型多橋通道電晶體的第一區和用於p型多橋通道電晶體的第二區的半導體裝置的實施例。這些實施例在第一區中使用第一內部間隔部件,在第二區中使用第二內部間隔部件。第一內部間隔部件在n型多橋通道電晶體的通道構件上施加拉伸應力以提高電子遷移率。第二內部間隔部件在p型多橋通道電晶體的通道構件上施加壓縮應力以提高電洞遷移率。第一內部間隔部件的組成不同於第二內部間隔部件。結果,本發明的n型多橋通道電晶體和p型多橋通道電晶體具有改進的性能。
在一些實施例中,一種半導體裝置的形成方法,包括:在基板上沉積堆疊,堆疊包括以複數個犧牲層交錯的複數個通道層;從位於基板的第一區的堆疊形成第一鰭狀結構,且從位於基板的第二區的堆疊形成第二鰭狀結構;在第一鰭狀結構的通道區上沉積第一虛設閘極堆疊,且在第二鰭狀結構的通道區上沉積第二虛設閘極堆疊;凹蝕第一鰭狀結構的多個源極/汲極區及第二鰭狀結構的多個源極/汲極區,以分別形成多個第一源極/汲極溝槽及多個第二源極/汲極溝槽;選擇性地且部分地蝕刻犧牲層,以在第一區形成多個第一內部間隔物凹槽,且在第二區形成多個第二內部間隔物凹槽;在第一內部間隔物凹槽中形成多個第一內部間隔部件;以及在第二內部間隔物凹槽中形成多個第二內部間隔部件,其中第一內部間隔部件的組成與第二內部間隔部件的組成不同。
在一些實施例中,第一內部間隔部件包括氮化矽,其中第二內部間隔部件包括氧化鋁。
在一些實施例中,第二內部間隔部件摻雜有碳或氟。
在一些實施例中,形成第一內部間隔部件包括在第一區上選擇性地沉積第一內部間隔層,且執行第一退火製程以對第一內部間隔層退火,並且形成第二內部間隔部件包括選擇性地沉積第二內部間隔層,且執行第二退火製程以對第二內部間隔層退火,其中第二退火製程與第一退火製程不同。
在一些實施例中,第一退火製程導致第一內部間隔層的膨脹,和第一鰭狀結構的通道區中的多個通道層中的拉伸應力。
在一些實施例中,第二退火製程導致第二內部間隔層的收縮,和第二鰭狀結構的通道區中的多個通道層中的壓縮應力。
在一些實施例中,第一退火製程包括約500°C至約700°C之間的退火溫度。
在一些實施例中,第二退火製程包括約650°C至約950°C之間的退火溫度。
在一些實施例中,第一退火製程包括含氧環境。
在一些實施例中,一種半導體裝置的形成方法,包括:在基板的n型裝置區形成第一鰭狀結構,且在基板的p型裝置區形成第二鰭狀結構,其中第一鰭狀結構及第二鰭狀結構各包括以複數個犧牲層交錯的複數個通道層;在第一鰭狀結構的通道區上沉積第一虛設閘極堆疊,且在第二鰭狀結構的通道區上沉積第二虛設閘極堆疊;凹蝕第一鰭狀結構的多個源極/汲極區及第二鰭狀結構的多個源極/汲極區,以分別形成多個第一源極/汲極溝槽及多個第二源極/汲極溝槽;選擇性地且部分地蝕刻犧牲層,以在n型裝置區中形成多個第一內部間隔物凹槽,且在p型裝置區中形成多個第二內部間隔物凹槽;在第一內部間隔物凹槽上選擇性地沉積第一內部間隔層;在選擇性地沉積第一內部間隔層之後,執行第一退火製程;在第一退火製程之後,在第一源極/汲極溝槽中形成多個n型源極/汲極部件;在第二內部間隔物凹槽上選擇性地沉積一第二內部間隔層;在選擇性地沉積第二內部間隔層之後,執行第二退火製程;以及在第二退火製程之後,在第二源極/汲極溝槽中形成多個p型源極/汲極部件。
在一些實施例中,第一內部間隔層的組成與第二內部間隔層的組成不同,其中第一內部間隔層在第一退火製程期間體積膨脹,其中第二內部間隔層在第二退火製程期間體積收縮。
在一些實施例中,第一內部間隔層包括氮化矽,第二內部間隔層包括雜有氟和碳的氧化鋁。
在一些實施例中,第一退火製程的退火溫度小於第二退火製程的退火溫度。
在一些實施例中,第一退火製程包括約30分鐘至約120分鐘之間的持續時間。
在一些實施例中,第二退火製程包括約1秒至約3秒之間的持續時間。
在一些實施例中,第一退火製程為爐管退火(furnace anneal)製程,第二退火製程為快速熱退火(rapid thermal annealing, RTA)製程。
在一些實施例中,選擇性地沉積第一內部間隔層包括選擇性地形成第一遮罩層,以覆蓋p型裝置區,且露出n型裝置區,選擇性地沉積第二內部間隔層包括選擇性地形成第二遮罩層,以覆蓋n型裝置區,且露出p型裝置區。
在一些實施例中,一種半導體裝置,包括:複數個第一通道構件(channel members),在兩個n型源極/汲極部件之間延伸;複數個第一內部間隔部件,與第一通道構件交錯;第一閘極,包繞第一通道構件中的每一者;複數個第二通道構件,在兩個p型源極/汲極部件之間延伸;複數個第二內部間隔部件,與第二通道構件交錯;以及第二閘極,包繞第二通道構件中的每一者,其中第一內部間隔部件的組成與第二內部間隔部件的組成不同。
在一些實施例中,第一內部間隔部件包括氮化矽,第二內部間隔部件包括氧化鋁。
在一些實施例中,第一內部間隔部件對第一通道構件施加壓縮應力,第二內部間隔部件對第二通道構件施加拉伸應力。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍之下,做各式各樣的改變、取代和替換。
100:方法 102:方框 104:方框 106:方框 108:方框 110:方框 112:方框 114:方框 116:方框 118:方框 120:方框 122:方框 124:方框 126:方框 128:方框 130:方框 200:工作件 202:基板 202C:通道區 20SD:源極/汲極區 204:堆疊 206:犧牲層 208:通道層 210:硬遮罩層 212:鰭狀結構 212-1:第一鰭形結構 212-2:第二鰭形結構 214:隔離部件 216:虛設介電層 218:虛設閘電極 219:閘極頂部硬遮罩層 220:虛設閘極堆疊 222:閘極間隔層 224:源極/汲極溝槽 226:內部間隔物凹槽 228:第一內部間隔層 230:第一遮罩層 232:第一源極/汲極部件 234:第二遮罩層 236:第二內部間隔層 238:第二源極/汲極部件 240:接觸蝕刻停止層 242:層間介電質層 244:閘極介電層 246:閘電極 250:閘極結構 260:第一多橋通道電晶體 280:第二多橋通道電晶體 300:第一退火製程 400:第二退火製程 A-A’:剖面 B-B’:剖面 L1:第一長度 L2:第二長度 L3:第三長度 L4:第四長度 1000:第一區 2000:第二區 2280:第一內部間隔部件 2360:第二內部間隔部件
以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。 第1圖根據本揭露的一個或多個面向,繪示出形成積體電路裝置方法的流程圖。 第2-16圖根據本揭露的一個或多個面向,繪示出工作件根據第1圖方法的製造過程中的局部剖面圖。
200:工作件
202:基板
202C:通道區
202SD:源極/汲極區
208:通道層
222:閘極間隔層
232:第一源極/汲極部件
238:第二源極/汲極部件
240:接觸蝕刻停止層
242:層間介電質層
244:閘極介電層
246:閘電極
250:閘極結構
260:第一多橋通道電晶體
280:第二多橋通道電晶體
1000:第一區
2000:第二區
2280:第一內部間隔部件
2360:第二內部間隔部件

Claims (1)

  1. 一種半導體裝置的形成方法,包括: 在一基板上沉積一堆疊,該堆疊包括以複數個犧牲層交錯的複數個通道層; 從位於該基板的一第一區的該堆疊形成一第一鰭狀結構,且從位於該基板的一第二區的該堆疊形成一第二鰭狀結構; 在該第一鰭狀結構的一通道區上沉積一第一虛設閘極堆疊,且在該第二鰭狀結構的一通道區上沉積一第二虛設閘極堆疊; 凹蝕該第一鰭狀結構的多個源極/汲極區及該第二鰭狀結構的多個源極/汲極區,以分別形成多個第一源極/汲極溝槽及多個第二源極/汲極溝槽; 選擇性地且部分地蝕刻該些犧牲層,以在該第一區形成多個第一內部間隔物凹槽,且在該第二區形成多個第二內部間隔物凹槽; 在該些第一內部間隔物凹槽中形成多個第一內部間隔部件;以及 在該些第二內部間隔物凹槽中形成多個第二內部間隔部件, 其中該些第一內部間隔部件的一組成與該些第二內部間隔部件的一組成不同。
TW111111340A 2021-05-14 2022-03-25 半導體裝置的形成方法 TW202310194A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/320,428 2021-05-14
US17/320,428 US11791402B2 (en) 2021-05-14 2021-05-14 Semiconductor device having strained channels

Publications (1)

Publication Number Publication Date
TW202310194A true TW202310194A (zh) 2023-03-01

Family

ID=83246992

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111111340A TW202310194A (zh) 2021-05-14 2022-03-25 半導體裝置的形成方法

Country Status (3)

Country Link
US (1) US11791402B2 (zh)
CN (1) CN115084029A (zh)
TW (1) TW202310194A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230060619A1 (en) * 2021-08-25 2023-03-02 International Business Machines Corporation Field effect transistors with bottom dielectric isolation

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9899398B1 (en) 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
US10553495B2 (en) * 2017-10-19 2020-02-04 International Business Machines Corporation Nanosheet transistors with different gate dielectrics and workfunction metals
US11239359B2 (en) * 2018-09-29 2022-02-01 International Business Machines Corporation Fabricating a gate-all-around (GAA) field effect transistor having threshold voltage asymmetry by thinning source side lateral end portion of the nanosheet layer
US11631671B2 (en) * 2019-12-31 2023-04-18 Tokyo Electron Limited 3D complementary metal oxide semiconductor (CMOS) device and method of forming the same

Also Published As

Publication number Publication date
US11791402B2 (en) 2023-10-17
CN115084029A (zh) 2022-09-20
US20220367670A1 (en) 2022-11-17

Similar Documents

Publication Publication Date Title
TWI786608B (zh) 半導體裝置及其製造方法
TWI792456B (zh) 半導體裝置及其形成方法
KR102559472B1 (ko) 실리콘 채널 템퍼링
US20210265349A1 (en) Low Leakage Device
TWI792267B (zh) 半導體裝置及其製造方法
KR102384617B1 (ko) 누설 방지 구조체 및 방법
TWI792307B (zh) 半導體結構與其裝置及半導體裝置的形成方法
US20240006513A1 (en) Multi-Gate Transistor Structure
CN113270485A (zh) 电介质特征的形成后修补
KR102436688B1 (ko) 다중-게이트 트랜지스터 구조
US20230215929A1 (en) Metal gate cap
TW202310194A (zh) 半導體裝置的形成方法
US12015090B2 (en) Lightly-doped channel extensions
TWI829141B (zh) 半導體結構及其製造方法
KR102424642B1 (ko) 다중 게이트 트랜지스터를 위한 내부 스페이서 피처
US20230054243A1 (en) Multi-gate transistors and methods of forming the same
US20230207653A1 (en) Low resistance contact feature
TW202305882A (zh) 半導體結構之形成方法