TW202303787A - 用於電子束疊對之疊對標記設計 - Google Patents

用於電子束疊對之疊對標記設計 Download PDF

Info

Publication number
TW202303787A
TW202303787A TW111113879A TW111113879A TW202303787A TW 202303787 A TW202303787 A TW 202303787A TW 111113879 A TW111113879 A TW 111113879A TW 111113879 A TW111113879 A TW 111113879A TW 202303787 A TW202303787 A TW 202303787A
Authority
TW
Taiwan
Prior art keywords
unit
periodic structure
target
cell
height
Prior art date
Application number
TW111113879A
Other languages
English (en)
Inventor
塔爾西 伊納 斯蒂利
史帝芬 伊爾倫
馬克 吉納渥克
亞爾 飛勒
依坦 哈賈
厄律齊 普曼
那達夫 古特曼
克里斯 史帝利
瑞維 優哈納
伊拉 納奧特
Original Assignee
美商科磊股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商科磊股份有限公司 filed Critical 美商科磊股份有限公司
Publication of TW202303787A publication Critical patent/TW202303787A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明提供一種目標及在一目標上執行疊對量測之方法。該目標包含具有一第一單元、一第二單元、一第三單元及一第四單元之一單元陣列。各單元包含具有一節距之一週期性結構。該週期性結構包含由一第一間隙分離開之一第一區段及一第二區段。該目標進一步包含一電子束疊對目標,使得可對該目標執行電子束疊對量測、進階成像度量及/或散射量測。

Description

用於電子束疊對之疊對標記設計
本發明係關於半導體裝置中之疊對量測。
半導體製造業之演進對良率管理且特定言之度量及檢驗系統提出越來越大之需求。關鍵尺寸(Critical dimension)持續收縮,但業界需要縮短時間來實現高良率、高價值生產。最小化自偵測到一良率問題至解決該問題之一總時間決定一半導體製造商之投資回報率。
製造諸如邏輯及記憶體裝置之半導體裝置通常包含使用大量製造程序處理一半導體晶圓以形成半導體裝置之各種特徵及多個層級。例如,光學微影術係涉及將一圖案自一光阻劑轉移至配置於一半導體晶圓上之一光阻劑之一半導體製造程序。半導體製造程序之進一步實例包含(但不限於)化學機械拋光(CMP)、蝕刻、沈積及離子植入。多個半導體裝置可製造於可分離成個別半導體裝置之一單一半導體晶圓上之一配置中。
當前光學疊對量測方法依賴於兩種主要技術:成像及散射量測。在成像中,週期性目標之位置係在光學系統之視場中量測且疊對(OVL)自不同層中印刷之目標位置推斷。散射量測法利用不同層處印刷之週期性疊對標記(具有週期性結構之目標)散射之電磁(EM)波之間的干擾來推斷層之相對位移。在兩種情況下,對散射電磁波之繞射級之振幅及相位之一控制可對疊對量測之準確度及精確度產生一關鍵影響。
當觀察到疊對誤差時,一疊對量測可用於實施校正且將疊對誤差保持在所要極限內。例如,疊對量測可饋送至計算掃描器校正以及其他統計項之一分析程式中,其可由一操作者使用來更佳地對準程序中使用之光微影工具。在典型製造操作中,半導體製造程序分批(稱為批次)運行。一批次或一晶圓批次界定為作為一單一群組一起處理之晶圓之一數量。習知疊對監視技術通常採用一組單一掃描器校正且相同批次中之所有晶圓應用相同校正。
然而,隨著半導體裝置變得更加複雜,需要改良目標設計及量測方法。
本發明之實施例提供一種目標。該目標可包括具有一第一單元、一第二單元、一第三單元及一第四單元之一單元陣列。各單元可包括具有一節距之一週期性結構。該週期性結構可包含由一第一間隙分離開之一第一區段及一第二區段。
根據本發明之一實施例,該目標可進一步包括安置於包括該第一單元、該第二單元、該第三單元及該第四單元之該單元陣列之一中心中之一電子束疊對目標。
根據本發明之一實施例,該第一單元、該第二單元、該第三單元及該第四單元可經配置具有旋轉對稱性。
根據本發明之一實施例,各單元之週期性結構可進一步包含由一第二間隙分離開之一第三區段。
根據本發明之一實施例,該第一單元之該週期性結構可具有沿該第一軸之一第一單元高度及沿該第二軸之一第一單元寬度。該第二單元之該週期性結構可具有沿該第二軸之一第二單元高度及沿該第一軸之一第二單元寬度。該第三單元之該週期性結構可具有沿該第二軸之一第三單元高度及沿該第一軸之一第三單元寬度。該第四單元之該週期性結構可具有沿該第一軸之一第四單元高度及沿該第二軸之一第四單元寬度。
根據本發明之一實施例,該第一單元寬度可大於該第二單元高度,該第二單元寬度可大於該第三單元高度,該第三單元寬度可大於該第四單元高度,且該第四單元寬度可大於該第一單元高度。
根據本發明之一實施例,該第一單元寬度可等於該第二單元高度,該第二單元寬度可等於該第三單元高度,該第三單元寬度可等於該第四單元高度,且該第四單元寬度可等於該第一單元高度。
根據本發明之一實施例,該電子束疊對目標之部分可為界定一中心中空區域之安置於彼此內部之複數個嵌套多邊形形狀。該等互連多邊形可為方形。
根據本發明之一實施例,各單元之該週期性結構可具有一第一高度及大於該第一高度之一第二高度,且具有該第二高度之該週期性結構可安置為鄰近於該電子疊對目標。
根據本發明之一實施例,各單元之該週期性結構之該第二區段可具有一第二高度及小於該第二高度之一第三高度,且具有該第三高度之該週期性結構可安置為鄰近於該電子疊對目標。
根據本發明之一實施例,該目標可進一步包括安置於該第一單元、該第二單元、該第三單元及該第四單元之一邊緣處之複數個電子束疊對目標。該等電子束疊對目標之各者可包含一本體、自該本體延伸之複數個片段及連接該複數個片段之端之一連接片段。
根據本發明之一實施例,該複數個電子束疊對目標可安置於鄰近於該週期性結構之該第一區段之該第一單元、該第二單元、該第三單元及該第四單元之該邊緣處。
根據本發明之一實施例,該複數個電子束疊對目標可安置於鄰近於該週期性結構之該第二區段之該第一單元、該第二單元、該第三單元及該第四單元之該邊緣處。
根據本發明之一實施例,該複數個電子束疊對目標可安置於鄰近於該第一間隙之該第一單元、該第二單元、該第三單元及該第四單元之該邊緣處。
根據本發明之一實施例,該週期性結構可進一步包含該節距處之複數個週期性結構之群組之間的一第二節距。該第二節距可大於該第一節距。
根據本發明之一實施例,該目標可進一步包括複數個電子束疊對目標。該等電子束疊對目標之各者可包含自該本體之兩側延伸之複數個片段。該本體可安置於該週期性結構之該第一區段及該第二區段中之光柵對之間且該複數個片段可安置於與鄰近於該本體之該第一區段及該第二區段中之該等光柵對上。
根據本發明之一實施例,該複數個電子束疊對目標可安置於各單元之該週期性結構之該第一區段及該第二區段之端處之光柵對之間。
根據本發明之一實施例,該複數個電子束疊對目標可安置於各單元之該週期性結構之該第一區段及該第二區段之各對光柵之間。
本發明之另一實施例提供一種使用一半導體度量工具對一目標執行疊對量測之方法。
相關申請案之交叉參考 本發明基於且主張2021年6月28日申請之美國臨時申請案第63/215,561號之優先權,該案之全部內容以引用的方式併入本文中。
儘管將就某些實施例來描述所主張之標的,但其他實施例(包含不提供本文所闡述之全部優點及特徵之實施例)亦在本發明之範疇內。可在不背離本發明之範圍之情況下進行各種結構、邏輯、程序步驟及電子改變。因此,本發明之範圍僅藉由參考隨附申請專利範圍來界定。
一般而言,兩個程序層之間的一疊對誤差或相同層上之兩組結構之間的一位移可使用疊對目標來判定,對於疊對目標,目標結構經設計具有其對稱性特性之間的已知關係且與此對稱性之差異對應於此等目標中之疊對誤差。如本文所使用,一層可係指為製造一晶圓裝置或測試結構而生成及圖案化之任何適合材料,諸如一半導體或一光阻層。儘管以下目標實例展示為在兩個(或更多個)層上具有用於量測疊對之結構,但各目標可包含用於判定此組結構之間的一位移誤差之相同層上之兩組(或更多組)結構。另外,本發明之實施例可應用於任何類型之週期性目標,且此等目標可形成於一生產晶圓上之一晶粒之一非作用區域(例如劃線)或一作用區域(例如作為一晶粒之一裝置部分之部分)。本文所描述之技術亦可應用於判定其他類型之特性之目標,諸如關鍵尺寸(CD)、CD均勻性(CDU)、邊緣放置誤差(EPE)、圖案保真度等。
疊對目標通常佔據一積體電路上之一昂貴位置。半導體製造商通常尋求減少此等疊對目標之量測時間及晶圓面積。可節省一晶圓上之空間,使量測時間更快,且可藉由組合基於成像及散射量測之目標執行兩種技術之結果之一比較。因此,在一積體電路之一區域中包含兩個目標且同時量測兩個疊對目標將為半導體製造程序提供益處。
本文所揭示之實施例組合電子束疊對(eOVL)及散射計疊對(SCOL)。目前,掃描電子顯微鏡(SEM)技術用作為光學度量量測之一量規。本文所揭示之實施例為用於與光學量測組合之電子束疊對提供具有新目標設計之解決方案。目標原型理論亦可將光學影像疊對(IBO)及繞射疊對(DBO)目標與電子束疊對專用目標組合。交錯排列組合目標可實現更密集之採樣以增加模型準確度,因為晶圓上之量測樣本之數目增加而晶圓面積則保持相同。混合目標使用可用於疊對度量之100%面積,其提供兩個技術之一2x增加數目個目標。
本文所揭示之目標設計可減少量測移動獲取量測(MAM)時間且提高量測準確度,因為所關注之區域(ROI)可在小視場(FOV)內同時含有X及Y資訊內容。例如,在一個ROI中,一個光柵可用於X方向之資訊,且另一光柵可用於Y方向上之資訊。可不必移動至兩個不同目標,因為所有資訊均含於一個目標中。因此,所揭示之目標增加每區域之資訊含量。
量測可應用於不同目標原型理論之粗略節距及精細節距。目標堆疊材料可在線特徵與空間特徵之間變動。反向組合用例可使用當前光學目標及一更密集之電子束疊對目標採樣。亦可使用四單元散射計疊對及電子束疊對之一組合設計。
本文所揭示之實施例可實現散射計目標軸分離,其在標準四單元設計中之每方向上包含兩個單元,每單元之各層在兩個方向上均具有延長桿(bar)。此可在不同對準方案層之間提供實體分離。此目標類型上之所關注之區域可為各層分離。由於每方向上均使用多個所關注之區域,誘發疊對之桿上覆桿及溝渠上覆桿之目標架構。不管其移位疊對範圍,此可用於散射量測目標(f0)可量測。兩個方向均可適用於相同目標內以及每軸之延長桿。
任何基於設計影像之疊對或基於繞射之疊對均可促成一電子束疊對目標、電子束疊對之中心及光學目標重合。此可消除程序變動效應、放置誤差效應,且可改良模型化項之校正能力。歸因於設計規則,電子束疊對目標可盡可能靠近光學目標。
可將額外片段添加至光學目標以填充由電子束疊對目標放置或桿之延長佔據之空間,其可實現更多資訊內容。
圖1係在中心及邊緣中之電子束疊對(eOVL)目標上具有組合放置之一四單元散射量測疊對(SCOL)目標。例如,散射量測使用其中光柵層重疊之區域,而電子束疊對使用其中光柵層分離之區域。目標100包含一第一週期性結構101及一第二週期性結構102。為便於說明,此等均使用不同陰影展示。第一週期性結構101形成於一半導體裝置之一第一層上且具有沿一軸之一第一節距且第二週期性結構102形成於半導體裝置之一第二層上且具有沿該軸之不同於第一節距之一第二節距。當經適合照明時,第一週期性結構101及第二週期性結構102一起形成一圖案。層可彼此相鄰或可由其他層分離開。為便於說明,將第一週期性結構101及第二週期性結構102繪示為透明。
第一週期性結構101中之複數個光柵之各者具有沿一第二軸(例如X)之一第一寬度及沿一第一軸(例如Y)之一第一高度。第一寬度可在1 µm與100 µm之間。第一高度可在10 µm與100 µm之間。取決於應用,第一寬度及第一高度可大於或小於此等範圍。第一週期性結構101具有一第一節距。第一節距可在200 nm與1000 nm之間。取決於應用,第一節距可大於或小於此範圍。
一第二週期性結構102形成於半導體裝置之一第二層上。第二週期性結構102中之複數個光柵之各者具有沿第二軸(例如X)之一第二寬度及沿第一軸(例如Y)之一第二高度。第二週期性結構102之第二寬度比第一週期性結構101之第一寬度窄。第二寬度可在1 µm與100 µm之間。第二高度可在10 µm與100 µm之間。取決於應用,第二寬度及第二高度可大於或小於此等範圍。第二週期性結構102具有小於第一週期性結構101之第一節距之一第二節距。第二節距可在200 nm與1000 nm之間。取決於應用,第二節距可大於或小於此範圍。第二節距可大於第一節距。第一週期性結構101之光柵定位於第二週期性結構102之光柵上。
第一週期性結構101及第二週期性結構102相互疊對且由半導體裝置之第一層及第二層上之相互平行線之陣列形成。線沿一軸(諸如Y軸)配準配置。精細分割之結構大小、尺寸及角度可取決於半導體製造商、技術節點及處理步驟。節點可在數nm至若干µm之結構大小下操作。在對角線結構之情況下,15°至30°可為常見,但其他角度亦可行。
目標100之邊長可為10 µm至60 µm。例如,目標100之邊長可不大於40 µm。一般而言,目標100之大小可取決於選定光學波長及光束大小。更大目標100可使量測更容易,但亦可在半導體晶圓上佔據更多面積。減小目標100之大小可降低生產成本且增加晶圓上之可用空間。選擇一目標大小之物理及成本之特定平衡可取決於特定層及/或產品之程序之設計規則。目標100之形狀可為矩形。
目標100進一步包含一eOVL結構103。eOVL結構103可安置於目標100之一中心中。eOVL結構103可為多邊形。例如,eOVL結構可為矩形。
圖2係在eOVL目標上具有中心放置組合之一進階成像度量(AIM)目標。目標200可包括一單元陣列。各單元可包含具有一節距之一週期性結構。例如,週期性結構可包括複數個矩形光柵,其中節距係指相鄰光柵之間的距離。週期性結構可包含一第一區段201及一第二區段202,其等由一第一間隙204分離開。目標200與目標100不同之處在於第一區段201及第二區段202之週期性結構被分離開,而第一週期性結構101及第二週期性結構102重疊。一般而言,目標200之週期性結構之大小可類似於上述目標100之週期性結構。
單元陣列可包括一第一單元200A、一第二單元200B、一第三單元200C及一第四單元200D。第二單元200B可相對於第一單元200A沿一第一軸X配置且旋轉90度。第三單元200C可相對於第一單元200A沿一第二軸Y配置且旋轉-90度。第四單元200D可相對於第三單元200C沿第一軸X配置、相對於第二單元200B沿第二軸Y配置,且相對於第一單元200A旋轉180度。因此,單元陣列可依具有旋轉對稱性之一2 × 2格網配置。單元之其他配置(包含3 × 3、4 × 4或更大格網)可行。
目標200可進一步包括安置於第一單元200A、第二單元200B、第三單元200C及第四單元200D之陣列之一中心中之一電子束疊對目標210。取決於應用,電子束疊對目標210可安置於目標200之其他位置中。電子束疊對目標210之大小可為約2 μm,但較小或較大尺寸可行。
第一單元200A之週期性結構可具有沿第一軸X之一第一單元高度及沿第二軸Y之一第一單元寬度。第二單元200B之週期性結構可具有沿第二軸Y之一第二單元高度及沿第一軸X之一第二單元寬度。第三單元200C之週期性結構可具有沿第二軸Y之一第三單元高度及沿第一軸X之一第三單元寬度。第四單元200D之週期性結構可具有沿第一軸X之一第四單元高度及沿第二軸Y之一第四單元寬度。
目標200之大小可為20 μm × 20 μm且可含有總計80條線(每層及方向20條線且兩層)。個別線之大小可為1 μm且隔開2.5 μm。目標200之其他大小及個別線及間距可行。
圖3係其中心位置組合在三重eOVL目標上之一三重AIM目標。目標300與目標200不同之處在於各單元之週期性結構可進一步包含一第三區段203。第二區段202與第三區段203可由一第二間隙205分離開。目標300可大於目標200以適應第三區段203之放置,但目標300及其結構之大小可類似於上述目標200之大小。
圖4係在eOVL目標上具有組合放置及在中心及邊緣具有添加片段之一AIM目標。目標400與目標200不同之處在於各單元之週期性結構可包含額外光柵。各單元之第一區段201及第二區段202可各包含目標400之邊緣處及/或目標400之中心處添加之額外光柵。由於信號強度增加,目標400中額外光柵之存在可改良量測效能。目標400及其結構之大小可類似於上述目標200之大小。
根據本發明之一實施例,第一單元寬度可大於第二單元高度。第二單元寬度可大於第三單元高度。第三單元寬度可大於第四單元高度。第四單元寬度可大於第一單元高度。例如,如圖4中所展示,目標400之陣列中之各單元可為矩形。在此配置中,可在不犧牲晶圓上之面積之情況下改良量測效能。
圖5係在中心及邊緣中不具有添加片段之eOVL目標上之組合放置之一AIM目標。目標500與目標200不同之處在於各單元之週期性結構可包含較少光柵。各單元之第一區段201及第二區段202在目標500之邊緣處及/或目標500之中心處可各具有少於目標200之光柵。目標500中之較少光柵之存在可降低生產成本及由目標500使用之晶圓空間。目標500及其結構之大小可類似於上述目標200之大小。
根據本發明之一實施例,第一單元寬度可等於第二單元高度。第二單元寬度可等於第三單元高度。第三單元寬度可等於第四單元高度。第四單元寬度可等於第一單元高度。例如,如圖5中所展示,目標500之陣列中之各單元可為方形。在此配置中,可在不犧牲晶圓上之面積之情況下改良量測效能。
圖6係在中心及邊緣中具有延長片段之eOVL目標上之組合放置之一AIM目標。目標600與目標200不同之處在於各單元之週期性結構可包含延長光柵。例如,第一週期性結構201可具有一第一高度且第二週期性結構202可具有一第二高度。第二高度可大於第一高度。依此方式,各單元之第二週期性結構202可沿位於中心之eOVL目標210之各側延伸。第一高度及第二高度之比率可取決於特定應用之設計規則。目標600及其結構之大小可類似於上述目標200之大小。
圖7係具有用於面積、程序穩健性及虛擬化之最佳化之AIM多邊形縮減之一AIM目標。如目標700中所展示,eOVL目標210可為界定一中心中空區域之安置於彼此內部之複數個嵌套多邊形形狀。互連多邊形形狀可為方形,但其他形狀可行。虛擬結構可用於填充目標700 (或本發明之其他目標)之空白區域(例如圖7中之白色區域)或在不干擾目標設計之情況下在大小結構之間逐漸改變。
目標700與目標200不同之處亦可在於各單元之週期性結構可包含較短光柵202a。例如,第二週期性結構202可包含具有一第二高度及一第三高度之光柵。第三高度可小於第二高度。第二高度及第三高度之比率可取決於特定應用之設計規則。具有第三高度之較短光柵202a可安置為鄰近於eOVL目標210。依此方式,eOVL目標210之大小可增加,適配於由較短光柵202a騰出之空間,其最佳化目標700之面積。目標700及其結構之大小可類似於上述目標200之大小。
圖8係具有用於面積、程序穩健性及虛擬化之最佳化之AIM多邊形縮減之另一AIM目標。目標800與目標700不同之處在於各單元之第二週期性結構可包含具有第三高度之多個較短光柵202a。依此方式,eOVL目標210之大小可進一步增加,適配於由較短光柵202a騰出之增加空間,其進一步最佳化目標800之面積。目標800及其結構之大小可類似於上述目標200之大小。
圖9A係一組合AIM及eOVL目標設計。如圖9B中所展示,目標900之eOVL目標210可包含一本體211及自本體211延伸之複數個片段212。複數個片段212可由延伸遠離本體211之端處之一連接片段213彼此連接。在此配置中,eOVL目標210可界定一梳狀結構。類似結構可用於一晶圓上之電氣測試。將其他類型之既有度量設計與光學疊對目標組合可為有益的,因為其可減少一特定設計所需之總數。
目標900可包含複數個eOVL目標210。例如,各單元可包含配置為鄰近於各單元之相對端處之第二週期性結構202之兩個eOVL目標210。各eOVL目標210可配置為鄰近於第二週期性結構202之較短光柵202a。例如,各eOVL目標210可配置為垂直於各單元之較短光柵202a。各eOVL目標210可配置於各單元之第二週期性結構202之相同側上。例如,各eOVL目標210可配置為與各單元之第一間隙204相對。較短光柵202a可位於趨近目標900之中心及趨近目標900之邊緣之第二週期性結構202中。在此配置中,目標900可基於eOVL目標210在第一週期性結構201與第二週期性結構202之間的放置而具有旋轉對稱性。
根據本發明之一實施例,各單元之週期性結構可包含一第一節距及一第二節距。例如,第一週期性結構201及第二週期性結構202內之個別光柵可由一第一節距分離開,且週期性結構之群組可由第二節距分離開。第二節距可大於第一節距。第一節距及第二節距之比率可取決於特定應用之設計規則。各單元之第二週期性結構202可包含一群較短光柵202a。該群較短光柵202a可由第二節距與第二週期性結構202中之其他光柵分離開。目標900及其結構之大小可類似於上述目標200之大小。
圖10係另一組合AIM及eOVL目標設計。目標1000與目標900不同之處在於各單元可包含配置為鄰近於各單元之相對端處之第一週期性結構201之兩個eOVL目標210。第一週期性結構201可包含具有比第一高度短之第三高度之較短光柵201a。各eOVL目標210可配置為垂直於各單元之較短光柵201a。各eOVL目標210可配置於各單元之第一週期性結構201之相同側上。例如,各eOVL目標201可配置為與各單元之第一間隙204相對。較短光柵201a可位於目標1000之外邊緣上之各單元之相對端處之第一週期性結構201中。在此配置中,目標1000可基於eOVL目標210在第一週期性結構201與第二週期性結構202之間的放置而具有旋轉對稱性。目標1000及其結構之大小可類似於上述目標200之大小。
圖11係另一組合AIM及eOVL目標設計。目標1100與目標900不同之處在於eOVL目標210可安置於各單元之第二週期性結構202之相對側上。例如,eOVL目標210之一者可配置為鄰近於第一間隙204,且eOVL目標210之另一者可配置為與各單元之第一間隙204相對。在此配置中,目標1100可基於eOVL目標210在第一週期性結構201與第二週期性結構202之間的放置而具有旋轉對稱性。目標1100及其結構之大小可類似於上述目標200之大小。
圖12A係另一組合AIM及eOVL目標設計。如圖12B及圖12C中所展示,目標1200之eOVL目標1210可包含一本體1211及自本體1211之兩側延伸之複數個片段1212。本體1211可安置於各單元之週期性結構之第一區段1201 (圖12B)及第二區段1202 (圖12C)之兩個光柵之間,且複數個片段可分別安置於第一區段1201及第二區段1202上。本體1211可與既有AIM目標相比較,其在某些情況下可為有益。安置於本體1211之兩側之任一者上之區段1201及第二區段1202之兩個光柵可具有一寬度及小於第一區段1201及第二區段1202中之其他光柵之一間距之寬度及間距。各單元之週期性結構之第一區段1201及第二區段1202可由一第一間隙1204分離開。在此配置中,eOVL目標210可為混合光學目標同時保持總體對稱性。若附近存在不同節距對製造有問題,則此可為有益的,否則可放置虛擬結構。
目標1200可包含複數個eOVL目標1210。例如,各單元可包含交錯地安置於週期性結構之第一區段1201與第二區段1202之間的複數個eOVL目標1210。如圖12A中所展示,目標1200可包含安置於第一區段1201之光柵之間的兩個eOVL目標1210及安置於第二區段1202之光柵之各者之任一側上之三個eOVL目標1210。圖12B與圖12C之間的層組合之反轉可為有益的,因為其可確保層之間的信號內容盡可能類似,使得無層信號將支配疊對結果。目標1200及其結構之大小可類似於上述目標200之大小。
圖13係另一組合AIM及eOVL目標設計。目標1300與目標1200不同之處在於安置於各單元中之複數個eOVL目標1210係安置於週期性結構之第一區段1201及第二區段1202之端處。依此方式,複數個eOVL目標1210可安置為鄰近於目標1300之中心及/或目標1300之外邊緣。eOVL目標1210在第一區段1201及第二區段1202中之此放置對稱性在某些設計規則下可為有益。目標1300及其結構之大小可類似於上述目標200之大小。
圖14係另一組合AIM及eOVL目標設計。目標1400與目標1200不同之處在於多個eOVL目標1210安置於各單元之週期性結構之第一區段1201及第二區段1202之各對光柵之間。依此方式,目標1400之各單元可包括在週期性結構之第一區段1201及第二區段1202內連續配置之複數個eOVL目標1210。目標1400及其結構之大小可類似於上述目標200之大小。在此配置中,目標1400可為完全規則及對稱,其在某些設計規則下可為有益的。
圖15係具有兩種類型之組合AIM及eOVL目標之一場佈局。佈局1500可包括一中心目標1510及圍繞中心目標1510之周邊配置之複數個外目標1520。佈局1500之大小及目標之間的間距可取決於特定應用之設計規則。中心目標1510可大於複數個外目標1520。中心目標1510與外目標1520之大小之比可藉由平衡化相對信號強度及晶圓上之可用面積來界定。中心目標1510及複數個外目標1520可為本文所描述之目標設計之任何者。複數個外部目標1520可包含一第一目標類型1521及一第二目標類型1522。第一目標類型1521及第二目標類型1522可為本文所描述之任何兩種不同目標設計或其等之組合。第一目標類型1521及第二目標類型1522之一者可具有相同於中心目標1510之目標設計。替代地,第一目標類型1521及第二目標類型1522可為不同於中心目標1510之目標設計。複數個外目標1520可依一交錯方式配置於中心目標1510之周邊周圍。例如,如圖15中所展示,複數個外目標1520可在第一目標類型1521與第二目標類型1522之間交錯。藉由交錯使用目標類型,可改良每目標之準確度,且降低目標雜訊。由於各目標設計可具有其自身之準確度誤差及偏移,因此可依一使得準確度誤差及偏移可至少部分地抵消且可藉此改良精度之方式為佈局1500選擇目標。
圖16係具有單一類型之組合AIM及eOVL目標之一場佈局。佈局1600與佈局1500不同之處在於複數個外目標1520僅包含第一目標類型1521。第一目標類型1521可不同於中心目標1510之目標設計。佈局1600之大小及目標之間的間距可取決於特定應用之設計規則。
圖17係具有單一類型之組合AIM及eOVL目標之另一場佈局。佈局1700與佈局1500不同之處在於複數個外部目標1520僅包含第二目標類型1522。第二目標類型1522可相同於中心目標1510之目標設計。佈局1700之大小及目標之間的間距可取決於特定應用之設計規則。
圖18係一方法1800之一流程圖。在方法中,在1801處提供一目標。目標可為本文所揭示之目標之一者。在1802處使用一半導體度量工具執行疊對量測。半導體度量工具可為利用傳統處理演算法之一習知工具,或可為使用不同量測技術之一電子束工具。例如,一個束斑點(beam spot)可應用於一光柵。每光柵收集一單一反射率值。各單元將含有一誘發偏移以計算疊對。可使用至少四個具有不同誘發偏移之單元。此方法可傳播直至出現「n」個單元。可掃描一束斑點以平均化斑點位置準確度。
圖19係一系統1900之一圖。系統1900包含經組態以固持一晶圓1901之一卡盤1902。晶圓1901包含如本文之實施例中所描述之一個或多個疊對目標。
系統1900包含經組態以量測卡盤1902上之疊對目標之一成像光學系統1903。成像光學系統1903可包含定向為將輻射引導至晶圓1901之一特定位置之一照明源及定向為偵測來自晶圓1901之一光學信號之一個或多個偵測器。光學系統1903中之照明源可產生指向晶圓1901之一照明光束。成像光學系統1903亦可包含各種透鏡、光學組件、其他轉向裝置或其他光束傳輸裝置。照明光束可為一光束或一電子束。
成像光學系統1903可用於擷取使得成像光學系統1903係散射量測之擷取序列之部分。
系統1900包含經組態用於量測卡盤1902上之疊對目標之一散射量測系統1904。散射量測系統1904可經組態以量測相同於成像光學系統1903之疊對目標。
散射量測系統1904可包含定向為將輻射直接照射至晶圓1901之一指定位置之一照明源及定向為偵測已被晶圓1901散射之一散射量測信號之一個或多個偵測器。散射量測系統1904中之照明源可產生指向晶圓1901之一照明光束。散射量測系統1904亦可包含各種透鏡或光學組件。散射量測系統1904可在散射量測序列期間使用成像光學系統1903或來自成像光學系統1903之資訊。
當晶圓1901保持在卡盤1902上時,可藉由成像光學系統1903及散射量測系統1904對晶圓1901執行量測。因此,晶圓1901不能在藉由成像光學系統1903及散射量測系統1904之量測之間移動。在一例項中,在藉由成像光學系統1903與散射量測系統1904之量測之間,晶圓1901周圍之一真空未被打破。在一例項中,由成像光學系統1903及散射量測系統1904實施之量測之一者在另一者之後發生。在另一例項中,由成像光學系統1903及散射量測系統1904實施之量測至少部分地同時或同時發生。
儘管揭示為一個系統1900,但成像光學系統1903及散射量測系統1904可在兩個單獨系統中。系統之各者可具有一單獨卡盤。
一處理器1905與一電子資料儲存單元1906、成像光學系統1903及散射量測系統1904電子通信。處理器1905可包含一微處理器、一微控制器或其他裝置。處理器1905可自成像光學系統1903及散射量測系統1904接收輸出。
系統1900可包含經組態以使用成像光學系統1903獲取卡盤1902上之疊對目標之一成像光學系統擷取模組1907。光學系統擷取模組1907形成可由成像光學系統1903或散射量測系統1904使用之一擷取影像。儘管繪示為單獨單元,但成像光學系統擷取模組1907可為成像光學系統1903之部分。成像光學系統擷取模組1907亦可為處理器1905之部分。
處理器1905及電子資料儲存單元1906可為系統1900或另一裝置之部分。在一實例中,處理器1905及電子資料儲存單元1906可為一獨立控制單元之部分或在一集中品質控制單元中。可使用多個處理器1905或電子資料儲存單元1906。在一實施例中,處理器1905可安置於系統1900中。
處理器1905實際上可由硬體、軟體及韌體之任何組合來實施。另外,其如本文所描述之功能可由一個單元執行,或在不同組件之間劃分,功能之各者可依次由硬體、軟體及韌體之任何組合實施。用於處理器1905實施各種方法及功能之程式碼或指令可儲存於可讀儲存媒體中,諸如電子資料儲存單元1906中之一記憶體或其他記憶體。
處理器1905可以任何適合方式(例如經由一個或多個傳輸媒體,其可包含有線及/或無線傳輸媒體)耦合至系統1900之組件使得處理器1905可接收輸出。處理器1905可經組態以使用該輸出執行若干功能。
本文所描述之處理器1905、其他系統或其他子系統可為各種系統之部分,包含一個人電腦系統、影像電腦、主機電腦系統、工作站、網路設備、網際網路設備或其他裝置。子系統或系統亦可包括本技術中已知之任何適合處理器,諸如一平行處理器。另外,子系統或系統可包含具有高速處理及軟體之一平台作為一獨立或一網路工具。
若系統包含一個以上子系統,則不同子系統可彼此耦合以在子系統之間發送影像、資料、資訊、指令等。例如,一個子系統可藉由任何適合傳輸媒體(其可包含本技術中已知之任何適合有線及/或無線傳輸媒體)耦合至額外子系統。此等子系統之兩者或兩者以上亦可由一共用電腦可讀儲存媒體(圖中未展示)有效地耦合。
處理器1905可經組態以使用成像光學系統1903及散射量測系統1904之輸出或其他輸出來執行若干功能。例如,處理器1905可經組態以發送晶圓1901之量測結果。在另一實例中,處理器1905可判定目標內之電子束疊對及/或散射量測疊對誤差。在另一實例中,處理器1905可經組態以將輸出發送至電子資料儲存單元1906或另一儲存媒體。處理器1905可如本文所描述般進一步組態。
處理器1905可根據本文所描述之任何實施例組態。處理器1905亦可經組態以使用系統1900之輸出或使用來自其他源之影像或資料來執行其他功能或額外步驟。
在另一實施例中,處理器1905可以本技術中已知之任何方式通信地耦合至系統1900之各種組件或子系統之任何者。再者,處理器1905可經組態以由可包含有線及/或無線部分之一傳輸媒體自其他系統(例如來自一檢驗系統(諸如一審查工具、包含設計資料之一遠端資料庫及其類似者)之檢驗結果)接收及/或獲取資料或資訊。依此方式,該傳輸媒體可充當處理器1905與系統1900之其他子系統或系統1900外部之系統之間的一資料鏈路。
在一些實施例中,系統1900及本文所揭示之方法之各種步驟、功能及/或操作由以下之一或多者執行:電子電路、邏輯閘、多工器、可程式化邏輯裝置、ASIC、類比或數位控制/開關、微控制器或計算系統。實施諸如本文所描述之方法之程式指令可經由攜載媒體傳輸或儲存於攜載媒體上。載體媒體可包含一儲存媒體,諸如一唯讀記憶體、一隨機存取記憶體、一磁碟或光碟、一非揮發性記憶體、一固態記憶體、一磁帶及其類似者。一攜載媒體可包含一傳輸媒體,諸如一導線、電纜或無線傳輸鏈路。例如,本發明中所描述之各種步驟可由一單一處理器1905 (或電腦系統)或替代地,多個處理器1905 (或多個電腦系統)執行。再者,系統1900之不同子系統可包含一個或多個計算或邏輯系統。因此,上述描述不應被解譯為對本發明之限制而僅係說明。
一額外實施例係關於一種非暫時性電腦可讀媒體,其儲存可在用於檢驗一晶圓之一處理器上執行之程式指令,如本文所揭示。特定言之,一處理器(諸如處理器1905)可與包含可執行程式指令之非暫時性電腦可讀媒體一起耦合至一電子資料儲存媒體(諸如電子資料儲存單元1906)中之一記憶體。電腦實施方法可包括本文所描述之任何方法之任何步驟。例如,處理器1905可經程式化以執行圖18之一些或所有步驟。電子資料儲存單元1906中之記憶體可為一儲存媒體,諸如一磁碟或光碟、一磁帶或本技術中已知之任何其他適合非暫時性電腦可讀媒體。
程式指令可以各種方式之任何者實施,包含基於程序之技術、基於組件之技術及/或物件導向技術等。例如,可視需要使用ActiveX控制、C++物件、JavaBeans、微軟基礎類別(MFC)、資料流SIMD延伸(SSE)或其他技術或方法來實施程式指令。
在另一實施例中,可使用本文所揭示之目標之一設計方法。該方法可使用諸如其中所描述之一處理器來執行。可最佳化本文所揭示之目標之第一及第二週期性結構之光柵。
儘管在本文中所繪示之實施例中展示具體組態,但包含此等實施例之所有或一些特徵之變動係可行。
儘管本發明已相對於一個或多個特定實施例來描述,但可理解本發明之其他實施例可在不背離本發明之範疇之情況下進行。因此,本發明應僅受隨附申請專利範圍及其合理解譯限制。
100:目標 101:第一週期性結構 102:第二週期性結構 103:電子束疊對(eOVL)結構 200:目標 200A:第一單元 200B:第二單元 200C:第三單元 200D:第四單元 201:第一區段 201a:較短光柵 202:第二區段 202a:括較短光 203:第三區段 204:第一間隙 205:第二間隙 210:組合電子束疊對(eOVL)標 211:本體 212:片段 213:片段 300:目標 400:目標 500:目標 600:目標 700:目標 800:目標 900:目標 1000:目標 1100:目標 1200:目標 1201:第一區段 1202:第二區段 1204:第一間隙 1210:組合電子束疊對(eOVL)目標 1211:本體 1212:片段 1300:目標 1400:目標 1500:目標 1510:中心目標 1520:外目標 1521:第一目標類型 1522:第二目標類型 1600:佈局 1700:佈局 1800:方法 1801:提供一目標 1802:使用一半導體度量工具執行疊對量測 1900:系統 1901:晶圓 1902:卡盤 1903:成像光學系統 1904:散射量測系統 1905:處理器 1906:電子資料儲存單元 1907:光學系統擷取模組
為了本發明之本質及目的之一更完全理解,應結合附圖參考以下詳細描述,其中: 圖1係根據本發明之一實施例之在中心及邊緣中之一電子束疊對(eOVL)目標上具有組合放置之四單元散射疊對(SCOL)目標; 圖2係根據本發明之一實施例之在一eOVL目標上具有組合中心放置之一進階成像度量(AIM)目標; 圖3係根據本發明之一實施例之在一三重eOVL目標上具有組合中心放置之一三重AIM目標; 圖4係根據本發明之一實施例之在中心及邊緣中具有添加片段之一eOVL目標上之組合放置之一AIM目標; 圖5係根據本發明之一實施例之在中心及邊緣中不具有添加片段之一eOVL目標上之組合放置之一AIM目標; 圖6係根據本發明之一實施例之在中心及邊緣中具有延長片段之一eOVL目標上之組合放置之一AIM目標; 圖7係根據本發明之一實施例之具有AIM目標多邊形縮減之一AIM目標; 圖8係根據本發明之一實施例之具有AIM多邊形縮減之另一AIM目標; 圖9A係根據本發明之一實施例之一組合AIM及eOVL目標設計; 圖9B展示圖9A之實施例之eOVL目標; 圖10係根據本發明之一實施例之另一組合AIM及eOVL目標設計; 圖11係根據本發明之一實施例之另一組合AIM及eOVL目標設計; 圖12A係根據本發明之一實施例之另一組合AIM及eOVL目標設計; 圖12B及圖12C展示圖12A之實施例之eOVL目標; 圖13係根據本發明之一實施例之另一組合AIM及eOVL目標設計; 圖14係根據本發明之一實施例之另一組合AIM及eOVL目標設計; 圖15係根據本發明之一實施例之具有兩種類型之組合AIM及eOVL目標之一場佈局; 圖16係根據本發明之一實施例之具有單一類型之組合AIM及eOVL目標之一場佈局; 圖17係根據本發明之一實施例之具有單一類型之組合AIM及eOVL目標之另一場佈局; 圖18係根據本發明之一實施例之使用一半導體工具執行疊對量測之一方法;及 圖19係根據本發明之一實施例之一系統之一圖。
100:目標
101:第一週期性結構
102:第二週期性結構
103:電子束疊對(eOVL)結構

Claims (20)

  1. 一種目標,其包括: 一單元陣列,其包括一第一單元、一第二單元、一第三單元及一第四單元,各單元包括具有一節距之一週期性結構,其中該週期性結構包含由一第一間隙分離開之一第一區段及一第二區段;及 一電子束疊對目標,其安置於包括該第一單元、該第二單元、該第三單元及該第四單元之該單元陣列之一中心中。
  2. 如請求項1之目標,其中該第一單元、該第二單元、該第三單元及該第四單元經配置具有旋轉對稱性。
  3. 如請求項1之目標,其中各單元之該週期性結構進一步包含由一第二間隙分離開之一第三區段。
  4. 如請求項1之目標,其中該第一單元之該週期性結構具有沿該第一軸之一第一單元高度及沿該第二軸之一第一單元寬度,該第二單元之該週期性結構具有沿該第二軸之一第二單元高度及沿該第一軸之一第二單元寬度,該第三單元之該週期性結構具有沿該第二軸之一第三單元高度及沿該第一軸之一第三單元寬度,且該第四單元之該週期性結構可具有沿該第一軸之一第四單元高度及沿該第二軸之一第四單元寬度,且其中該第一單元寬度大於該第二單元高度,該第二單元寬度大於該第三單元高度,該第三單元寬度大於該第四單元高度,且該第四單元寬度大於該第一單元高度。
  5. 如請求項1之目標,其中該第一單元之該週期性結構具有沿該第一軸之一第一單元高度及沿該第二軸之一第一單元寬度,該第二單元之該週期性結構具有沿該第二軸之一第二單元高度及沿該第一軸之一第二單元寬度,該第三單元之該週期性結構具有沿該第二軸之一第三單元高度及沿該第一軸之一第三單元寬度,且該第四單元之該週期性結構可具有沿該第一軸之一第四單元高度及沿該第二軸之一第四單元寬度,且其中該第一單元寬度等於該第二單元高度,該第二單元寬度等於該第三單元高度,該第三單元寬度等於該第四單元高度,且該第四單元寬度等於該第一單元高度。
  6. 如請求項1之目標,其中該電子束疊對目標之部分係界定一中心中空區域之安置於彼此內部之複數個嵌套多邊形形狀。
  7. 如請求項6之目標,其中該等互連多邊形係方形。
  8. 如請求項6之目標,其中各單元之該週期性結構具有一第一高度及大於該第一高度之一第二高度,且其中具有該第二高度之該週期性結構安置為鄰近於該電子疊對目標。
  9. 如請求項6之目標,其中各單元之該週期性結構之該第二區段具有一第二高度及小於該第二高度之一第三高度,且其中具有該第三高度之該週期性結構安置為鄰近於該電子疊對目標。
  10. 一種使用半導體度量工具對請求項1之目標執行疊對量測之方法。
  11. 一種目標,其包括: 一單元陣列,其包括一第一單元、一第二單元、一第三單元及一第四單元,各包括具有一節距之一週期性結構,其中該週期性結構包含由一第一間隙分離開之一第一區段及一第二區段;及 複數個電子束疊對目標,其等安置於該第一單元、該第二單元、該第三單元及該第四單元之一邊緣處,其中該電子束疊對目標之各者包含一本體、自該本體延伸之複數個片段及連接該複數個片段之端之一連接片段。
  12. 如請求項11之目標,其中該複數個電子束疊對目標安置於鄰近於該週期性結構之該第一區段之該第一單元、該第二單元、該第三單元及該第四單元之該邊緣處。
  13. 如請求項11之目標,其中該複數個電子束疊對目標安置於鄰近於該週期性結構之該第二區段之該第一單元、該第二單元、該第三單元及該第四單元之該邊緣處。
  14. 如請求項13之目標,其中該複數個電子束疊對目標安置於鄰近於該第一間隙之該第一單元、該第二單元、該第三單元及該第四單元之該邊緣處。
  15. 如請求項11之目標,其中該週期性結構進一步包含該節距處之複數個週期性結構之群組之間的一第二節距,其中該第二節距大於該第一節距。
  16. 一種使用一半導體度量工具對請求項11之目標執行疊對量測之方法。
  17. 一種目標,其包括: 一單元陣列,其包括一第一單元、一第二單元、一第三單元及一第四單元,各包括具有一節距之一週期性結構,其中該週期性結構包含由一第一間隙分離開之一第一區段及一第二區段;及 複數個電子束疊對目標,其中該等電子束疊對目標之各者包含自一本體之兩側延伸之複數個片段,其中該本體安置於該週期性結構之該第一區段及該第二區段中之光柵對之間且該複數個片段安置於鄰近於該本體之該第一區段及該第二區段中之該等光柵對上。
  18. 如請求項17之目標,其中該複數個電子束疊對目標安置於各單元之該週期性結構之該第一區段及該第二區段之端處之光柵對之間。
  19. 如請求項17之目標,其中該複數個電子束疊對目標安置於各單元之該週期性結構之該第一區段及該第二區段之各對光柵之間。
  20. 一種使用一半導體度量工具對請求項16之目標執行疊對量測之方法。
TW111113879A 2021-06-28 2022-04-12 用於電子束疊對之疊對標記設計 TW202303787A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163215561P 2021-06-28 2021-06-28
US63/215,561 2021-06-28
US17/487,842 US11862524B2 (en) 2021-06-28 2021-09-28 Overlay mark design for electron beam overlay
US17/487,842 2021-09-28

Publications (1)

Publication Number Publication Date
TW202303787A true TW202303787A (zh) 2023-01-16

Family

ID=84542581

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111113879A TW202303787A (zh) 2021-06-28 2022-04-12 用於電子束疊對之疊對標記設計

Country Status (4)

Country Link
US (1) US11862524B2 (zh)
KR (1) KR20240027572A (zh)
TW (1) TW202303787A (zh)
WO (1) WO2023278175A1 (zh)

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
DE10307373A1 (de) 2003-02-21 2004-09-09 Leica Microsystems Semiconductor Gmbh Verfahren und Vorrichtung zur Untersuchung von Halbleiterwafern unter Berücksichtigung des Die-/SAW-Designs
DE10307454B4 (de) 2003-02-21 2010-10-28 Vistec Semiconductor Systems Gmbh Verfahren zur optischen Inspektion eines Halbleitersubstrats
DE10307358B3 (de) 2003-02-21 2004-10-07 Leica Microsystems Semiconductor Gmbh Verfahren und Vorrichtung zum Scannen eines Halbleiter-Wafers
DE10331593A1 (de) 2003-07-11 2005-02-03 Leica Microsystems Semiconductor Gmbh Verfahren zur Defektsegmentierung in Strukturen auf Halbleitersubstraten
DE10331686A1 (de) 2003-07-14 2005-02-17 Leica Microsystems Semiconductor Gmbh Verfahren zur Bewertung von aufgenommenen Bildern von Wafern
DE10359722A1 (de) 2003-12-19 2005-07-14 Leica Microsystems Semiconductor Gmbh Verfahren zur Inspektion eines Wafers
DE102004055250A1 (de) 2004-11-16 2006-05-18 Leica Microsystems Semiconductor Gmbh Verfahren zur Inspektion eines Wafers
DE102005011237B3 (de) 2005-03-11 2006-08-03 Leica Microsystems Semiconductor Gmbh Verfahren zur Bestimmung von Defekten in Bildern
DE102005014594A1 (de) 2005-03-31 2006-10-05 Leica Microsystems Semiconductor Gmbh Verfahren zur Erkennung unvollständiger Randentlackung eines scheibenförmigen Objekts
DE102005014595A1 (de) 2005-03-31 2006-10-05 Leica Microsystems Semiconductor Gmbh Verfahren zur visuellen Inspektion einer Randentlackungskante eines scheibenförmigen Objekts
DE102006042956B4 (de) 2006-04-07 2009-10-01 Vistec Semiconductor Systems Gmbh Verfahren zur optischen Inspektion und Visualisierung der von scheibenförmigen Objekten gewonnenen optischen Messwerte
DE102007016922A1 (de) 2007-04-05 2008-10-09 Vistec Semiconductor Systems Gmbh Verfahren zur Detektion von Defekten auf der Rückseite eines Halbleiterwafers
DE102007039982B3 (de) 2007-08-23 2009-02-12 Vistec Semiconductor Systems Gmbh Verfahren zur optischen Inspektion und Visualisierung der von scheibenförmigen Objekten gewonnenen optischen Messerwerte
DE102007042271B3 (de) 2007-09-06 2009-02-05 Vistec Semiconductor Systems Gmbh Verfahren zur Bestimmung der Lage der Entlackungskante eines scheibenförmigen Objekts
DE102007060355A1 (de) 2007-12-12 2009-06-25 Vistec Semiconductor Systems Gmbh Verfahren und Vorrichtung zur Verarbeitung der von mindestens einer Kamera aufgenommenen Bilddaten der Oberfläche eines Wafers
DE102007047933B3 (de) 2007-12-20 2009-02-26 Vistec Semiconductor Systems Gmbh Verfahren zur Inspektion von einer Oberfläche eines Wafers mit Bereichen unterschiedlicher Detektionsempfindlichkeit
US9927718B2 (en) 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
DE102010061505B4 (de) 2010-12-22 2012-10-31 Kla-Tencor Mie Gmbh Verfahren zur Inspektion und Detektion von Defekten auf Oberflächen von scheibenförmigen Objekten
US9007585B2 (en) * 2012-03-07 2015-04-14 Kla-Tencor Corporation Imaging overlay metrology target and complimentary overlay metrology measurement system
US9093458B2 (en) 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
TWI621190B (zh) 2013-06-19 2018-04-11 克萊譚克公司 併合成像及散射測量靶
US10002806B2 (en) 2014-02-12 2018-06-19 Kla-Tencor Corporation Metrology targets with filling elements that reduce inaccuracies and maintain contrast
WO2015196168A1 (en) * 2014-06-21 2015-12-23 Kla-Tencor Corporation Compound imaging metrology targets
CN108475026B (zh) 2016-01-11 2021-04-23 科磊股份有限公司 热点及工艺窗监测
US11112369B2 (en) 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
US10474040B2 (en) 2017-12-07 2019-11-12 Kla-Tencor Corporation Systems and methods for device-correlated overlay metrology
US10473460B2 (en) 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US10533848B2 (en) 2018-03-05 2020-01-14 Kla-Tencor Corporation Metrology and control of overlay and edge placement errors
JP7288144B2 (ja) 2019-09-16 2023-06-06 ケーエルエー コーポレイション 周期的半導体デバイス位置ずれ計量システム及び方法
US11809090B2 (en) 2020-01-30 2023-11-07 Kla Corporation Composite overlay metrology target

Also Published As

Publication number Publication date
US20220415725A1 (en) 2022-12-29
WO2023278175A1 (en) 2023-01-05
KR20240027572A (ko) 2024-03-04
US11862524B2 (en) 2024-01-02

Similar Documents

Publication Publication Date Title
US7616313B2 (en) Apparatus and methods for detecting overlay errors using scatterometry
CN110770654B (zh) 用于基于成像的叠加及基于散射测量的叠加的混合叠加目标设计
US10481506B2 (en) Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
TWI575334B (zh) 檢查方法、微影裝置、光罩及基板
US11967535B2 (en) On-product overlay targets
CN107533020B (zh) 计算上高效的基于x射线的叠盖测量系统与方法
TW202303787A (zh) 用於電子束疊對之疊對標記設計
TW202303661A (zh) 用於電子束疊對之疊對記號設計
US11720031B2 (en) Overlay design for electron beam and scatterometry overlay measurements
JP2001091214A (ja) パターン測定方法
US20240118606A1 (en) Improved targets for diffraction-based overlay error metrology
KR20240108314A (ko) 회절 기반 오버레이 오차 계측을 위한 개선된 타겟
TW202411775A (zh) 單一墊疊對量測