TW202302906A - 可流動cvd膜缺陷減少 - Google Patents

可流動cvd膜缺陷減少 Download PDF

Info

Publication number
TW202302906A
TW202302906A TW111117973A TW111117973A TW202302906A TW 202302906 A TW202302906 A TW 202302906A TW 111117973 A TW111117973 A TW 111117973A TW 111117973 A TW111117973 A TW 111117973A TW 202302906 A TW202302906 A TW 202302906A
Authority
TW
Taiwan
Prior art keywords
substrate
range
film
inches
fcvd
Prior art date
Application number
TW111117973A
Other languages
English (en)
Inventor
李文慧
普拉凱特P 加
瑪達爾B 帕迪特
曼平 蔡
璟梅 梁
文揚 蔣
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202302906A publication Critical patent/TW202302906A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文揭示的處理方法包括透過將基板表面暴露於含矽前驅物和反應物,來在基板表面上形成成核層和可流動化學氣相沉積(FCVD)膜。透過控制前驅物/反應物壓力比、前驅物/反應物流量比、和基板溫度中的至少一者,微小缺陷的形成被最小化。控制至少一個處理參數可減少微小缺陷的數量。FCVD膜可以透過任何合適的固化處理來固化以形成光滑的FCVD膜。

Description

可流動CVD膜缺陷減少
本揭示一般涉及膜的處理方法。具體而言,本揭示涉及可流動化學氣相沉積(FCVD)薄膜的處理方法。
在微電子裝置製造中,可流動化學氣相沉積(FCVD)用於為平坦表面和具有溝槽的表面兩者提供光滑的基板表面。特別是,對於許多應用,需要填充具有大於10:1的長寬比(aspect ratios,AR)且沒有空洞的窄溝槽。一種應用是淺溝槽隔離(shallow trench isolation,STI)。對於STI應用,膜需要在整個溝槽中具有高品質(例如,具有小於2的濕蝕刻率比),且非常低的洩漏。隨著結構的尺寸減小與結構的長寬比增加,剛沉積的FCVD膜的後固化方法變得困難,導致整個填充溝槽具有不同組成的膜。
介電膜的傳統電漿增強化學氣相沉積(PECVD)在狹窄的溝槽頂部形成蘑菇狀膜。這是由於電漿無法滲透到深溝槽中。結果導致從頂部夾斷(pinching-off)狹窄的溝槽,從而在溝槽底部形成空隙。
可流動化學氣相沉積(FCVD)已廣泛用於先進的幾代半導體裝置。隨著特徵尺寸的減小,與之前的節點相比,FCVD膜所需的間隙填充量可以很大程度地減少(例如,<500Å或<300Å)。沉積具有光滑和均勻表面以及高間隙填充性能的薄FCVD膜是具有挑戰性。在FCVD膜的初始成核期間,在FCVD膜的成核層上會自行產生微小缺陷。在FCVD膜上退火襯墊的沉積後,這些可能在10 nm量級的微小缺陷在尺寸上擴大了4-6倍。FCVD膜的不均勻初始成核導致表面粗糙。因此,需要減少FCVD膜中的微小缺陷的方法。
本揭示的一或多個實施例涉及基板處理方法,該方法包括以下步驟:透過將基板表面暴露於含矽前驅物和反應物,來在基板表面上形成成核層和可流動化學氣相沉積(FCVD)膜;控制以下至少一項以最小化微小缺陷的形成:前驅物/反應物壓力比、前驅物/反應物流量比、和基板溫度;和固化FCVD膜。
本揭示的另外的實施例涉及處理方法,該方法包括以下步驟:透過將基板表面暴露於含矽前驅物和反應物,來在基板表面上的間隙中形成成核層和可流動化學氣相沉積(FCVD)膜;控制以下至少一項以最小化微小缺陷的形成:前驅物/反應物壓力比、前驅物/反應物流量比、和基板溫度;和固化FCVD膜。
在描述本揭示的一些示例性實施例之前,應理解,本揭示不限於以下描述中闡述的構造或處理步驟的細節。本揭示能夠具有其他實施例並且能夠以各種方式被實施或實行。
本文所使用的用語「水平的」是定義為與遮罩基材的平面或表面平行的平面,而不管其取向如何。用語「垂直的」是指垂直於如剛所定義的水平的方向。用語,例如,「上方」、「下方」、「底部」、「頂部」、「側面」(如在「側壁」中)、「較高」、「較低」、「上」、「之上」和「之下」如圖所示,是相對於水平面定義的。
用語「在...上面」表示元件之間存在直接接觸。用語「直接在...上」表示元件之間存在直接接觸,而沒有中間元件。所屬技術領域具有通常知識者將理解,使用諸如「第一」和「第二」之類的序數來描述處理區域並不意味著處理腔室內的特定位置或處理腔室內的暴露順序。
如在本說明書和所附申請專利範圍中所使用的,用語「基板」是指處理作用於其上的表面或表面的一部分。所屬技術領域具有通常知識者還將理解,除非上下文另有明確說明,否則對基板的參照可僅參照至基板的一部分。此外,參照至在基板上的沉積可以意謂裸基板和具有在其上沉積或形成的一或多個膜或特徵的基板。例如,可在其上實行處理的基板表面包括材料,例如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、以及任何其它材料,例如金屬、金屬氮化物、金屬合金、和其他導電材料,這取決於應用。基板包括,但不限於,半導體晶圓。基板可以暴露於預處理製程,以拋光、蝕刻、還原、氧化、羥基化(hydroxylate)、退火、UV固化、電子束固化、和/或烘烤基板表面。
本揭示的實施例提供了改進FCVD膜的表面粗糙度的方法。一些實施例有利地提供了涉及可以在群集工具環境中實行的循環沉積-處理處理的方法。一些實施例有利地提供可用於填充具有小尺寸的高長寬比(AR)溝槽/特徵的無縫高品質、低粗糙度膜。
在一或多個實施例中,在可流動化學氣相沉積(FCVD)之前在基板表面上的表面處理有利地提高了初始成核的均勻性並改善了FCVD膜的整體平滑度。在一或多個實施例中,發現以惰性或反應性氣體進行電漿處理是有效的。在一或多個實施例中,電漿預處理由遠端電漿源(RPS)或電容耦合電漿(CCP)或電感耦合電漿(ICP)與環境如氬(Ar)、氦(He)、氨(NH 3)、氮氣(N 2)、氫氣(H 2)、或它們的混合物所產生。在一或多個實施例中,可以透過改變引入反應物的順序、改變反應物的流量比以及透過改變沉積期間反應物在腔室中的停留時間來進一步改善初始成核平滑度。
在一或多個實施例中,結束FCVD膜的沉積可以實現後續材料層的平滑沉積。在一或多個實施例中,在沉積結束時快速消除自由基殘留物和避免非偏好處理方案的反應降低了表面粗糙度。
本揭示的一或多個實施例涉及其中沉積能夠填充高長寬比結構(例如,AR > 8:1)的FCVD膜的處理。本揭示的實施例提供了在形成FCVD膜之前預處理基板表面的方法,以形成光滑表面。
在一或多個實施例中,本揭示提供在間隙填充應用中沉積FCVD膜,但本揭示不限於間隙填充應用。在一些實施例中,FCVD膜的沉積發生在平坦基板或平坦基板表面上,例如在臺面的上表面上。FCVD膜可以沉積在晶圓上(在一些實施例中,晶圓的溫度為從-10℃至200℃)並且由於流動性,FCVD膜的聚合物將流動並填充凹坑、刮痕、和間隙.然而,本技術領域具有通常知識者將理解,所描述的前驅物和方法不限於間隙填充應用並且可用於任何FCVD膜形成。圖1示出了具有特徵110的基板100的部分截面圖。為了說明的目的,圖式示出了具有單一特徵的基板;然而,所屬技術領域具有通常知識者將理解可以有不止一個特徵。特徵110的形狀可以是任何合適的形狀,包括但不限於溝槽和圓柱形通孔。如同在這方面所使用的,用語「特徵」是指任何有意的表面不規則性。特徵的合適範例包括但不限於具有頂部、兩個側壁和底部的溝槽、具有頂部和兩個側壁的峰。特徵可以具有任何合適的長寬比(特徵深度與特徵寬度的比)。在一些實施例中,長寬比大於或等於約5:1、10:1、15:1、20:1、25:1、30:1、35:1、或40:1。
在一或多個實施例中,基板100包括基板表面120和至少一個特徵110。至少一個特徵110在基板表面120中形成開口。至少一個特徵110從基板表面120延伸至深度D至底表面112。至少一個特徵110具有界定至少一個特徵110的寬度W的第一側壁114和第二側壁116。由側壁和底部形成的開口區域也稱為間隙。
在一或多個實施例中,微小缺陷可能存在於由側壁和底部形成的開口區域中。在一或多個實施例中,微小缺陷在初始成核期間在FCVD膜成核層上自發產生。在一或多個實施例中,不均勻成核包括微小缺陷。根據一或多個實施例,「微小缺陷」是指直徑為約10 nm(例如,在從約5 nm到約15 nm的範圍內)的缺陷。不均勻的成核可能導致表面粗糙度的增加。在一或多個實施例中,在使用如本文所述的基板處理方法或處理方法之前,許多微小缺陷可包括在不使用本文所述的任何方法來控制處理參數和減少缺陷的數量的情況下而發生的高達500個微小缺陷的基線值。與未如本文所述地控制至少一個處理參數時獲得的基線值相比,控制至少一個處理參數降低了微小缺陷的基線值,以提供減少數量的微小缺陷。在一或多個實施例中,減少的微小缺陷的數量在從約0個缺陷到約45個缺陷的範圍內。在一或多個實施例中,減少的微小缺陷的數量在從約0個缺陷到約10個缺陷的範圍內。
在一或多個實施例中,使用掃描電子顯微鏡(SEM)來計算FCVD膜中微小缺陷的數量並使用來自FCVD膜的SEM圖像的圖像像素數來測量微小缺陷的尺寸。在一或多個實施例中,使用原子力顯微鏡(AFM)來測量FCVD膜的粗糙度。
已發現控制至少一個處理參數改善了均勻的初始成核。在一或多個實施例中,均勻的初始成核減少了微小缺陷的數量。本揭示的一或多個實施例涉及處理方法,其中提供在其上具有至少一個特徵的基板表面。如在這方面所使用的,用語「提供」是指將基板放置到用於進一步處理的位置或環境中。
如圖2所示,FCVD膜150形成在基板表面120和至少一個特徵110的第一側壁114、第二側壁116和底表面112上。FCVD膜150填充至少一個特徵110,使得基本上沒有形成縫。縫是在特徵110的側壁之間(但不一定在中間)的特徵中形成的間隙。如在這方面所使用的,用語「基本上沒有縫」是指在側壁之間的膜中形成的任何間隙小於側壁橫截面區域的約1%。
FCVD膜150可以透過任何合適的處理形成。在一些實施例中,FCVD膜透過化學氣相沉積(CVD)形成。在一些實施例中,FCVD膜透過電漿增強化學氣相沉積(PECVD)形成。換言之,FCVD膜可透過電漿增強化學氣相沉積(PECVD)處理來沉積。
本揭示的實施例有利地提供預處理基板表面以形成可用於沉積可流動CVD膜的平滑預處理基板表面的方法。在一或多個實施例中,基板表面被以電漿預處理,以形成具有促進平滑度的化學鍵的平滑預處理基板表面。接著透過將預處理的基板表面暴露於前驅物和反應物,在預處理的基板表面上形成可流動CVD膜。接著固化可流動CVD膜。
在一或多個實施例中,用於預處理基板表面的電漿包括氬(Ar)、氦(He)、氫(H 2)、氮(N 2)或氨(NH 3)中的一或多種。
在一或多個實施例中,基板表面的預處理在約5 mTorr至約100 mTorr範圍內的壓力下進行。可在約25°C(或環境溫度)至約400°C範圍內的溫度下對基板表面進行預處理。
在一或多個實施例中,形成在預處理基板表面上的可流動CVD膜的厚度在約5 nm至約50 nm的範圍內,包括約10 nm、約15 nm、約20 nm、約25 nm、約30 nm、約35 nm、約40 nm、或約 45 nm。在一或多個實施例中,可流動CVD膜是超薄的並且具有小於或等於約50 nm的厚度。
再次參照圖2,FCVD膜150可以在任何合適的溫度下形成。在一些實施例中,FCVD膜150在約10℃至約150℃範圍內的溫度下形成。可以將溫度保持較低以維持正在形成的裝置的熱預算。在一些實施例中,FCVD膜的形成在低於約300°C、250°C、200°C、150°C、100°C、75°C、50°C、25°C或0°C的溫度進行。
可透過改變反應氣體的組成來調整可流動CVD膜的組成。在一些實施例中,可流動CVD膜包括碳化矽(SiC)、碳氧化矽(SiOC)、碳氮化矽(SiCN)、氧碳氮化矽(SiOCN)、氧化矽(SiO)和氮化矽(SiN)中的一或多種。為了形成含氧膜,共反應物可包括,例如,氧、臭氧、或水中的一或多種。為了形成含氮膜,共反應物可包括,例如,氨、聯氨、NO 2、或N 2中的一或多種。為了形成含碳膜,反應氣體可包括,例如,丙烯和乙炔中的一或多種。所屬技術領域具有通常知識者將理解,反應氣體混合物中可包括其他物質的組合以改變可流動CVD膜的組成。
在一些實施例中,FCVD膜可摻雜有另一元素。例如,在一或多個實施例中,FCVD膜可摻雜有硼(B)、砷(As)或磷(P)中的一或多種。FCVD膜可摻雜如硼(B)和磷(P)等元素以改善膜性能。含硼和磷的前驅物可在沉積處理期間與三矽烷胺(TSA)和氨(NH 3)前驅物共同流動,或者可以在沉積完成後滲入。含硼前驅物可以是氨基硼烷(aminoboranes)/硼烷化合物,而含磷前驅物可以是磷酸鹽/亞磷酸鹽化合物。在一些實施例中,摻雜FCVD膜包括使摻雜劑前驅物與三矽烷胺(TSA)和氨(NH 3)前驅物共流。在一些實施例中,摻雜FCVD膜包括在單獨的處理中注入摻雜劑元素。
現在參照圖3,示出了基板處理方法的示例性實施例。方法300包括透過在操作310將基板表面暴露於含矽前驅物和反應物,來在操作320在基板表面上形成成核層和可流動化學氣相沉積(FCVD)膜。方法300進一步包括在操作330控制至少一個處理參數。如本文所用,「處理參數」包括前驅物/反應物壓力比、前驅物/反應物流量比、和基板溫度中的至少一種。方法300包括在操作340固化FCVD膜。
在一或多個實施例中,前驅物包括三矽烷胺(TSA)。在一或多個實施例中,反應物包括氨(NH 3)。在一些實施例中,三矽烷胺(TSA)前驅物可與另一前驅物(例如,與另一含矽前驅物共流)在可流動處理中用於沉積各種組成的膜。例如,含矽和烴基的前驅物可與三矽烷胺(TSA)/NH 3處理一起使用,以將碳結合到FCVD膜中。在一或多個實施例中,從TSA/NH 3處理獲得的FCVD膜是SiO或SiN膜。透過添加含碳和矽的前驅物,可以沉積SiOC、SiCON、或SiCN膜。
在一或多個實施例中,三矽烷胺(TSA)前驅物可被氣化輸送至CVD腔室,並且合適的共反應物(例如氨(NH 3)、氧氣(O 2)、二氧化碳(CO 2)、一氧化碳(CO)、氬氣(Ar)、氦氣(He)、氫氣(H 2)或其任何組合)可以透過例如遠端電漿源(RPS)輸送到腔室,該遠端電漿源(RPS)將產生電漿活性物質作為共反應物。電漿活化的共反應物分子(自由基)具有高能量,且可以與氣相中的三矽烷胺(TSA)前驅物分子反應以形成相對應的可流動聚合物。在一些實施例中,電漿由包括NH 3、O 2、CO 2、CO、Ar、He、或H 2中的一或多種的電漿氣體產生。
在一或多個實施例中,電漿可以在處理腔室內產生或點燃(例如,直接電漿),或者可以在處理腔室外產生並流入處理腔室(例如,遠端電漿)。
在一或多個實施例中,將基板表面暴露於含矽前驅物和反應物包括使選自氬(Ar)、氦(He)、氧氣(O 2)及其組合的組的一或多種載氣流動。
在一些實施例中,處理方法進一步包括從基板環境中消除氧氣(O 2)並關閉氨(NH 3)的流動,同時繼續使三矽烷胺(TSA)流過具有FCVD沉積的經處理的基板。無意受理論束縛,認為FCVD膜的表面粗糙度透過該終止處理而得到改善。
在其他實施例中,暴露基板表面是在基本上不存在氧氣(O 2)的情況下進行的。換言之,在一或多個實施例中,當TSA和NH 3流過基板表面時,基板表面基本上沒有氧氣(O 2)。如本文所用,用語「基本上不存在」或用語「基本上沒有」是指在基板表面周圍的大氣中存在的氧小於5%,包括小於4%、小於3%、小於2%、小於1% ,及少於0.5%。
在一或多個實施例中,暴露基板表面是在氧氣(O 2)存在下進行的。在一或多個實施例中,存在氧氣(O 2)以形成氧化矽(SiO x)膜。在一或多個實施例中,存在氨(NH 3)以形成氮化矽(SiN x)膜。
在一或多個實施例中,流動的氬(Ar)包括流動至少約1500 sccm的氬(Ar)。在一或多個實施例中,流動的氬(Ar)包括流動不超過約4000 sccm的氬(Ar)。
在一或多個實施例中,流動的氦(He)包括流動至少約2400 sccm的氦(He)。在一或多個實施例中,流動的氦(He)包括流動不超過約5000 sccm的氦(He)。
在一或多個實施例中,流動的氧氣(O 2)包括流動至少約5 sccm的氧氣(O 2)。在一或多個實施例中,流動的氧氣(O 2)包括流動不超過約500 sccm的氧氣(O 2)。在一或多個實施例中,流動的氧氣(O 2)包括流動約200 sccm的氧氣(O 2)。
在一或多個實施例中,前驅物/反應物壓力在約0.35 Torr至約0.8 Torr的範圍內。在一或多個實施例中,前驅物/反應物壓力在約0.4 Torr至約0.65 Torr的範圍內。在一或多個實施例中,前驅物/反應物壓力在約0.45 Torr至約0.5 Torr的範圍內。
在一或多個實施例中,前驅物/反應物流量比是TSA/NH 3的流量比。在一或多個實施例中,TSA/NH 3的流量比在15/1至50/1的範圍內。在一或多個實施例中,TSA/NH 3的前驅物/反應物流量比在從16/1至49/1的範圍內,在從17/1至48/1的範圍內,在從18/1至47/1的範圍內、在從19/1至46/1的範圍內、在從20/1至45/1的範圍內、在從21/1至44/1的範圍內、在從22/1至43/1的範圍內、在從23/1至42/1的範圍內、在從24/1至41/1的範圍內、在從25/1至40/1的範圍內、在從26/1至39/1的範圍內、在從27/1至38/1的範圍內、在從28/1到37/1的範圍內、在從29/1到36/1的範圍內、在從30/1至35/1的範圍內,在從31/1至34/1的範圍內,或在從32/1至33/1的範圍內。
在一或多個實施例中,固化FCVD膜使膜固化並形成基本上無縫的間隙填充。
在一些實施例中,固化FCVD膜包括熱退火。熱退火可以在任何合適的溫度和任何合適的環境下發生。在一些實施例中,FCVD膜透過在乙炔/乙烯環境中的熱退火來固化。
在一些實施例中,固化FCVD膜包括暴露於電漿或電子束。暴露以固化膜的電漿包括與PECVD電漿分離的電漿。電漿種類和處理腔室可以相同,且電漿固化是與PECVD處理不同的步驟。
在一些實施例中,固化FCVD膜包括將FCVD膜暴露於蒸氣退火和/或氧電漿。蒸氣退火和/或氧電漿的使用可以降低FCVD膜的碳含量,使得固化膜具有比剛沉積的(as-deposited)FCVD膜更低的碳含量。蒸氣退火和/或氧電漿的使用可以將沉積的可流動SiC、SiCN、或SiOC膜轉化為SiO。
在一些實施例中,固化FCVD膜包括將膜暴露於UV固化處理。UV固化處理可在約10ºC至約550ºC的溫度範圍內進行。UV固化處理可以在充分固化FCVD膜所需的任何合適的時間框內進行。UV固化可以以不同的參數實行,例如功率、溫度、環境。在一些實施例中,UV固化在乙炔/乙烯環境中進行。
在一或多個實施例中,方法300選擇性地包括在操作350從基板下方加熱基板,包括加熱基板支撐件540。在一或多個實施例中,方法300選擇性地包括在操作360從基板上方加熱基板。在一或多個實施例中,方法300選擇性地包括在操作370保持上表面和基板表面之間的空間。
在一或多個實施例中,如本文所述的基板處理方法進一步包括從下方加熱基板,例如透過加熱基板支撐件540,基板在基板處理腔室中放置在該基板支撐件上。在一或多個實施例中,基板支撐件540包括底座。在一或多個實施例中,從下方加熱基板包括從基板下方將基板加熱至約20℃至約150℃範圍內的溫度。在一或多個實施例中,加熱基板包括從基板下方將基板加熱至約30℃至約120℃範圍內的溫度。在一或多個實施例中,從基板下方加熱基板包括從基板下方將基板加熱至約45 ℃至約100 ℃範圍內的溫度。在一或多個實施例中,從基板下方加熱基板減少了微小缺陷的數量。從基板下方加熱基板可以使用經加熱的基板支撐件540(例如,底座)或可以使用輔助加熱器。在示例性實施例中,可以使用電阻加熱器或輻射(例如,燈)加熱器。
在一或多個實施例中,如本文所述的基板處理方法進一步包括從基板上方加熱基板,例如透過加熱在其中實行處理的基板處理腔室的上表面560。在一或多個實施例中,上表面560包括圓頂、蓋、或噴頭中的一或多個。在一或多個實施例中,從基板上方加熱基板包括從基板上方將基板加熱至約20℃至約150℃範圍內的溫度。在一或多個實施例中,從基板上方加熱基板包括從基板上方將基板加熱至約30 ℃至約120 ℃範圍內的溫度。在一或多個實施例中,從基板上方加熱基板包括從基板上方將基板加熱至約45 ℃至約100 ℃範圍內的溫度。在一或多個實施例中,從基板上方加熱基板減少了微小缺陷的數量。從基板上方加熱基板可以使用經加熱的基板支撐件540或可以使用輔助加熱器。在示例性實施例中,可以使用電阻加熱器或輻射(例如,燈)加熱器。
在一或多個實施例中,如本文所述的基板處理方法進一步包括在上表面560和基板表面520之間保持空間S。在一或多個實施例中,保持上表面560和基板表面520之間的空間S包括保持空間在從約2.5英吋(6.35 cm)到大約4.5英吋(11.43 cm)的範圍內、在從約2.6英吋(6.60 cm)到大約4.4英吋(11.18 cm)的範圍內、在從約2.7英吋(6.86 cm)到大約4.3英吋(10.92 cm)的範圍內、在從約2.8英吋(7.11 cm)到大約4.2英吋(10.67 cm)的範圍內、在從約2.9英吋(7.37 cm)到大約4.1英吋(10.41 cm)的範圍內、在從約3.0英吋(7.62 cm)到大約4.0英吋(10.16 cm)的範圍內、在從約3.1英吋(7.87 cm)到大約3.9英吋(9.91 cm)的範圍內、在從約3.2英吋(8.13 cm)到大約3.8英吋(9.65 cm)的範圍內、在從約3.3英吋(8.38 cm)到大約3.7英吋(9.40 cm)的範圍內、或在從約3.4英吋(8.64 cm)到大約3.6英吋(9.14 cm)的範圍內。在一或多個實施例中,保持上表面560和基板表面520之間的空間S減少了微小缺陷的數量。
在一或多個實施例中,保持上表面560和基板表面520之間的空間S包括保持空間在從約3.10英吋(7.87 cm)到約3.95英吋(10.03 cm)的範圍內。在一或多個實施例中,保持上表面560和基板表面520之間的空間S包括保持空間在從約3.15英吋(8.00 cm)到約3.93英吋(9.98 cm)的範圍內。在一或多個實施例中,保持上表面560和基板表面520之間的空間S包括保持空間在從約3.19英吋(8.10 cm)到約3.92英吋(9.96 cm)的範圍內。在一或多個實施例中,保持上表面560和基板表面520之間的空間S減少了微小缺陷的數量。
在一或多個實施例中,微小缺陷在初始成核期間在成核層和FCVD膜上自發產生。在一或多個實施例中,不均勻成核包括微小缺陷。在一或多個實施例中,不均勻成核可能導致表面粗糙度的增加。在一或多個實施例中,在使用如本文所述的基板處理方法或處理方法之前,許多微小缺陷可包括當不利用本文所述的處理參數時獲得的高達500個微小缺陷的基線值。在一或多個實施例中,與沒有如本文所述控制至少一個處理參數而獲得的基線值相比,控制至少一個處理參數減少了微小缺陷的數量。在一或多個實施例中,微小缺陷的數量在從約0個缺陷到約45個缺陷的範圍內。在一或多個實施例中,微小缺陷的數量在從約0個缺陷到約10個缺陷的範圍內。
如本文所用,微小缺陷是直徑在約5nm至約15nm範圍內的缺陷。在一或多個實施例中,使用掃描電子顯微鏡(SEM)來計算FCVD膜中微小缺陷的數量並使用來自FCVD膜的SEM圖像的圖像像素數來測量微小缺陷的尺寸。在一或多個實施例中,使用原子力顯微鏡(AFM)來測量FCVD膜的粗糙度。
在一或多個實施例中,控制至少一個處理參數改進了均勻的初始成核。在一或多個實施例中,均勻的初始成核減少了微小缺陷的數量。
現在參照圖4,示出了處理方法的示例性實施例。方法400包括透過在操作410暴露基板表面到含矽前驅物和反應物來在操作420在包括基板支撐件540和上表面560的CVD處理腔室中的基板表面上的間隙中形成成核層和可流動化學氣相沉積(FCVD)膜。方法400包括在操作430控制至少一個處理參數。如本文所用,「處理參數」包括前驅物/反應物壓力比、前驅物/反應物流量比、和基板溫度中的至少一種。方法400包括在操作400固化FCVD膜。
在一或多個實施例中,前驅物包括三矽烷胺(TSA)。在一或多個實施例中,反應物包括氨(NH 3)。在一些實施例中,三矽烷胺(TSA)前驅物可與另一前驅物(例如,與另一含矽前驅物共流)在可流動處理中用於沉積各種組成的膜。例如,含矽和烴基的前驅物可與三矽烷胺(TSA)/NH 3處理一起使用,以將碳結合到FCVD膜中。在一或多個實施例中,從TSA/NH 3處理獲得的FCVD膜是SiO或SiN膜。透過添加含碳和矽的前驅物,可以沉積SiOC、SiCON、或SiCN膜。
在一或多個實施例中,三矽烷胺(TSA)前驅物可被氣化至CVD腔室,並且合適的共反應物(例如氨(NH 3)、氧氣(O 2)、二氧化碳(CO 2)、一氧化碳(CO)、氬氣(Ar)、氦氣(He)、氫氣(H 2)或其任何組合)可以透過例如遠端電漿源(RPS)輸送到腔室,該遠端電漿源(RPS)將產生電漿活性物質作為共反應物。電漿活化的共反應物分子(自由基)具有高能量,且可以與氣相中的三矽烷胺(TSA)前驅物分子反應以形成相對應的可流動聚合物。在一些實施例中,電漿由包括NH 3、O 2、CO 2、CO、Ar、He、或H 2中的一或多種的電漿氣體產生。
在一或多個實施例中,電漿可以在處理腔室內產生或點燃(例如,直接電漿),或者可以在處理腔室外產生並流入處理腔室(例如,遠端電漿)。
在一或多個實施例中,將基板表面暴露於含矽前驅物和反應物包括使選自氬(Ar)、氦(He)、氧氣(O 2)及其組合的一或多種載氣流動。
在一些實施例中,處理方法進一步包括從基板環境中消除氧氣(O 2)並關閉氨(NH 3)的流動,同時繼續使三矽烷胺(TSA)流過具有FCVD沉積的經預處理的基板。無意受理論束縛,認為FCVD膜的表面粗糙度透過該終止處理而得到改善。
在其他實施例中,暴露基板表面是在基本上不存在氧氣(O 2)的情況下進行的。換言之,在一或多個實施例中,當TSA和NH 3流過基板表面時,基板表面基本上沒有氧氣(O 2)。如本文所用,用語「基本上不存在」或用語「基本上沒有」是指在基板表面周圍的大氣中存在的氧小於5%,包括小於4%、小於3%、小於2%、小於1% ,及少於0.5%。
在一或多個實施例中,暴露基板表面是在氧氣(O 2)存在下進行的。在一或多個實施例中,存在氧氣(O 2)以形成氧化矽(SiO x)膜。在一或多個實施例中,存在氨(NH 3)以形成氮化矽(SiN x)膜。
在一或多個實施例中,流動的氬(Ar)包括流動至少約1500 sccm的氬(Ar)。在一或多個實施例中,流動的氬(Ar)包括流動不超過約4000 sccm的氬(Ar)。
在一或多個實施例中,流動的氦(He)包括流動至少約2400 sccm的氦(He)。在一或多個實施例中,流動的氦(He)包括流動不超過約5000 sccm的氦(He)。
在一或多個實施例中,流動的氧氣(O 2)包括流動至少約5 sccm的氧氣(O 2)。在一或多個實施例中,流動的氧氣(O 2)包括流動不超過約500 sccm的氧氣(O 2)。在一或多個實施例中,流動的氧氣(O 2)包括流動約200 sccm的氧氣(O 2)。
在一或多個實施例中,前驅物/反應物壓力在約0.35 Torr至約0.8 Torr的範圍內。在一或多個實施例中,前驅物/反應物壓力在約0.4 Torr至約0.65 Torr的範圍內。在一或多個實施例中,前驅物/反應物壓力在約0.45 Torr至約0.5 Torr的範圍內。
在一或多個實施例中,TSA/NH 3的前驅物/反應物流量比在15/1至50/1的範圍內。在一或多個實施例中,TSA/NH 3的前驅物/反應物流量比在從16/1至49/1的範圍內,在從17/1至48/1的範圍內,在從18/1至47/1的範圍內、在從19/1至46/1的範圍內、在從20/1至45/1的範圍內、在從21/1至44/1的範圍內、在從22/1至43/1的範圍內、在從23/1至42/1的範圍內、在從24/1至41/1的範圍內、在從25/1至40/1的範圍內、在從26/1至39/1的範圍內、在從27/1至38/1的範圍內、在從28/1到37/1的範圍內、在從29/1到36/1的範圍內、在從30/1至35/1的範圍內,在從31/1至34/1的範圍內,或在從32/1至33/1的範圍內。
在一或多個實施例中,固化FCVD膜使膜固化並形成基本上無縫的間隙填充。
在一些實施例中,固化FCVD膜包括熱退火。熱退火可以在任何合適的溫度和任何合適的環境下發生。在一些實施例中,FCVD膜透過在乙炔/乙烯環境中的熱退火來固化。
在一些實施例中,固化FCVD膜包括暴露於電漿或電子束。暴露以固化膜的電漿包括與PECVD電漿分離的電漿。電漿種類和處理腔室可以相同,且電漿固化是與PECVD處理不同的步驟。
在一些實施例中,固化FCVD膜包括將FCVD膜暴露於蒸氣退火和/或氧電漿。蒸氣退火和/或氧電漿的使用可以降低FCVD膜的碳含量,使得固化膜具有比剛沉積的(as-deposited)FCVD膜更低的碳含量。蒸氣退火和/或氧電漿的使用可以將沉積的可流動SiC、SiCN、或SiOC膜轉化為SiO。
在一些實施例中,固化FCVD膜包括將膜暴露於UV固化處理。UV固化處理可在約10ºC至約550ºC的溫度範圍內進行。UV固化處理可以在充分固化FCVD膜所需的任何合適的時間框內進行。UV固化可以以不同的參數實行,例如功率、溫度、環境。在一些實施例中,UV固化在乙炔/乙烯環境中進行。
在一或多個實施例中,方法400選擇性地包括在操作450從基板下方加熱基板,例如,透過加熱基板支撐件540。在一或多個實施例中,方法400選擇性地包括在操作460從基板上方加熱基板,例如,透過加熱上表面560。在一或多個實施例中,方法400選擇性地包括在操作470保持上表面560和基板表面520之間的空間S。
在一或多個實施例中,如圖5所示,本文所述的處理方法進一步包括加熱基板支撐件540。在一或多個實施例中,基板支撐件540包括底座。在一或多個實施例中,從基板下方加熱基板包括從基板下方將基板加熱至約20℃至約150℃範圍內的溫度。在一或多個實施例中,從基板下方加熱基板包括從基板下方將基板加熱至約30 ℃至約120 ℃範圍內的溫度。在一或多個實施例中,從基板下方加熱基板包括從基板下方將基板加熱至約45 ℃至約100 ℃範圍內的溫度。
在一或多個實施例中,如圖5所示,本文所述的處理方法進一步包括加熱基板處理腔室500的上表面560。在一或多個實施例中,基板處理腔室500的上表面560包括圓頂、蓋、或噴頭中的一或多個。在一或多個實施例中,從基板上方加熱基板包括從基板上方將基板加熱至約20℃至約150℃範圍內的溫度。在一或多個實施例中,從基板上方加熱基板包括從基板上方將基板加熱至約30 °C至約120 °C範圍內的溫度。在一或多個實施例中,從基板上方加熱基板包括從基板上方將基板加熱至約45 °C至約100 °C範圍內的溫度。
在一或多個實施例中,如本文所述的基板處理方法進一步包括在上表面560和基板表面520之間保持空間S。在一或多個實施例中,保持上表面560和基板表面520之間的空間S包括保持空間在從約2.5英吋(6.35 cm)到大約4.5英吋(11.43 cm)的範圍內、在從約2.6英吋(6.60 cm)到大約4.4英吋(11.18 cm)的範圍內、在從約2.7英吋(6.86 cm)到大約4.3英吋(10.92 cm)的範圍內、在從約2.8英吋(7.11 cm)到大約4.2英吋(10.67 cm)的範圍內、在從約2.9英吋(7.37 cm)到大約4.1英吋(10.41 cm)的範圍內、在從約3.0英吋(7.62 cm)到大約4.0英吋(10.16 cm)的範圍內、在從約3.1英吋(7.87 cm)到大約3.9英吋(9.91 cm)的範圍內、在從約3.2英吋(8.13 cm)到大約3.8英吋(9.65 cm)的範圍內、在從約3.3英吋(8.38 cm)到大約3.7英吋(9.40 cm)的範圍內、或在從約3.4英吋(8.64 cm)到大約3.6英吋(9.14 cm)的範圍內。
在一或多個實施例中,保持上表面560和基板表面520之間的空間S包括保持空間在從約3.10英吋(7.87 cm)到約3.95英吋(10.03 cm)的範圍內。在一或多個實施例中,保持上表面和基板之間的空間S包括保持空間在從約3.15英吋(8.00 cm)到約3.93英吋(9.98 cm)的範圍內。在一或多個實施例中,保持上表面和基板之間的空間S包括保持空間在從約3.19英吋(8.10 cm)到約3.92英吋(9.96 cm)的範圍內。
根據一或多個實施例,在形成層之前和/或之後對基板進行處理。處理可在同一腔室或一或多個單獨的處理腔室中實行。在一些實施例中,將基板從第一腔室移動到單獨的第二腔室以進行進一步處理。基板可以直接從第一腔室移動到單獨的處理腔室,或者它可以從第一腔室移動到一或多個移送腔室,接著移動到單獨的處理腔室。因此,處理設備可包括與移送站相連的多個腔室。這種設備可被稱為「群集工具」或「群集系統」等。
通常,群集工具是包括多個腔室的模組化系統,該等腔室實行各種功能,包括基板中心尋找和定向、脫氣、退火、沉積、電漿處理、UV固化、和/或蝕刻。根據一或多個實施例,群集工具至少包括第一腔室和中央移送腔室。中央移送腔室可容納機器人,該機器人可以在處理腔室和裝載閘腔室之間並在處理腔室和裝載閘腔室上輸送基板。移送腔室通常保持在真空狀態下並且提供中間階段以用於將基板從一個腔室輸送到另一個腔室和/或至位於群集工具的前端的裝載閘腔室。可適用於本揭示的兩個為人熟知的集群工具是Centura®和Endura®,兩者都可從加州聖克拉拉的應用材料公司獲得。然而,出於實行本文所述處理的特定步驟的目的,可以改變腔室的確切佈置和組合。可以使用的其他處理腔室包括,但不限於,循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、熱處理,例如RTP、電漿氮化、脫氣、定向、羥基化、和其他基板處理。透過在群集工具上的腔室中實行處理,在沉積後續膜之前可以沒有氧化而避免基板的表面被大氣雜質污染。
根據一或多個實施例,當基板從一個腔室移動到下一個腔室時,基板連續地處於真空或「裝載閘」的狀態,並且不暴露於環境空氣中。因此,移送腔室處於真空狀態並在真空壓力下「抽空(pumped down)」。惰性氣體可能存在於處理腔室或移送腔室中。在一些實施例中,使用惰性氣體作為淨化氣體以除去一些或全部的反應物。根據一或多個實施例,淨化氣體在沉積腔室的出口處注入以防止反應物從沉積腔室移動到移送腔室和/或另外的處理腔室。因此,惰性氣體的流動在腔室的出口處形成簾幕。
基板可在單個基板沉積腔室中處理,其中在處理另一個基板之前裝載、處理和卸載單個基板。基板也可以以連續方式處理,類似於傳送系統,其中多個基板被單獨裝載到腔室的第一部分,移動穿過腔室並從腔室的第二部分卸載。腔室的形狀和相關的傳送系統可以形成直線路徑或彎曲路徑。另外,處理腔室可以是轉盤,其中多個基板圍繞中心軸線移動並且在整個轉盤路徑中暴露於沉積、蝕刻、退火、清潔等處理。
在處理期間,基板可被加熱或冷卻。這種加熱或冷卻可以透過任何合適的方式來實現,包括但不限於改變基板支撐件540的溫度以及使加熱或冷卻的氣體流到基板表面。在一些實施例中,基板支撐件540包括可被控制以傳導地改變基板溫度的加熱器/冷卻器。在一或多個實施例中,所使用的氣體(反應性氣體或惰性氣體)被加熱或冷卻以局部地改變基板溫度。在一些實施例中,加熱器/冷卻器位於腔室內靠近基板表面以對流地改變基板溫度。
在處理期間,基板也可以是靜止的或旋轉的。旋轉的基板可以連續或分步旋轉(圍繞基板軸線)。例如,基板可以在整個處理中旋轉,或者基板可以在暴露於不同反應性氣體或淨化氣體之間少量地旋轉。在處理期間旋轉基板(連續地或以分散的步驟)可以透過最小化例如,氣流幾何(gas flow geometries)的局部變化,的影響,而來幫助產生更均勻的沉積或蝕刻。
在整個說明書中參照至「一個實施例」、「某些實施例」、「一或多個實施例」、或「一實施例」意味著與實施例相連描述的特定特徵、結構、材料、或特性是包括於本揭示的至少一個實施例中。因此,在貫穿本說明書中各處,例如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」、或「在一實施例中」的用語的出現不一定參照至本揭示的相同的實施例。此外,特定特徵、結構、材料或特性可以以任何合適的方式組合於一或多個實施例中。
儘管已參照特定實施例來描述本文的揭示內容,但應理解,這些實施例僅僅是本揭示的原理和應用的說明。對於所屬技術領域具有通常知識者將是顯而易見的是,在不脫離本揭示的精神和範疇的情況下,可以對本揭示的方法和設備進行各種修改和變化。因此,本揭示包括了在隨附申請專利範圍及其均等的範圍內的修改和變化,這是本文之意圖。
100:基板 110:特徵 112:底表面 114:第一側壁 116:第二側壁 120:基板表面 150:FCVD膜 300:方法 310:操作 320:操作 330:操作 340:操作 350:操作 360:操作 370:操作 400:方法 410:操作 420:操作 430:操作 440:操作 450:操作 460:操作 470:操作 500:基板處理腔室 520:基板表面 540:基板支撐件 560:上表面 D:深度 S:空間 W:寬度
因此,可以詳細了解本揭示的上述特徵的方法,本揭示的更具體的描述,簡要概述於上,可參照實施例,其中一些實施例描繪在隨附圖式中 。然而,應當注意,隨附圖式僅示出本揭示的典型實施例,且因此不應將其視為限制其範圍,因為本揭示可承認其他等效的實施例。
圖1示出根據本揭示的一或多個實施例的基板的截面圖;
圖2示出根據本揭示的一或多個實施例的基板的截面圖;
圖3示出了根據本揭示的一或多個實施例的基板處理方法的流程圖;
圖4示出了根據本揭示的一或多個實施例的處理方法的流程圖;和
圖5示出了根據本揭示的一或多個實施例的基板處理腔室的截面圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:基板
110:特徵
112:底表面
114:第一側壁
116:第二側壁
120:基板表面
W:寬度

Claims (20)

  1. 一種基板處理方法,包括以下步驟: 透過將一基板表面暴露於一含矽前驅物和一反應物,來在該基板表面上形成一成核層和一可流動化學氣相沉積(FCVD)膜; 在形成該成核層期間,控制以下至少一項以最小化微小缺陷的形成:一含矽前驅物/反應物壓力比、一前驅物/反應物流量比、和基板溫度;和 固化該FCVD膜。
  2. 如請求項1所述之方法,其中該FCVD膜包括氧化矽。
  3. 如請求項1所述之方法,其中該含矽前驅物包括三矽烷胺(TSA)。
  4. 如請求項1所述之方法,其中該反應物包括氨(NH 3)。
  5. 如請求項1所述之方法,其中該含矽前驅物/反應物壓力比在約0.35 Torr至約0.8 Torr的範圍內。
  6. 如請求項1所述之方法,進一步包括從該基板下方將該基板加熱至約20°C至約150°C的範圍內的一溫度。
  7. 如請求項6所述之方法,其中該基板在加熱期間位於一底座上。
  8. 如請求項1所述之方法,進一步包括以下步驟:從該基板上方將該基板加熱至約20°C至約150°C的範圍內的一溫度。
  9. 如請求項8所述之方法,其中從該基板上方加熱該基板包括加熱一基板處理腔室的一上表面。
  10. 如請求項1所述之方法,其中有TSA/NH 3的一流量比在從15/1至50/1的範圍內。
  11. 如請求項1所述之方法,其中將該基板表面暴露於一含矽前驅物和一反應物包括使選自氬(Ar)、氦(He)、氧氣(O 2)及其組合的組的一或多種載氣流動。
  12. 如請求項11所述之方法,其中流動氦(He)包括流動至少約2400 sccm的氦(He)。
  13. 如請求項11所述之方法,其中流動氧氣(O 2)包括流動至少約5 sccm的氧氣(O 2)。
  14. 如請求項9所述之方法,進一步包括以下步驟:保持該上表面和該基板表面之間的一空間,包括保持一空間在從約2.5英吋(6.35 cm)到約4.5英吋(11.43 cm)的範圍內。
  15. 如請求項14所述之方法,進一步包括以下步驟:保持一空間在從約3.10英吋(7.87 cm)到約3.95英吋(10.03 cm)的範圍內。
  16. 一種處理方法,包括以下步驟: 透過將一基板表面暴露於一含矽前驅物和一反應物,來在該基板表面上的一間隙中形成一成核層和一可流動化學氣相沉積(FCVD)膜; 在形成該成核層期間,控制以下至少一項以最小化微小缺陷的形成:一前驅物/反應物壓力比、一前驅物/反應物流量比、和一基板溫度;和 固化該FCVD膜。
  17. 如請求項16所述之方法,其中該FCVD膜包括氧化矽。
  18. 如請求項16所述之方法,其中該含矽前驅物包括三矽烷胺(TSA)且該反應物包括氨(NH 3)。
  19. 如請求項16所述之方法,進一步包括以下步驟:保持一上表面和該基板表面之間的一空間,包括保持一空間在從約2.5英吋(6.35 cm)到約4.5英吋(11.43 cm)的範圍內。
  20. 如請求項19所述之方法,進一步包括以下步驟:保持一空間在從約3.10英吋(7.87 cm)到約3.95英吋(10.03 cm)的範圍內。
TW111117973A 2021-05-20 2022-05-13 可流動cvd膜缺陷減少 TW202302906A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/325,764 US20220375747A1 (en) 2021-05-20 2021-05-20 Flowable CVD Film Defect Reduction
US17/325,764 2021-05-20

Publications (1)

Publication Number Publication Date
TW202302906A true TW202302906A (zh) 2023-01-16

Family

ID=84103125

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111117973A TW202302906A (zh) 2021-05-20 2022-05-13 可流動cvd膜缺陷減少

Country Status (5)

Country Link
US (1) US20220375747A1 (zh)
KR (1) KR20240008945A (zh)
CN (1) CN117321241A (zh)
TW (1) TW202302906A (zh)
WO (1) WO2022245641A1 (zh)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9847222B2 (en) * 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US9728402B2 (en) * 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
CN109166787B (zh) * 2018-08-26 2019-06-28 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的可流动化学气相沉积方法

Also Published As

Publication number Publication date
US20220375747A1 (en) 2022-11-24
KR20240008945A (ko) 2024-01-19
WO2022245641A1 (en) 2022-11-24
CN117321241A (zh) 2023-12-29

Similar Documents

Publication Publication Date Title
US11515149B2 (en) Deposition of flowable silicon-containing films
KR102271768B1 (ko) 반응성 어닐링을 사용하는 갭충전
CN109417048A (zh) 用于间隙填充应用的可流动非晶硅膜
TWI744522B (zh) 高深寬比結構中的間隙填充的方法
JP7118511B2 (ja) シリコン間隙充填のための二段階プロセス
TW201441408A (zh) 包含氮化矽之膜的電漿輔助原子層沉積
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
TW201812999A (zh) 使用沉積/蝕刻技術之無接縫溝道填充
US20220238331A1 (en) Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma
TWI792005B (zh) 可流動cvd薄膜之表面粗糙度
TW202302906A (zh) 可流動cvd膜缺陷減少
TW202108813A (zh) 可流動pecvd的低沉積速率
US11515145B2 (en) Deposition of silicon boron nitride films
US20230340661A1 (en) Gapfill Process Using Pulsed High-Frequency Radio-Frequency (HFRF) Plasma
TW202335080A (zh) 形成金屬氮化物膜之方法
TW201828367A (zh) 硼膜及其成膜方法與硬式遮罩及其製造方法