CN117321241A - 可流动cvd膜缺陷减少 - Google Patents

可流动cvd膜缺陷减少 Download PDF

Info

Publication number
CN117321241A
CN117321241A CN202280035927.1A CN202280035927A CN117321241A CN 117321241 A CN117321241 A CN 117321241A CN 202280035927 A CN202280035927 A CN 202280035927A CN 117321241 A CN117321241 A CN 117321241A
Authority
CN
China
Prior art keywords
substrate
range
fcvd
film
inches
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280035927.1A
Other languages
English (en)
Inventor
李文慧
P·P·杰哈
M·B·潘迪特
M-P·蔡
梁璟梅
M·W·蒋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN117321241A publication Critical patent/CN117321241A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文公开的处理方法包括通过将基板表面暴露于含硅前驱物和反应物来在基板表面上形成成核层和可流动化学气相沉积(FCVD)膜。通过控制前驱物/反应物压力比、前驱物/反应物流量比、以及基板温度中的至少一者,微小缺陷的形成被最小化。控制工艺参数中的至少一个工艺参数可减少微小缺陷的数量。FCVD膜可以通过任何合适的固化工艺来固化以形成光滑的FCVD膜。

Description

可流动CVD膜缺陷减少
技术领域
本公开总体涉及膜的处理方法。具体而言,本公开涉及可流动化学气相沉积(FCVD)薄膜的处理方法。
背景技术
在微电子器件制造中,可流动化学气相沉积(FCVD)用于为平坦表面和具有沟槽的表面两者提供光滑的基板表面。特别地,对于许多应用,需要填充具有大于10:1的深宽比(AR)且没有空洞的窄沟槽。一种应用是浅沟槽隔离(STI)。对于STI应用,膜需要在整个沟槽中具有高质量(例如,具有小于2的湿蚀刻率比),以及非常低的泄漏。随着结构的尺寸减小与结构的深宽比增加,沉积态的(as deposited)FCVD膜的后固化方法变得困难,导致整个经填充沟槽具有不同组成的膜。
介电膜的传统等离子体增强化学气相沉积(PECVD)在窄沟槽顶部形成蘑菇状膜。这是由于等离子体无法渗透到深沟槽中。结果导致从顶部夹断(pinching-off)狭窄的沟槽,从而在沟槽底部形成空隙。
可流动化学气相沉积(FCVD)已广泛用于先进的几代半导体器件。随着特征尺寸的减小,与之前的节点相比,FCVD膜所需的间隙填充量可以很大程度地减少(例如,)。沉积具有光滑和均匀表面以及高间隙填充性能的薄FCVD膜是具有挑战性。在FCVD膜的初始成核期间,在FCVD膜的成核层上会自行产生微小缺陷。在FCVD膜上退火衬垫的沉积后,这些可能在10nm量级的微小缺陷在尺寸上扩大了4-6倍。FCVD膜的不均匀初始成核导致表面粗糙。因此,需要减少FCVD膜中的微小缺陷的方法。
发明内容
本公开的一个或多个实施例涉及基板处理方法,所述方法包括以下步骤:通过将基板表面暴露于含硅前驱物和反应物来在基板表面上形成成核层和可流动化学气相沉积(FCVD)膜;控制以下各项中的至少一项以最小化微小缺陷的形成:前驱物/反应物压力比、前驱物/反应物流量比、以及基板温度;以及固化FCVD膜。
本公开的另外的实施例涉及处理方法,所述方法包括以下步骤:通过将基板表面暴露于含硅前驱物和反应物来在基板表面上的间隙中形成成核层和可流动化学气相沉积(FCVD)膜;控制以下各项中的至少一项以最小化微小缺陷的形成:前驱物/反应物压力比、前驱物/反应物流量比、以及基板温度;以及固化FCVD膜。
附图说明
因此,可以详细了解本公开的上述特征的方法,可参照实施例来获得以上简要概述的本公开的更具体的描述,所述实施例中的一些实施例在附图中说明。然而,应当注意,附图仅示出本公开的典型实施例,并且因此不应被视为限制本公开的范围,因为本公开可承认其他等效的实施例。
图1示出根据本公开的一个或多个实施例的基板的截面图;
图2示出根据本公开的一个或多个实施例的基板的截面图;
图3示出了根据本公开的一个或多个实施例的基板处理方法的流程图;
图4示出了根据本公开的一个或多个实施例的处理方法的流程图;以及
图5示出了根据本公开的一个或多个实施例的基板处理腔室的截面图。
具体实施方式
在描述本公开的一些示例性实施例之前,应理解,本公开不限于以下描述中阐述的构造或处理步骤的细节。本公开能够具有其他实施例并且能够以各种方式被实施或实行。
本文所使用的术语“水平的”被定义为与掩模基材的平面或表面平行的平面,而与其取向无关。术语“垂直的”是指垂直于如刚定义的水平的方向。如图所示,诸如“上方”、“下方”、“底部”、“顶部”、“侧面”(如在“侧壁”中)、“较高”、“较低”、“上”、“之上”和“之下”之类的术语是相对于水平面定义的。
术语“在……上”表示元件之间存在直接接触。术语“直接在……上”表示元件之间存在直接接触,其中没有中间元件。本领域技术人员将理解,使用诸如“第一”和“第二”之类的序数来描述处理区域并不暗指处理腔室内的特定位置或处理腔室内的暴露顺序。
如在本说明书和所附权利要求中所使用的,术语“基板”是指工艺作用于其上的表面或表面的一部分。本领域技术人员还将理解,除非上下文另有明确说明,否则对基板的引用可仅指基板的一部分。此外,对在基板上沉积的引用可以意味着裸基板和具有沉积或形成在其上的一个或多个膜或特征的基板。例如,可在其上执行处理的基板表面包括材料,诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石、以及任何其它材料,诸如金属、金属氮化物、金属合金、以及其他导电材料,这取决于应用。基板包括但不限于半导体晶片。基板可以暴露于预处理工艺,以抛光、蚀刻、还原、氧化、羟基化(hydroxylate)、退火、UV固化、电子束固化和/或烘烤基板表面。
本公开的实施例提供了改进FCVD膜的表面粗糙度的方法。一些实施例有利地提供了涉及可以在群集工具环境中执行的循环沉积-处理工艺的方法。一些实施例有利地提供可用于填充具有小尺寸的高深宽比(AR)沟槽/特征的无缝高质量、低粗糙度膜。
在一个或多个实施例中,在可流动化学气相沉积(FCVD)之前在基板表面上的表面处理有利地提高了初始成核的均匀性并改善了FCVD膜的整体平滑度。在一个或多个实施例中,发现以惰性或反应性气体进行等离子体处理是有效的。在一个或多个实施例中,等离子体预处理由远程等离子体源(RPS)或电容耦合等离子体(CCP)或电感耦合等离子体(ICP)与环境产生,所述环境如氩(Ar)、氦(He)、氨(NH3)、氮气(N2)、氢气(H2)、或它们的混合物。在一个或多个实施例中,可以通过改变引入反应物的顺序、改变反应物的流量比以及通过改变沉积期间反应物在腔室中的驻留时间来进一步改善初始成核平滑度。
在一个或多个实施例中,结束FCVD膜的沉积可以实现后续材料层的平滑沉积。在一个或多个实施例中,在沉积结束时快速消除自由基残留物和避免非偏好处理方案的反应降低了表面粗糙度。
本公开的一个或多个实施例涉及其中沉积能够填充高深宽比结构(例如,AR>8:1)的FCVD膜的工艺。本公开的实施例提供了在形成FCVD膜之前预处理基板表面以形成光滑表面的方法。
在一个或多个实施例中,本公开提供在间隙填充应用中沉积FCVD膜,但本公开不限于间隙填充应用。在一些实施例中,FCVD膜的沉积发生在平坦基板或平坦基板表面上,例如在台面的上表面上。FCVD膜可以沉积在晶片上(在一些实施例中,晶片的温度处于从-10℃至200℃的温度)并且由于流动性,FCVD膜的聚合物将流动并填充凹坑、刮痕和间隙。然而,本领域技术人员将理解,所描述的前驱物和方法不限于间隙填充应用并且可用于任何FCVD膜形成。图1示出了具有特征110的基板100的部分截面图。为了说明的目的,附图示出了具有单个特征的基板;然而,本领域技术人员将理解可以存在不止一个特征。特征110的形状可以是任何合适的形状,包括但不限于沟槽和圆柱形通孔。如在这方面所使用的,术语“特征”意味着任何有意的表面不规则性。特征的合适示例包括但不限于具有顶部、两个侧壁、以及底部的沟槽,具有顶部和两个侧壁的峰。特征可以具有任何合适的深宽比(特征深度与特征宽度的比率)。在一些实施例中,深宽比大于或等于约5:1、10:1、15:1、20:1、25:1、30:1、35:1、或40:1。
在一个或多个实施例中,基板100包括基板表面120和至少一个特征110。至少一个特征110在基板表面120中形成开口。至少一个特征110从基板表面120延伸至深度D至底表面112。至少一个特征110具有限定至少一个特征110的宽度W的第一侧壁114和第二侧壁116。由侧壁和底部形成的开口区域也称为间隙。
在一个或多个实施例中,微小缺陷可能存在于由侧壁和底部形成的开口区域中。在一个或多个实施例中,微小缺陷在初始成核期间在FCVD膜成核层上自发产生。在一个或多个实施例中,不均匀成核包括微小缺陷。根据一个或多个实施例,“微小缺陷”是指直径为约10nm(例如,在从约5nm到约15nm的范围内)的缺陷。不均匀的成核可能导致表面粗糙度的增加。在一个或多个实施例中,在使用如本文所述的基板处理方法或处理方法之前,许多微小缺陷可包括在不使用本文所述的方法中的任一者来控制工艺参数和减少缺陷的数量的情况下发生的高达500个微小缺陷的基线值。与在未如本文所述地控制工艺参数中的至少一个工艺参数时获得的基线值相比,控制工艺参数中的至少一个工艺参数降低了微小缺陷的基线值,以提供减少数量的微小缺陷。在一个或多个实施例中,减少的微小缺陷的数量在从约0个缺陷到约45个缺陷的范围内。在一个或多个实施例中,减少的微小缺陷的数量在从约0个缺陷到约10个缺陷的范围内。
在一个或多个实施例中,使用扫描电子显微镜(SEM)来计数FCVD膜中微小缺陷的数量并使用来自FCVD膜的SEM图像的图像像素数来测量微小缺陷的尺寸。在一个或多个实施例中,使用原子力显微镜(AFM)来测量FCVD膜的粗糙度。
已发现控制工艺参数中的至少一个工艺参数改善了均匀的初始成核。在一个或多个实施例中,均匀的初始成核减少了微小缺陷的数量。本公开的一个或多个实施例涉及处理方法,其中提供在其上具有至少一个特征的基板表面。如在这方面所使用的,术语“提供”意味着将基板放置到用于进一步处理的位置或环境中。
如图2所示,FCVD膜150形成在基板表面120以及至少一个特征110的第一侧壁114、第二侧壁116和底表面112上。FCVD膜150填充至少一个特征110,使得基本上没有形成缝。缝是在特征110的侧壁之间(但不一定在中间)的特征中形成的间隙。如在这方面所使用的,术语“基本上没有缝”意味着在侧壁之间的膜中形成的任何间隙小于侧壁横截面面积的约1%。
FCVD膜150可以通过任何合适的工艺形成。在一些实施例中,FCVD膜通过化学气相沉积(CVD)形成。在一些实施例中,FCVD膜通过等离子体增强化学气相沉积(PECVD)形成。换言之,FCVD膜可通过等离子体增强化学气相沉积(PECVD)工艺来沉积。
本公开的实施例有利地提供预处理基板表面以形成可用于沉积可流动CVD膜的平滑预处理基板表面的方法。在一个或多个实施例中,基板表面被用等离子体预处理,以形成具有促进平滑度的化学键的平滑预处理基板表面。接着通过将预处理的基板表面暴露于前驱物和反应物,在预处理的基板表面上形成可流动CVD膜。接着固化可流动CVD膜。
在一个或多个实施例中,用于预处理基板表面的等离子体包括氩(Ar)、氦(He)、氢(H2)、氮(N2)或氨(NH3)中的一种或多种。
在一个或多个实施例中,基板表面的预处理在约5毫托至约100毫托范围内的压力下发生。可在约25℃(或环境温度)至约400℃范围内的温度下对基板表面进行预处理。
在一个或多个实施例中,形成在预处理基板表面上的可流动CVD膜的厚度在约5nm至约50nm的范围内,包括约10nm、约15nm、约20nm、约25nm、约30nm、约35nm、约40nm、或约45nm。在一个或多个实施例中,可流动CVD膜是超薄的并且具有小于或等于约50nm的厚度。
再次参照图2,FCVD膜150可以在任何合适的温度下形成。在一些实施例中,FCVD膜150在约10℃至约150℃范围内的温度下形成。可以将温度保持较低以维持正在形成的器件的热预算。在一些实施例中,FCVD膜的形成在低于约300℃、250℃、200℃、150℃、100℃、75℃、50℃、25℃或0℃的温度下发生。
可通过改变反应气体的组成来调整可流动CVD膜的组成。在一些实施例中,可流动CVD膜包括碳化硅(SiC)、碳氧化硅(SiOC)、碳氮化硅(SiCN)、氧碳氮化硅(SiOCN)、氧化硅(SiO)和氮化硅(SiN)中的一种或多种。为了形成含氧膜,共反应物可包括,例如,氧、臭氧、或水中的一种或多种。为了形成含氮膜,共反应物可包括,例如,氨、联氨、NO2、或N2中的一种或多种。为了形成含碳膜,反应气体可包括,例如,丙烯和乙炔中的一种或多种。本领域技术人员将理解,反应气体混合物中可包括其他物质的组合以改变可流动CVD膜的组成。
在一些实施例中,FCVD膜可掺杂有另一元素。例如,在一个或多个实施例中,FCVD膜可掺杂有硼(B)、砷(As)或磷(P)中的一种或多种。FCVD膜可掺杂有诸如硼(B)和磷(P)之类的元素以改善膜性能。含硼和磷的前驱物可在沉积工艺期间与三硅烷胺(TSA)和氨(NH3)前驱物共流,或者可以在沉积完成后渗入。含硼前驱物可以是氨基硼烷(aminoboranes)/硼烷化合物,而含磷前驱物可以是磷酸盐/亚磷酸盐化合物。在一些实施例中,掺杂FCVD膜包括使掺杂剂前驱物与三硅烷胺(TSA)和氨(NH3)前驱物共流。在一些实施例中,掺杂FCVD膜包括在单独的工艺中注入掺杂剂元素。
现在参照图3,示出了基板处理方法的示例性实施例。方法300包括通过在操作310处将基板表面暴露于含硅前驱物和反应物,来在操作320处在基板表面上形成成核层和可流动化学气相沉积(FCVD)膜。方法300进一步包括在操作330处控制至少一个工艺参数。如本文所用,“工艺参数”包括前驱物/反应物压力比、前驱物/反应物流量比、以及基板温度中的至少一者。方法300包括在操作340处固化FCVD膜。
在一个或多个实施例中,前驱物包括三硅烷胺(TSA)。在一个或多个实施例中,反应物包括氨(NH3)。在一些实施例中,三硅烷胺(TSA)前驱物可与另一前驱物一起(例如,与另一含硅前驱物共流)在可流动工艺中用于沉积各种组成的膜。例如,含硅和烃基的前驱物可与三硅烷胺(TSA)/NH3工艺一起使用,以将碳掺入到FCVD膜中。在一个或多个实施例中,从TSA/NH3工艺获得的FCVD膜是SiO或SiN膜。通过添加含碳和硅的前驱物,可以沉积SiOC、SiCON、或SiCN膜。
在一个或多个实施例中,三硅烷胺(TSA)前驱物可被气化输送至CVD腔室,并且合适的共反应物(例如,氨(NH3)、氧气(O2)、二氧化碳(CO2)、一氧化碳(CO)、氩气(Ar)、氦气(He)、氢气(H2)或其任何组合)可以通过例如远程等离子体源(RPS)输送到腔室,所述远程等离子体源(RPS)将产生等离子体活性物质作为共反应物。等离子体活化的共反应物分子(自由基)具有高能量,并且可以与气相中的三硅烷胺(TSA)前驱物分子反应以形成对应的可流动聚合物。在一些实施例中,等离子体由包括NH3、O2、CO2、CO、Ar、He、或H2中的一种或多种的等离子体气体产生。
在一个或多个实施例中,等离子体可以在处理腔室内被产生或点燃(例如,直接等离子体),或者可以在处理腔室外产生并流入处理腔室(例如,远程等离子体)。
在一个或多个实施例中,将基板表面暴露于含硅前驱物和反应物包括使选自由氩(Ar)、氦(He)、氧气(O2)及其组合组成的群组的载气中的一种或多种载气流动。
在一些实施例中,处理方法进一步包括从基板环境中消除氧气(O2)并关闭氨(NH3)的流动,同时继续使三硅烷胺(TSA)流过具有FCVD沉积的经处理的基板。不旨在受理论束缚,认为FCVD膜的表面粗糙度通过这种终止工艺而得到改善。
在其他实施例中,暴露基板表面是在基本上不存在氧气(O2)的情况下进行的。换言之,在一个或多个实施例中,当TSA和NH3流过基板表面时,基板表面基本上没有氧气(O2)。如本文所用,术语“基本上不存在”或术语“基本上没有”意味着在基板表面周围的大气中存在的氧小于5%,包括小于4%、小于3%、小于2%、小于1%、以及小于0.5%。
在一个或多个实施例中,暴露基板表面是在氧气(O2)存在下进行的。在一个或多个实施例中,存在氧气(O2)以形成氧化硅(SiOx)膜。在一个或多个实施例中,存在氨(NH3)以形成氮化硅(SiNx)膜。
在一个或多个实施例中,流动氩(Ar)包括流动至少约1500sccm的氩(Ar)。在一个或多个实施例中,流动氩(Ar)包括流动不超过约4000sccm的氩(Ar)。
在一个或多个实施例中,流动氦(He)包括流动至少约2400sccm的氦(He)。在一个或多个实施例中,流动氦(He)包括流动不超过约5000sccm的氦(He)。
在一个或多个实施例中,流动氧气(O2)包括流动至少约5sccm的氧气(O2)。在一个或多个实施例中,流动氧气(O2)包括流动不超过约500sccm的氧气(O2)。在一个或多个实施例中,流动氧气(O2)包括流动约200sccm的氧气(O2)。
在一个或多个实施例中,前驱物/反应物压力在从约0.35托至约0.8托的范围内。在一个或多个实施例中,前驱物/反应物压力在从约0.4托至约0.65托的范围内。在一个或多个实施例中,前驱物/反应物压力在从约0.45托至约0.5托的范围内。
在一个或多个实施例中,前驱物/反应物流量比是TSA/NH3的流量比。在一个或多个实施例中,TSA/NH3的流量比在从15/1至50/1的范围内。在一个或多个实施例中,TSA/NH3的前驱物/反应物流量比在从16/1至49/1的范围内、在从17/1至48/1的范围内、在从18/1至47/1的范围内、在从19/1至46/1的范围内、在从20/1至45/1的范围内、在从21/1至44/1的范围内、在从22/1至43/1的范围内、在从23/1至42/1的范围内、在从24/1至41/1的范围内、在从25/1至40/1的范围内、在从26/1至39/1的范围内、在从27/1至38/1的范围内、在从28/1到37/1的范围内、在从29/1到36/1的范围内、在从30/1至35/1的范围内、在从31/1至34/1的范围内、或在从32/1至33/1的范围内。
在一个或多个实施例中,固化FCVD膜使膜固化并形成基本上无缝的间隙填充。
在一些实施例中,固化FCVD膜包括热退火。热退火可以在任何合适的温度和任何合适的环境下发生。在一些实施例中,FCVD膜通过在乙炔/乙烯环境中的热退火来固化。
在一些实施例中,固化FCVD膜包括暴露于等离子体或电子束。用于固化膜的等离子体暴露包括与PECVD等离子体分离的等离子体。等离子体物质和处理腔室可以是相同的,并且等离子体固化是与PECVD工艺不同的步骤。
在一些实施例中,固化FCVD膜包括将FCVD膜暴露于蒸汽退火和/或氧等离子体。蒸汽退火和/或氧等离子体的使用可以降低FCVD膜的碳含量,使得固化膜具有比沉积态的FCVD膜更低的碳含量。蒸汽退火和/或氧等离子体的使用可以将沉积的可流动SiC、SiCN、或SiOC膜转化为SiO。
在一些实施例中,固化FCVD膜包括将膜暴露于UV固化工艺。UV固化工艺可在约10℃至约550℃范围内的温度下发生。UV固化工艺可以在充分固化FCVD膜所需的任何合适的时间帧内发生。UV固化可以以不同的参数执行,所述参数例如功率、温度、环境。在一些实施例中,UV固化在乙炔/乙烯环境中发生。
在一个或多个实施例中,方法300可选地包括在操作350处从基板下方加热基板,包括加热基板支撑件540。在一个或多个实施例中,方法300可选地包括在操作360处从基板上方加热基板。在一个或多个实施例中,方法300可选地包括在操作370处保持上表面和基板表面之间的空间。
在一个或多个实施例中,如本文所述的基板处理方法进一步包括从下方加热基板,例如通过加热基板支撑件540,基板在基板处理腔室中被放置在基板支撑件540上。在一个或多个实施例中,基板支撑件540包括底座。在一个或多个实施例中,从下方加热基板包括从基板下方将基板加热至从约20℃至约150℃范围内的温度。在一个或多个实施例中,加热基板包括从基板下方将基板加热至从约30℃至约120℃范围内的温度。在一个或多个实施例中,从基板下方加热基板包括从基板下方将基板加热至从约45℃至约100℃范围内的温度。在一个或多个实施例中,从基板下方加热基板减少了微小缺陷的数量。从基板下方加热基板可以使用经加热的基板支撑件540(例如,底座)或可以使用辅助加热器。在示例性实施例中,可以使用电阻加热器或辐射(例如,灯)加热器。
在一个或多个实施例中,如本文所述的基板处理方法进一步包括从基板上方加热基板,例如通过加热在其中执行处理的基板处理腔室的上表面560。在一个或多个实施例中,上表面560包括圆顶、盖、或喷头中的一个或多个。在一个或多个实施例中,从基板上方加热基板包括从基板上方将基板加热至从约20℃至约150℃范围内的温度。在一个或多个实施例中,从基板上方加热基板包括从基板上方将基板加热至从约30℃至约120℃范围内的温度。在一个或多个实施例中,从基板上方加热基板包括从基板上方将基板加热至从约45℃至约100℃范围内的温度。在一个或多个实施例中,从基板上方加热基板减少了微小缺陷的数量。从基板上方加热基板可以使用经加热的基板支撑件540或可以使用辅助加热器。在示例性实施例中,可以使用电阻加热器或辐射(例如,灯)加热器。
在一个或多个实施例中,如本文所述的基板处理方法进一步包括在上表面560和基板表面520之间保持空间S。在一个或多个实施例中,保持上表面560和基板表面520之间的空间S包括保持在从约2.5英寸(6.35cm)到约4.5英寸(11.43cm)的范围内、在从约2.6英寸(6.60cm)到约4.4英寸(11.18cm)的范围内、在从约2.7英寸(6.86cm)到约4.3英寸(10.92cm)的范围内、在从约2.8英寸(7.11cm)到约4.2英寸(10.67cm)的范围内、在从约2.9英寸(7.37cm)到约4.1英寸(10.41cm)的范围内、在从约3.0英寸(7.62cm)到约4.0英寸(10.16cm)的范围内、在从约3.1英寸(7.87cm)到约3.9英寸(9.91cm)的范围内、在从约3.2英寸(8.13cm)到约3.8英寸(9.65cm)的范围内、在从约3.3英寸(8.38cm)到约3.7英寸(9.40cm)的范围内、或在从约3.4英寸(8.64cm)到约3.6英寸(9.14cm)的范围内的空间。在一个或多个实施例中,保持上表面560和基板表面520之间的空间S减少了微小缺陷的数量。
在一个或多个实施例中,保持上表面560和基板表面520之间的空间S包括保持在从约3.10英寸(7.87cm)到约3.95英寸(10.03cm)的范围内的空间。在一个或多个实施例中,保持上表面560和基板表面520之间的空间S包括保持在从约3.15英寸(8.00cm)到约3.93英寸(9.98cm)的范围内的空间。在一个或多个实施例中,保持上表面560和基板表面520之间的空间S包括保持在从约3.19英寸(8.10cm)到约3.92英寸(9.96cm)的范围内的空间。在一个或多个实施例中,保持上表面560和基板表面520之间的空间S减少了微小缺陷的数量。
在一个或多个实施例中,微小缺陷在初始成核期间在成核层和FCVD膜上自发产生。在一个或多个实施例中,不均匀成核包括微小缺陷。在一个或多个实施例中,不均匀成核可能导致表面粗糙度的增加。在一个或多个实施例中,在使用如本文所述的基板处理方法或处理方法之前,许多微小缺陷可包括当不利用本文所述的工艺参数时获得的高达500个微小缺陷的基线值。在一个或多个实施例中,与在没有如本文所述地控制工艺参数中的至少一个工艺参数的情况下获得的基线值相比,控制工艺参数中的至少一个工艺参数减少了微小缺陷的数量。在一个或多个实施例中,微小缺陷的数量在从约0个缺陷到约45个缺陷的范围内。在一个或多个实施例中,微小缺陷的数量在从约0个缺陷到约10个缺陷的范围内。
如本文所用,微小缺陷是直径在从约5nm至约15nm范围内的缺陷。在一个或多个实施例中,使用扫描电子显微镜(SEM)来计数FCVD膜中微小缺陷的数量并使用来自FCVD膜的SEM图像的图像像素数来测量微小缺陷的尺寸。在一个或多个实施例中,使用原子力显微镜(AFM)来测量FCVD膜的粗糙度。
在一个或多个实施例中,控制工艺参数中的至少一个工艺参数改进了均匀的初始成核。在一个或多个实施例中,均匀的初始成核减少了微小缺陷的数量。
现在参照图4,示出了处理方法的示例性实施例。方法400包括通过在操作410处将基板表面暴露于含硅前驱物和反应物,来在操作420处在包括基板支撑件540和上表面560的CVD处理腔室中的基板表面上的间隙中形成成核层和可流动化学气相沉积(FCVD)膜。方法400包括在操作430处控制至少一个工艺参数。如本文所用,“工艺参数”包括前驱物/反应物压力比、前驱物/反应物流量比、以及基板温度中的至少一者。方法400包括在操作400处固化FCVD膜。
在一个或多个实施例中,前驱物包括三硅烷胺(TSA)。在一个或多个实施例中,反应物包括氨(NH3)。在一些实施例中,三硅烷胺(TSA)前驱物可与另一前驱物一起(例如,与另一含硅前驱物共流)在可流动工艺中用于沉积各种组成的膜。作为示例,含硅和烃基的前驱物可与三硅烷胺(TSA)/NH3工艺一起使用,以将碳结合到FCVD膜中。在一个或多个实施例中,从TSA/NH3工艺获得的FCVD膜是SiO或SiN膜。通过添加含碳和硅的前驱物,可以沉积SiOC、SiCON、或SiCN膜。
在一个或多个实施例中,三硅烷胺(TSA)前驱物可被气化至CVD腔室,并且合适的共反应物(例如,氨(NH3)、氧气(O2)、二氧化碳(CO2)、一氧化碳(CO)、氩气(Ar)、氦气(He)、氢气(H2)或其任何组合)可以通过例如远程等离子体源(RPS)输送到腔室,所述远程等离子体源(RPS)将产生等离子体活性物质作为共反应物。等离子体活化的共反应物分子(自由基)具有高能量,并且可以与气相中的三硅烷胺(TSA)前驱物分子反应以形成对应的可流动聚合物。在一些实施例中,等离子体由包括NH3、O2、CO2、CO、Ar、He、或H2中的一种或多种的等离子体气体产生。
在一个或多个实施例中,等离子体可以在处理腔室内被产生或点燃(例如,直接等离子体),或者可以在处理腔室外产生并流入处理腔室(例如,远程等离子体)。
在一个或多个实施例中,将基板表面暴露于含硅前驱物和反应物包括使选自由氩(Ar)、氦(He)、氧气(O2)及其组合组成的群组的载气中的一种或多种载气流动。
在一些实施例中,处理方法进一步包括从基板环境中消除氧气(O2)并关闭氨(NH3)的流动,同时继续使三硅烷胺(TSA)流过具有FCVD沉积的经预处理的基板。不旨在受理论束缚,认为FCVD膜的表面粗糙度通过这种终止工艺而得到改善。
在其他实施例中,暴露基板表面是在基本上不存在氧气(O2)的情况下进行的。换言之,在一个或多个实施例中,当TSA和NH3流过基板表面时,基板表面基本上没有氧气(O2)。如本文所用,术语“基本上不存在”或术语“基本上没有”意味着在基板表面周围的大气中存在的氧小于5%,包括小于4%、小于3%、小于2%、小于1%、以及小于0.5%。
在一个或多个实施例中,暴露基板表面是在氧气(O2)存在下进行的。在一个或多个实施例中,存在氧气(O2)以形成氧化硅(SiOx)膜。在一个或多个实施例中,存在氨(NH3)以形成氮化硅(SiNx)膜。
在一个或多个实施例中,流动氩(Ar)包括流动至少约1500sccm的氩(Ar)。在一个或多个实施例中,流动氩(Ar)包括流动不超过约4000sccm的氩(Ar)。
在一个或多个实施例中,流动氦(He)包括流动至少约2400sccm的氦(He)。在一个或多个实施例中,流动氦(He)包括流动不超过约5000sccm的氦(He)。
在一个或多个实施例中,流动氧气(O2)包括流动至少约5sccm的氧气(O2)。在一个或多个实施例中,流动氧气(O2)包括流动不超过约500sccm的氧气(O2)。在一个或多个实施例中,流动氧气(O2)包括流动约200sccm的氧气(O2)。
在一个或多个实施例中,前驱物/反应物压力在从约0.35托至约0.8托的范围内。在一个或多个实施例中,前驱物/反应物压力在从约0.4托至约0.65托的范围内。在一个或多个实施例中,前驱物/反应物压力在从约0.45托至约0.5托的范围内。
在一个或多个实施例中,TSA/NH3的前驱物/反应物流量比在从15/1至50/1的范围内。在一个或多个实施例中,TSA/NH3的前驱物/反应物流量比在从16/1至49/1的范围内、在从17/1至48/1的范围内、在从18/1至47/1的范围内、在从19/1至46/1的范围内、在从20/1至45/1的范围内、在从21/1至44/1的范围内、在从22/1至43/1的范围内、在从23/1至42/1的范围内、在从24/1至41/1的范围内、在从25/1至40/1的范围内、在从26/1至39/1的范围内、在从27/1至38/1的范围内、在从28/1到37/1的范围内、在从29/1到36/1的范围内、在从30/1至35/1的范围内、在从31/1至34/1的范围内、或在从32/1至33/1的范围内。
在一个或多个实施例中,固化FCVD膜使膜固化并形成基本上无缝的间隙填充。
在一些实施例中,固化FCVD膜包括热退火。热退火可以在任何合适的温度和任何合适的环境下发生。在一些实施例中,FCVD膜通过在乙炔/乙烯环境中的热退火来固化。
在一些实施例中,固化FCVD膜包括暴露于等离子体或电子束。用于固化膜的等离子体暴露包括与PECVD等离子体分离的等离子体。等离子体和处理腔室可以是相同的,并且等离子体固化是与PECVD工艺不同的步骤。
在一些实施例中,固化FCVD膜包括将FCVD膜暴露于蒸汽退火和/或氧等离子体。蒸汽退火和/或氧等离子体的使用可以降低FCVD膜的碳含量,使得固化膜具有比沉积态的FCVD膜更低的碳含量。蒸汽退火和/或氧等离子体的使用可以将沉积的可流动SiC、SiCN、或SiOC膜转化为SiO。
在一些实施例中,固化FCVD膜包括将膜暴露于UV固化工艺。UV固化工艺可在约10℃至约550℃范围内的温度下发生。UV固化工艺可以在充分固化FCVD膜所需的任何合适的时间帧内发生。UV固化可以以不同的参数执行,所述参数例如功率、温度、环境。在一些实施例中,UV固化在乙炔/乙烯环境中发生。
在一个或多个实施例中,方法400可选地包括在操作450处从基板下方加热基板,例如,通过加热基板支撑件540。在一个或多个实施例中,方法400可选地包括在操作460处从基板上方加热基板,例如,通过加热上表面560。在一个或多个实施例中,方法400可选地包括在操作470处保持上表面560和基板表面520之间的空间S。
在一个或多个实施例中,如图5所示,本文所述的处理方法进一步包括加热基板支撑件540。在一个或多个实施例中,基板支撑件540包括底座。在一个或多个实施例中,从基板下方加热基板包括从基板下方将基板加热至从约20℃至约150℃范围内的温度。在一个或多个实施例中,从基板下方加热基板包括从基板下方将基板加热至从约30℃至约120℃范围内的温度。在一个或多个实施例中,从基板下方加热基板包括从基板下方将基板加热至从约45℃至约100℃范围内的温度。
在一个或多个实施例中,如图5所示,本文所述的处理方法进一步包括加热基板处理腔室500的上表面560。在一个或多个实施例中,基板处理腔室500的上表面560包括圆顶、盖、或喷头中的一个或多个。在一个或多个实施例中,从基板上方加热基板包括从基板上方将基板加热至从约20℃至约150℃范围内的温度。在一个或多个实施例中,从基板上方加热基板包括从基板上方将基板加热至从约30℃至约120℃范围内的温度。在一个或多个实施例中,从基板上方加热基板包括从基板上方将基板加热至从约45℃至约100℃范围内的温度。
在一个或多个实施例中,如本文所述的基板处理方法进一步包括在上表面560和基板表面520之间保持空间S。在一个或多个实施例中,保持上表面560和基板表面520之间的空间S包括保持在从约2.5英寸(6.35cm)到约4.5英寸(11.43cm)的范围内、在从约2.6英寸(6.60cm)到约4.4英寸(11.18cm)的范围内、在从约2.7英寸(6.86cm)到约4.3英寸(10.92cm)的范围内、在从约2.8英寸(7.11cm)到约4.2英寸(10.67cm)的范围内、在从约2.9英寸(7.37cm)到约4.1英寸(10.41cm)的范围内、在从约3.0英寸(7.62cm)到约4.0英寸(10.16cm)的范围内、在从约3.1英寸(7.87cm)到约3.9英寸(9.91cm)的范围内、在从约3.2英寸(8.13cm)到约3.8英寸(9.65cm)的范围内、在从约3.3英寸(8.38cm)到约3.7英寸(9.40cm)的范围内、或在从约3.4英寸(8.64cm)到约3.6英寸(9.14cm)的范围内的空间。
在一个或多个实施例中,保持上表面560和基板表面520之间的空间S包括保持在从约3.10英寸(7.87cm)到约3.95英寸(10.03cm)的范围内的空间。在一个或多个实施例中,保持上表面和基板之间的空间S包括保持在从约3.15英寸(8.00cm)到约3.93英寸(9.98cm)的范围内的空间。在一个或多个实施例中,保持上表面和基板之间的空间S包括保持在从约3.19英寸(8.10cm)到约3.92英寸(9.96cm)的范围内的空间。
根据一个或多个实施例,在形成层之前和/或之后对基板进行处理。这种处理可在同一腔室或一个或多个单独的处理腔室中执行。在一些实施例中,将基板从第一腔室移动到单独的第二腔室以进行进一步处理。基板可以直接从第一腔室移动到单独的处理腔室,或者基板可以从第一腔室移动到一个或多个传送腔室,接着移动到单独的处理腔室。因此,处理设备可包括与传送站通信的多个腔室。这种设备可被称为“群集工具”或“群集系统”等。
通常,群集工具是包括多个腔室的模块化系统,所述多个腔室执行各种功能,包括基板中心寻找和定向、脱气、退火、沉积、等离子体处理、UV固化、和/或蚀刻。根据一个或多个实施例,群集工具至少包括第一腔室和中央传送腔室。中央传送腔室可容纳机器人,所述机器人可以在处理腔室和装载锁定腔室之间和之中输送基板。传送腔室通常保持在真空状态下并且提供中间平台以用于将基板从一个腔室输送到另一个腔室和/或输送至定位在群集工具的前端的装载锁定腔室。可适用于本公开的两个为人熟知的集群工具是和/>这两者都可从加利福尼亚州圣克拉拉市的应用材料公司获得。然而,出于执行本文所述工艺的特定步骤的目的,可以改变腔室的确切布置和组合。可以使用的其他处理腔室包括但不限于,循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、诸如RTP之类的热处理、等离子体氮化、脱气、定向、羟基化、和其他基板工艺。通过在群集工具上的腔室中执行工艺,可在不在沉积后续膜之前进行氧化的情况下避免基板的表面被大气杂质污染。
根据一个或多个实施例,当基板从一个腔室移动到下一个腔室时,基板连续地处于真空或“装载锁定”的状态,并且不暴露于环境空气中。因此,传送腔室处于真空状态并在真空压力下“抽空(pumped down)”。惰性气体可能存在于处理腔室或传送腔室中。在一些实施例中,使用惰性气体作为净化气体以除去一些或全部的反应物。根据一个或多个实施例,净化气体在沉积腔室的出口处被注入以防止反应物从沉积腔室移动到传送腔室和/或另外的处理腔室。因此,惰性气体的流动在腔室的出口处形成帘幕。
基板可在单个基板沉积腔室中处理,其中在处理另一个基板之前装载、处理和卸除单个基板。基板也可以以连续方式处理,类似于传送带系统,其中多个基板被单独装载到腔室的第一部分中、移动穿过腔室并从腔室的第二部分卸除。腔室的形状和相关联的传送系统可以形成直线路径或弯曲路径。另外,处理腔室可以是转盘,其中多个基板围绕中心轴线移动并且在整个转盘路径中暴露于沉积、蚀刻、退火、清洁等工艺。
在处理期间,基板可被加热或冷却。此类加热或冷却可以通过任何合适的方式来实现,包括但不限于改变基板支撑件540的温度以及使经加热或冷却的气体流到基板表面。在一些实施例中,基板支撑件540包括可被控制以传导地改变基板温度的加热器/冷却器。在一个或多个实施例中,所使用的气体(反应性气体或惰性气体)被加热或冷却以局部地改变基板温度。在一些实施例中,加热器/冷却器定位在腔室内与基板表面相邻,以对流地改变基板温度。
在处理期间,基板也可以是静止的或旋转的。旋转的基板可以连续或分步旋转(围绕基板轴线)。例如,基板可以在整个工艺中旋转,或者基板可以在暴露于不同反应性气体或净化气体之间少量地旋转。在处理期间旋转基板(连续地或分步地)可以通过最小化例如气流几何的局部变化的影响来帮助产生更均匀的沉积或蚀刻。
在整个说明书中对“一个实施例”、“某些实施例”、“一个或多个实施例”或“一实施例”的引用意味着与实施例相连描述的特定特征、结构、材料、或特性被包括在本公开的至少一个实施例中。因此,在贯穿本说明书中各处的诸如“在一个或多个实施例中”、“在某些实施例中”、“在一个实施例中”、或“在一实施例中”之类的短语的出现不一定是指本公开的同一实施例。此外,特定特征、结构、材料或特性可以以任何合适的方式组合于一个或多个实施例中。
尽管已参照特定实施例来描述本文的公开内容,但应理解,这些实施例仅仅是本公开的原理和应用的说明。对于本领域技术人员将显而易见的是,在不脱离本公开的精神和范围的情况下,可以对本公开的方法和设备进行各种修改和变型。因此,本公开旨在包括在所附权利要求书及其等效物的范围内的修改和变型。

Claims (20)

1.一种基板处理方法,包括以下步骤:
通过将基板表面暴露于含硅前驱物和反应物来在所述基板表面上形成成核层和可流动化学气相沉积(FCVD)膜;
在形成所述成核层期间,控制以下各项中的至少一项以最小化微小缺陷的形成:含硅前驱物/反应物压力比、前驱物/反应物流量比、以及基板温度;以及
固化所述FCVD膜。
2.如权利要求1所述的方法,其中所述FCVD膜包括氧化硅。
3.如权利要求1所述的方法,其中所述含硅前驱物包括三硅烷胺(TSA)。
4.如权利要求1所述的方法,其中所述反应物包括氨(NH3)。
5.如权利要求1所述的方法,其中所述含硅前驱物/反应物压力比在从约0.35托至约0.8托的范围内。
6.如权利要求1所述的方法,进一步包括从所述基板下方将所述基板加热至从约20℃至约150℃的范围内的温度。
7.如权利要求6所述的方法,其中所述基板在加热期间位于底座上。
8.如权利要求1所述的方法,进一步包括以下步骤:从所述基板上方将所述基板加热至从约20℃至约150℃的范围内的温度。
9.如权利要求8所述的方法,其中从所述基板上方加热所述基板包括加热基板处理腔室的上表面。
10.如权利要求1所述的方法,其中存在在从15/1至50/1的范围内的TSA/NH3的流量比。
11.如权利要求1所述的方法,其中将所述基板表面暴露于含硅前驱物和反应物包括使选自由氩(Ar)、氦(He)、氧气(O2)及其组合组成的群组的载气中的一种或多种载气流动。
12.如权利要求11所述的方法,其中流动氦(He)包括流动至少约2400sccm的氦(He)。
13.如权利要求11所述的方法,其中流动氧气(O2)包括流动至少约5sccm的氧气(O2)。
14.如权利要求9所述的方法,进一步包括以下步骤:保持所述上表面和所述基板表面之间的空间,包括保持在从约2.5英寸(6.35cm)到约4.5英寸(11.43cm)的范围内的空间。
15.如权利要求14所述的方法,进一步包括以下步骤:保持在从约3.10英寸(7.87cm)到约3.95英寸(10.03cm)的范围内的空间。
16.一种处理方法,包括以下步骤:
通过将基板表面暴露于含硅前驱物和反应物来在所述基板表面上的间隙中形成成核层和可流动化学气相沉积(FCVD)膜;
在形成所述成核层期间,控制以下各项中的至少一项以最小化微小缺陷的形成:前驱物/反应物压力比、前驱物/反应物流量比、以及基板温度;以及
固化所述FCVD膜。
17.如权利要求16所述的方法,其中所述FCVD膜包括氧化硅。
18.如权利要求16所述的方法,其中所述含硅前驱物包括三硅烷胺(TSA)并且所述反应物包括氨(NH3)。
19.如权利要求16所述的方法,进一步包括以下步骤:保持上表面和所述基板表面之间的空间,包括保持在从约2.5英寸(6.35cm)到约4.5英寸(11.43cm)的范围内的空间。
20.如权利要求19所述的方法,进一步包括以下步骤:保持在从约3.10英寸(7.87cm)到约3.95英寸(10.03cm)的范围内的空间。
CN202280035927.1A 2021-05-20 2022-05-13 可流动cvd膜缺陷减少 Pending CN117321241A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/325,764 US20220375747A1 (en) 2021-05-20 2021-05-20 Flowable CVD Film Defect Reduction
US17/325,764 2021-05-20
PCT/US2022/029125 WO2022245641A1 (en) 2021-05-20 2022-05-13 Flowable cvd film defect reduction

Publications (1)

Publication Number Publication Date
CN117321241A true CN117321241A (zh) 2023-12-29

Family

ID=84103125

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280035927.1A Pending CN117321241A (zh) 2021-05-20 2022-05-13 可流动cvd膜缺陷减少

Country Status (5)

Country Link
US (1) US20220375747A1 (zh)
KR (1) KR20240008945A (zh)
CN (1) CN117321241A (zh)
TW (1) TW202302906A (zh)
WO (1) WO2022245641A1 (zh)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9847222B2 (en) * 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US9728402B2 (en) * 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
CN109166787B (zh) * 2018-08-26 2019-06-28 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的可流动化学气相沉积方法

Also Published As

Publication number Publication date
US20220375747A1 (en) 2022-11-24
TW202302906A (zh) 2023-01-16
KR20240008945A (ko) 2024-01-19
WO2022245641A1 (en) 2022-11-24

Similar Documents

Publication Publication Date Title
US11515149B2 (en) Deposition of flowable silicon-containing films
CN110476239B (zh) 使用反应性退火的间隙填充
US20170372919A1 (en) Flowable Amorphous Silicon Films For Gapfill Applications
CN110476222B (zh) 用于硅间隙填充的两步工艺
CN110546753B (zh) 高深宽比结构中的间隙填充的方法
TWI733850B (zh) 使用沉積/蝕刻技術之無接縫溝道填充
US20170207087A1 (en) PECVD Tungsten Containing Hardmask Films And Methods Of Making
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
US20220238331A1 (en) Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma
US20220375747A1 (en) Flowable CVD Film Defect Reduction
US11367614B2 (en) Surface roughness for flowable CVD film
TW202108813A (zh) 可流動pecvd的低沉積速率
US20230340661A1 (en) Gapfill Process Using Pulsed High-Frequency Radio-Frequency (HFRF) Plasma
CN116075602A (zh) 氮化硅硼膜的沉积

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination