CN116075602A - 氮化硅硼膜的沉积 - Google Patents

氮化硅硼膜的沉积 Download PDF

Info

Publication number
CN116075602A
CN116075602A CN202180055199.6A CN202180055199A CN116075602A CN 116075602 A CN116075602 A CN 116075602A CN 202180055199 A CN202180055199 A CN 202180055199A CN 116075602 A CN116075602 A CN 116075602A
Authority
CN
China
Prior art keywords
film
substrate
chamber
sidewalls
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180055199.6A
Other languages
English (en)
Inventor
杨传曦
H·俞
D·帕德希
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116075602A publication Critical patent/CN116075602A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/24Nitriding
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

用于形成SiBN膜的方法包含以下步骤:在基板上的特征上沉积膜。所述方法包含以下步骤:在第一循环中,使用化学气相沉积工艺于腔室中的基板上沉积SiB层,该基板上具有至少一个特征,该至少一个特征包含上表面、底表面及侧壁,而SiB层形成于上表面、底表面及侧壁上。在第二循环中,用包含含氮气体的等离子体处理SiB层,以形成共形的SiBN膜。

Description

氮化硅硼膜的沉积
技术领域
本公开总体上涉及沉积薄膜的方法。具体而言,本公开涉及用于沉积氮化硅硼膜的工艺。
背景技术
已在半导体制造工艺中使用氮化硅硼薄膜作为介电层。例如,在多重图案化工艺中将SiBN膜用作间隔物材料,以在不利用最昂贵的EUV光刻技术的情况下实现更小的器件维度。此外,可将SiBN用作栅极间隔物材料,以隔离栅极结构与接触区域,以使潜在漏电流最小化。
氮化硅硼间隔物制造工艺包括3D结构(如,鳍片)上的SiBN膜沉积。常规SiBN等离子体增强化学气相沉积(PECVD)工艺导致低阶梯覆盖和低共形性。此外,常规PECVD工艺需要很高的工艺温度。
因此,在本技术领域中需要可在比现有工艺更低的工艺温度下进行的用于沉积共形的SiBN膜的工艺。
发明内容
本公开的一个或多个实施例涉及处理方法。在第一实施例中,在基板上形成膜的方法包含以下步骤:在第一循环中,使用化学气相沉积工艺于腔室中的基板上沉积SiB层,基板上具有至少一个特征,所述至少一个特征包含上表面、底表面及侧壁,SiB层形成于上表面、底表面及侧壁上;以及,在第二循环中,用包含含氮气体的等离子体处理SiB层,以形成共形的SiBN膜。
在另一个实施例中,在基板上形成膜的方法包含以下步骤:在第一循环中,使用化学气相沉积工艺在腔室中的基板上沉积SiB层,所述基板上具有至少一个特征,所述至少一个特征包含上表面、底表面及侧壁,所述SiB层形成于上表面、底表面及侧壁上;以及,在第二循环中,用包含含氮气体的等离子体处理SiB层,以形成共形的SiBN膜,其中化学气相沉积工艺的单个循环沉积具有从约10埃
Figure BDA0004112733690000021
至约30埃
Figure BDA0004112733690000022
的范围中的厚度的膜,且在从300℃至550℃的范围中的温度下进行所述方法。
在另一个实施例中,在基板上形成膜的方法包含以下步骤:在第一循环中,使用化学气相沉积工艺在腔室中的基板上沉积SiB层,所述基板上具有至少一个特征,所述至少一个特征包含上表面、底表面及侧壁,所述SiB层形成于上表面、底表面及侧壁上;用惰性气体净化腔室;以及,在第二循环中,用包含气体的等离子体处理SiB层,以形成共形的SiBN膜,所述气体包括Ar、N2、He、NH3及H2中的至少一者,其中化学气相沉积工艺的单个循环沉积具有从约
Figure BDA0004112733690000023
至约
Figure BDA0004112733690000024
的范围中的厚度的膜,且在从300℃至550℃的范围中的温度下进行所述方法。
附图说明
为了可详细理解本公开的上述特征的方式,可参照实施例得到上文简要概述的本公开的更具体的描述,其中一些实施例图示于附图中。然而,应注意,附图仅示出此公开的典型实施例,并且因此不应视为其范围的限制,因为本公开可允许其他同等有效的实施例。
图1A至1D示出根据本公开的一个或多个实施例的处理方法的基板及形成于其上的膜;
图2A绘示根据一个或多个实施例的基板的剖面视图;
图2B绘示根据一个或多个实施例的基板的剖面视图;以及
图3示出根据本公开的一个或多个实施例的批处理腔室的剖面视图。
具体实施方式
在描述本公开的数个示例性实施例之前,应了解到本公开不受限于下面说明书中所阐述的构造或工艺步骤的细节。本公开能够具有其他实施例,并能够以各种方式被实践或执行。
如本文所用,“基板”指的是任何基板或形成于基板上的材料表面,在制造工艺期间,在所述基板或形成于基板上的材料表面上进行膜处理。例如,取决于应用,于上面可进行处理的基板表面可包括:诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、经碳掺杂的氧化硅、非晶硅、经掺杂的硅、锗、砷化镓、玻璃、蓝宝石等材料,及任何其他材料(如金属、金属氮化物、金属合金及其他导电材料)。基板包括但不限于半导体基板。可将基板暴露于预处理工艺,以研磨、蚀刻、还原、氧化、羟基化、退火和/或烘烤基板表面。除了在基板本身的表面上直接进行膜处理之外,在本公开中,也可在形成于基板上的下方层(under-layer)上进行本文所揭示的任何膜处理步骤(如下文更详细地揭示),且术语“基板表面”意在包括前后文所指的此类下方层。因此,例如,当膜/层或部分膜/层已被沉积至基板表面上,新沉积的膜/层的暴露表面便成为基板表面。
如本说明书及所附权利要求书所用,术语“前驱物”、“反应物”、“反应性气体”等可互换使用,以指称可与基板表面反应的任何气态物种。
本公开的一些实施例针对基于3D结构上的沉积位置允许不同膜性质的SiBN沉积方法。例如,沉积于结构的顶部和底部上的膜可经处理而具有与沉积在结构的侧壁上的膜不同的膜性质。本公开的一些实施例有利地提供了形成膜的方法,其中湿式蚀刻可选择性地去除膜的部分(如,顶部和底部)同时留下膜的其他部分(如,侧壁)作为间隔物。本公开的一些实施例有利地在单一处理腔室中进行。
在一些实施例中,氮化硅硼选择性沉积包括单个工艺腔室中的两个工艺:SiBN膜沉积及等离子体处理。批处理腔室可用于进行SiB化学气相沉积(CVD)工艺;以例如,N2或NH3及可选的H2气体(其可与诸如Ar或He等惰性气体混合)进行等离子体(如,射频(RF)等离子体)处理。硅及硼前驱物形成共形SiB膜,而等离子体处理对特征的顶部及底部上的膜进行改性。在一些实施例中,RF等离子体处理使用经配置而对SiB膜具有定向处理效果的硬件。在一些实施例中的沉积是在约200℃至约550℃的范围中的基板温度下进行的。
参见图1A至1D,本公开的一个或多个实施例涉及处理方法来将膜(例如,间隔物膜)沉积于基板100上,其中基板100上有特征110。特征110可以是任何三维结构。图1A至1D中示出的特征110为台面(mesa),然而,本领域技术人员将理解到这仅代表一种可能的结构。合适的特征包括但不限于:脊(ridge)、沟槽及通孔(via)。
图1A至1D中示出的特征110包括上表面120、底表面130及侧壁140。在所示的实施例中,特征110具有位于呈台面形式的特征110的相对侧上的两个侧壁140。特征110具有由上表面120及底表面130界定的高度,及由侧壁140间的距离界定的宽度。
基板100上包含至少一个特征110。如图1B所示,膜150形成于基板100上,使得膜形成在至少一个特征110上。膜150形成于特征110的上表面120、底表面130及侧壁140上。在一些实施例中,膜150共形地形成于特征110上。
在一个或多个实施例中,术语“共形(conformal)”、“共形性(conformality)”或“共形地(conformally)”典型地由沉积在特征的侧壁上的层的平均厚度相对于基板的膜或上表面上的相同沉积层的平均厚度的比率(可表示为百分比)来量化。经观察,由本文所述的方法沉积的层具有大于约30%的共形性,例如,大于40%、大于50%、大于60%、大于70%(大于7:10)、大于80%(大于4:5)、大于85%(大于8.5:10)、大于90%(大于9:10)、大于95%(大于9.5:10)、大于96%(大于9.6:10)、大于97%(大于9.7:10)、大于98%(大于9.8:10)、大于99%(大于9.9:10)至约100%(1:1)。在具体实施例中,术语“共形”、“共形性”或“共形地”意指附着至暴露的表面并均匀地覆盖暴露的表面的层的厚度相对于膜的平均厚度的变化小于1%。例如,厚度为
Figure BDA0004112733690000041
的膜的厚度的变化小于
Figure BDA0004112733690000042
此厚度及变化包括凹部的边缘、转角、侧面及底部。例如,根据本公开的一个或多个实施例的共形层将在被沉积的区域上方提供复杂表面上的基本均匀厚度的覆盖。
在一个或多个实施例中,膜150包含或为SIB。由等离子体增强化学气相沉积(PECVD)进行SiBN的形成。在一些实施例中,通过在PECVD腔室中使硅前驱物与硼前驱物发生热反应,以在上表面120、侧壁140及底表面130上形成SiB,来形成包含SiB的膜150。
合适的硅前驱物包括但不限于:硅烷、二硅烷、二氯硅烷(DCS)、双(二乙胺基)硅烷(BDEAS)、四(二甲胺基)硅烷(TDMAS)及/或双(三级丁胺基)硅烷(bis(tertiary-butylamino)silane;BTBAS)。在一些实施例中,硅前驱物包含二氯硅烷(dichlorosilane)。
合适的硼前驱物包括但不限于:硼烷、烷基硼烷(alkylborane)及卤硼烷(haloborane)。在一些实施例中,硼前驱物包含具有通式BcHdXeRf的一或多种物质,其中各X为独立地选自F、Cl、Br及I中的卤素,各R独立地选自C1至C4烷基团,c为大于或等于2的任何整数,d、e及f均小于或等于c+2,且d+e+f等于c+2。
根据一个或多个实施例,在形成SiB膜后,使用等离子体氮化处理SiB膜。在一个或多个实施例中,通过流入含氮气体,而在PECVD腔室中形成等离子体。合适的含氮气体包括但不限于:分子氮及氨。在等离子体氮化期间,可流入诸如Ar、He和/或H2等额外的气体。通过使SiB膜暴露于等离子体来进行等离子体氮化,所述等离子体包括但不限于:氮等离子体、氨等离子体、包含氢、氮、氨、氦、氩、氢和/或氧中的二或更多者的混合物的等离子体。在一些实施例中,等离子体包含:氩和氨的等离子体,或氩和氮的等离子体,或氩和氧的等离子体,或氦和氨的等离子体。
如图1C所示,在膜150(如,SiB)形成后,用具有高离子浓度的等离子体160处理膜150。在一些实施例中,等离子体160可以是定向性等离子体(directional plasma)。如在此说明书及所附权利要求书中所用,术语“定向性等离子体”意指存在于等离子体中的高能物质(离子和自由基)在特定方向上移动。例如,在图1C中,等离子体160被图解为向下方移动,使得高能物质能够接触顶表面120及底表面130上的膜150,但与侧壁140上的膜150有最小接触。
具有高离子浓度的等离子体具有大于或等于约1010/cm3的浓度。在一个或多个实施例中,具有高离子浓度的等离子体具有大于或等于约109/cm3、1011/cm3、1012/cm3、1013/cm3或1014/cm3的浓度。
可将定向性等离子体形成为远程等离子体,其中在离基板的远程激发等离子体物质,并使等离子体物质流向基板。基板及基板支撑件可能不是用于产生等离子体的电路径的部分。也可将定向性等离子体形成为直接等离子体,其中基板或基板支撑件作为等离子体形成中的电极。定向性等离子体通常为扩散等离子体,且可通过对基板施加偏压使得离子被吸引至基板并朝基板移动而使等离子体具有方向性。
如图1D所示,用等离子体处理膜150修改了上表面120及底表面130处的膜150的性质。在一些实施例中,被改变的膜150的性质是向膜150添加氮以形成SiBN。
处理中使用的等离子体可以是能修改膜性质的任何合适的等离子体(如,直接或远程)。在一些实施例中,处理包含氢、氩、氮、氨、氧或氦中的一者或多者。
可重复膜150的形成和处理,直到形成具有预定总厚度的膜为止。接着可处理膜,若期望的话,重复工艺。在一些实施例中,在具有约
Figure BDA0004112733690000061
至约
Figure BDA0004112733690000062
Figure BDA0004112733690000063
的范围中的厚度的膜被沉积后,用等离子体160处理膜150。在一些实施例中,膜150具有以下范围中的厚度:从约
Figure BDA0004112733690000064
至约
Figure BDA0004112733690000065
从约
Figure BDA0004112733690000066
至约
Figure BDA0004112733690000067
Figure BDA0004112733690000068
从约
Figure BDA0004112733690000069
至约
Figure BDA00041127336900000610
从约
Figure BDA00041127336900000611
至约
Figure BDA00041127336900000612
从约
Figure BDA00041127336900000613
至约
Figure BDA00041127336900000614
从约
Figure BDA00041127336900000615
Figure BDA00041127336900000616
至约
Figure BDA00041127336900000617
从约
Figure BDA00041127336900000618
至约
Figure BDA00041127336900000619
或从约
Figure BDA00041127336900000620
至约
Figure BDA00041127336900000621
在所述方法的一些实施例中,膜150被蚀刻。相对于侧壁膜154,选择性地蚀刻经改性的顶部膜152及经改性的底部膜153。就此所用,选择性地蚀刻意指顶部及底部上的蚀刻的量、速率或程度大于侧壁上的蚀刻。在一些实施例中,用稀释HF蚀刻膜,以从特征的顶部和底部选择性地去除膜。图1D示出蚀刻工艺的结果。尽管附图示出特征110的顶部和底部以及侧壁膜154的方形转角,本领域技术人员将理解到,这仅是说明性的,且边缘和转角并非完美的直线或方形。
现参见图2A及2B,相较于现有的PECVD沉积工艺,本创新方法的优点在于沉积在特征上的膜的改进的共形性。图2A示出基板200的部份剖面视图,基板200具有特征210,特征210的形式为沟槽。在一个或多个实施例中,基板200具有上表面220。至少一个特征210在上表面220中形成开口。特征210从上表面220往底表面212延伸至深度Df。特征210具有第一侧壁214及第二侧壁216,第一侧壁214及第二侧壁216界定特征210的宽度Wf。由侧壁及底部形成的开放区域也称为间隙。
图2B绘示基板200的示意性剖面视图,基板200具有形成于基板200上的特征210及SiBN膜250。SiBN膜250绘示了使用本创新方法沉积的膜的典型外观。定性上,SiBN膜250是高度共形的,并完全覆盖特征210的侧壁214、216及底表面212。定量上,SiBN膜250具有从约30%至约100%的量级上的共形性。例如,从约70%至约90%,其中将共形性界定为沉积于侧壁214、216上的SiBN膜250的平均厚度相对于基板200的上表面220上的SiBN膜250的平均厚度T的比率。
可观察到,通过本文所述的方法的膜具有大于约30%的共形性,例如,大于40%、大于50%、大于60%、大于70%(大于7:10)、大于80%(大于4:5)、大于85%(大于8.5:10)、大于90%(大于9:10)、大于95%(大于9.5:10)、大于96%(大于9.6:10)、大于97%(大于9.7:10)、大于98%(大于9.8:10)、大于99%(大于9.9:10)至约100%(1:1)。在具体实施例中,术语“共形”、“共形性”或“共形地”意指附着至暴露的表面并均匀地覆盖暴露的表面的层的厚度相对于膜的平均厚度的变化小于1%。例如,厚度为
Figure BDA0004112733690000071
Figure BDA0004112733690000072
的膜的厚度的变化小于
Figure BDA0004112733690000073
本公开的一些实施例涉及在基板工艺腔室中沉积间隔物材料的工艺。图3示出工艺腔室300的横截面,工艺腔室300包括气体分布组件320(也称为注入器或注入器组件)及基座组件340。气体分布组件320是处理腔室中使用的任何类型的气体输送装置。气体分布组件320包括面向基座组件340的前表面321。前表面321可以有任何数量或种类的开口,以朝基座组件340传送气体流。气体分布组件320还包括外边缘324,在所示的实施例中,外边缘324是实质上圆形的。
所用的气体分布组件320的具体类型可以根据正在使用的特定工艺而有所不同。本公开的实施例可与任何类型的处理系统一起使用,在该系统中,基座和气体分布组件之间的间隙是受控的。可以采用各种类型的气体分布组件,例如,喷洒头。在一些实施例中,气体分布组件320是由单个注入器单元制成的刚性固定主体。在一个或多个实施例中,气体分布组件320是由多个单独的区段组成。无论是单个主体或多区段主体,都可与本公开的一个或多个实施例一起使用。
基座组件340设置在气体分布组件320之下。基座组件340包括顶表面341及顶表面341中的至少一个凹槽342。基座组件340还具有底表面343和边缘144。凹槽342可以是任何合适的形状和尺寸,这取决于被处理的基板60的形状和尺寸。在图3所示的实施例中,凹槽342具有平坦的底部以支撑基板的底部;然而,凹槽的底部可以变化。在一些实施例中,凹槽具有环绕凹槽的外周边缘的阶梯区域,阶梯区域的大小是为了支撑基板的外周边缘。基板的外周边缘被阶梯支撑的量可以根据例如基板的厚度和基板背面已经存在的特征而变化。
在一些实施例中,如图3所示,基座组件340的顶表面341中的凹槽342E经尺寸设定为使得支撑在凹槽342中的基板60具有的顶表面261与基座340的顶表面341基本上共面。在本说明书和所附权利要求书中,术语“基本上共面”是指基板的顶表面和基座组件的顶表面共面在±0.2mm内。在一些实施例中,顶表面共面在±0.15mm、±0.10mm或±0.05mm内。
图3的基座组件340包括支撑柱360,支撑柱360能将基座组件340提升、降低及旋转。基座组件可在支撑柱360的中心内包括加热器、或气体管路、或电子部件。支撑柱360可以是增加或减少基座组件340与气体分布组件320之间的间隙、将基座组件340移动到适当位置的主要方式。基座组件340还可包括微调致动器362,微调致动器362可以对基座组件340进行细微调整,以在基座组件340与气体分布组件320之间产生预定的间隙370。
在一些实施例中,间隙370距离在约4.5mm至约25.0mm的范围内,或在约4.5mm至约20.0mm的范围内,或在约4.5mm至约15mm的范围内,或在约4.5mm至约10mm的范围内,或在约5mm至约25mm的范围内,或在约5mm至约20mm的范围内,或在约5mm至约15mm的范围内,或在约5mm至约10mm的范围内。
根据一个或多个实施例所示的工艺腔室300为转盘型腔室,其中基座组件340可固持多个基板60。
本公开的实施例针对用于在基板上形成膜的处理方法。在第一实施例中,在基板上形成膜的方法包含以下步骤:在第一循环中,使用化学气相沉积工艺于腔室中的基板上沉积SiB层,基板上具有至少一个特征,至少一个特征包含上表面、底表面及侧壁,而SiB层形成于上表面、底表面及侧壁上。在第二循环中,所述方法进一步包含以下步骤:用包含含氮气体的等离子体处理SiB层,以形成共形的SiBN膜。在一些实施例中,特征包含上表面中的开口,该开口从上表面往底表面延伸深度Df,侧壁包括第一侧壁及第二侧壁,该第一侧壁及该第二侧壁界定特征的宽度Wf。在具体实施例中,化学气相沉积工艺包含以下步骤:将硅烷及二硼烷流入腔室。在其他实施例中,特征包含台面,台面具有位在台面的相对侧上的两个侧壁,以及由上表面及底表面界定的高度,以及由侧壁之间的距离界定的宽度。
一个或多个实施例进一步包含以下步骤:终止化学气相沉积工艺;用惰性气体净化腔室;以及在净化腔室后,用等离子体处理SiB层。在一些实施例中,等离子体包含N2及Ar。在一些实施例中,等离子体包含N2及He。在一些实施例中,等离子体包含NH3。在一些实施例中,等离子体进一步包含H2。在一些实施例中,流入H2改善了膜性质,如介电常数。在一些实施例中,在从300℃至550℃的范围中的温度下进行所述方法。在一些实施例中,化学气相沉积工艺包含以下步骤:将硅烷及二硼烷流入腔室;终止化学气相沉积工艺;用惰性气体净化腔室;以及在净化腔室后用等离子体处理SiB层。在一个或多个实施例中,等离子体为在从100W至2kW的范围内的射频RF等离子体,且在具体实施例中,在从100W至1kW的范围内。在一个或多个实施例中,上文讨论的间隙370距离在约4.5mm至约25.0mm的范围内,且在具体实施例中,在从5mm至15mm的范围内。在一个或多个实施例中,等离子体处理期间的工艺腔室中的压力在从2托至50托的范围内,且在具体实施例中,从3托至25托。
根据一个或多个实施例,基板在形成层之前和/或之后受到处理。可在相同腔室中或在一个或多个分开的处理腔室中进行此处理。在一些实施例中,将基板从第一腔室移至单独的第二腔室以进行进一步处理。可将基板直接从第一腔室移动至单独的处理腔室,或可将基板从第一腔室移动至一个或多个移送腔室,并接着移动至单独的处理腔室。因此,处理设备可包含与移送站连通的多个腔室。这种类型的设备可称为“群集工具”或“群集式系统”等。
通常,群集工具是模块化系统,包含执行各种功能的多个腔室,所述功能包括:基板定心及定向、退火、退火、沉积和/或蚀刻。根据一个或多个实施例,群集工具包括至少一第一腔室与中央移送腔室。中央移送腔室可容置机器人,所述机器人可在处理腔室与装载锁定腔室之间传送基板。通常将移送腔室维持在真空条件下,并提供中间阶段,用于将基板从一个腔室传送至另一腔室,和/或传送至位在群集工具的前端的装载锁定腔室。可适用于本公开的两种已熟知的群集工具为
Figure BDA0004112733690000101
Figure BDA0004112733690000102
两者均可获自美国加州圣塔克拉拉市的应用材料公司。然而,可为了进行本文所描述的工艺的特定步骤,来改变腔室的确切设置与组合。可使用的其他处理腔室包括但不限于:循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、热处理(如RTP)、等离子体氮化、脱气、定向、羟基化以及其他基板工艺。通过在群集工具上的腔室中执行工艺,不需要在沉积后续膜之前的氧化,即可避免基板受到气氛杂质的表面污染。
根据一个或多个实施例,基板持续处于真空或“装载锁定(load lock)”条件下,且在从一个腔室移动至下一个腔室时不会暴露至环境空气。移送腔室因此处于真空下,且在真空压力下被“抽空(pumped down)”。惰性气体可存在于处理腔室或移送腔室中。在一些实施例中,使用惰性气体作为清除气体,以移除某些或全部反应物。根据一个或多个实施例,在沉积腔室的出口处注入净化气体,以防止反应物从沉积腔室移动至移送腔室和/或额外的处理腔室。因此,惰性气体流在腔室出口处形成帘幕。
可在单个基板沉积腔室中处理基板,其中在处理另一基板前,装载、处理及卸除单个基板。也可以如输送带系统般的连续方式处理基板,其中多个基板个别装载至腔室的第一部分、移动通过腔室并自腔室的第二部分卸除。腔室和相关运送系统的形状可构成直线路径或弯曲路径。此外,处理腔室可以是转盘,其中多个基板绕着中心轴移动,并在整个转盘路径中暴露于沉积、蚀刻、退火、清洁等处理。
在处理期间,可加热或冷却基板。可由任何适合的手段完成此种加热或冷却,所述手段包括但不限于:改变基板支撑件的温度,并使加热气体或冷却气体流至基板。在一些实施例中,基板支撑件包括加热器/冷却器,可控制加热器/冷却器以传导性地改变基板温度。在一个或多个实施例中,可加热或冷却所采用的气体(无论是反应性气体或惰性气体),以局部改变基板温度。在一些实施例中,可将加热器/冷却器安置在腔室内与基板相邻,以对流性地改变基板温度。
在处理期间,也可固定或旋转基板。旋转基板可被持续旋转或分段旋转。例如,可在整体工艺期间旋转基板,或可在暴露于不同的反应性气体或清除气体之间少量旋转基板。在处理期间旋转基板(无论连续或分段)可通过,例如,使气体流几何形貌中的局部变异性的效应最小化,而有助于产生更均匀的沉积或蚀刻。
在整个说明书中对“一个实施例”、“某些实施例”、“多种实施例”、“一个或多个实施例”或“一实施例”的引用意味着结合该实施例描述的具体特征、结构、材料或特性包括在本公开的至少一个实施例中。因此,在整个说明书多处出现的词组,如“在一个或多个实施例中”、“在某些实施例中”、“在一个实施例中”或“在实施例中”不必然指称本公开的相同实施例。此外,在一个或多个实施例中,具体特征、结构、材料或特性可以任何方式组合。
虽然已参照特定实施例说明了本公开,应了解到,这些实施例仅说明本公开的原理与应用。对本领域技术人员而言显然可对本公开的方法及设备进行各种修改和变化,而不背离本公开的精神及范围。因此,本公开意在包括在所附权利要求书及其均等物的范围内的修改与变化。

Claims (20)

1.一种在基板上形成膜的方法,包含以下步骤:
在第一循环中,使用化学气相沉积工艺在腔室中的基板上沉积SiB层,所述基板上具有至少一个特征,所述至少一个特征包含上表面、底表面及侧壁,所述SiB层形成于所述上表面、所述底表面及所述侧壁上;以及
在第二循环中,用包含含氮气体的等离子体处理所述SiB层,以形成共形的SiBN膜。
2.如权利要求1所述的方法,其中所述化学气相沉积工艺的单个循环沉积具有以下范围中的厚度的膜:从约
Figure FDA0004112733680000011
至约
Figure FDA0004112733680000012
3.如权利要求1所述的方法,其中所述化学气相沉积工艺的单个循环沉积具有以下范围中的厚度的膜:从约
Figure FDA0004112733680000013
至约
Figure FDA0004112733680000014
4.如权利要求1所述的方法,其中所述化学气相沉积工艺的单个循环沉积具有以下范围中的厚度的膜:从约
Figure FDA0004112733680000015
至约
Figure FDA0004112733680000016
5.如权利要求4所述的方法,其中所述化学气相沉积工艺的单个循环沉积具有以下范围中的厚度的膜:从约
Figure FDA0004112733680000017
至约
Figure FDA0004112733680000018
6.如权利要求2所述的方法,其中所述特征包含所述上表面中的开口,所述开口从所述上表面往所述底表面延伸深度Df,所述侧壁包括第一侧壁及第二侧壁,所述第一侧壁及所述第二侧壁界定所述特征的宽度Wf
7.如权利要求6所述的方法,其中所述膜沉积在所述特征的所述第一侧壁及所述第二侧壁上的平均厚度相对于该相同的膜沉积在所述上表面上的平均厚度的比率大于90%。
8.如权利要求6所述的方法,其中所述膜沉积在所述特征的所述第一侧壁及所述第二侧壁上的平均厚度相对于该相同的膜沉积在所述上表面上的平均厚度的比率大于95%。
9.如权利要求8所述的方法,其中所述化学气相沉积工艺包含将硅烷及二硼烷流入所述腔室。
10.如权利要求9所述的方法,进一步包含以下步骤:终止所述化学气相沉积工艺;用惰性气体净化所述腔室;以及在净化所述腔室后,用等离子体处理所述SiB层。
11.如权利要求10所述的方法,其中所述等离子体包含以下一者或多者:N2、Ar、He及NH3
12.如权利要求11所述的方法,其中所述等离子体进一步包含H2
13.如权利要求11所述的方法,其中在以下范围中的温度下进行所述方法:从300℃至550℃。
14.如权利要求2所述的方法,其中所述特征包含台面,所述台面具有位于所述台面的相对侧上的两个侧壁,以及由所述上表面及所述底表面界定的高度,以及由所述侧壁间的距离界定的宽度。
15.如权利要求14所述的方法,其中所述膜沉积在所述特征的所述侧壁上的平均厚度相对于该相同的膜沉积在所述上表面上的平均厚度的比率大于90%。
16.如权利要求14所述的方法,其中所述膜沉积在所述特征的所述侧壁上的平均厚度相对于该相同的膜沉积在所述上表面上的平均厚度的比率大于95%。
17.如权利要求16所述的方法,其中所述化学气相沉积工艺包含:将硅烷及二硼烷流入所述腔室;终止所述化学气相沉积工艺;用惰性气体净化所述腔室;以及在净化所述腔室后,用等离子体处理所述SiB层。
18.如权利要求17所述的方法,其中所述等离子体包含以下一者或多者:N2、Ar、He及NH3
19.一种在基板上形成膜的方法,包含以下步骤:
在第一循环中,使用化学气相沉积工艺在腔室中的基板上沉积SiB层,所述基板上具有至少一个特征,所述至少一个特征包含上表面、底表面及侧壁,所述SiB层形成于所述上表面、所述底表面及所述侧壁上;以及
在第二循环中,用包含含氮气体的等离子体处理所述SiB层,以形成共形的SiBN膜,其中所述化学气相沉积工艺的单个循环沉积具有从约
Figure FDA0004112733680000021
至约
Figure FDA0004112733680000022
的范围中的厚度的膜,且在从300℃至550℃的范围中的温度下进行所述方法。
20.一种在基板上形成膜的方法,包含以下步骤:
在第一循环中,使用化学气相沉积工艺在腔室中的基板上沉积SiB层,所述基板上具有至少一个特征,所述至少一个特征包含上表面、底表面及侧壁,所述SiB层形成于所述上表面、所述底表面及所述侧壁上;
用惰性气体净化所述腔室;以及
在第二循环中,用包含气体的等离子体处理所述SiB层,以形成共形的SiBN膜,所述气体包括Ar、N2、He、NH3及H2中的一者或多者,其中所述化学气相沉积工艺的单个循环沉积具有从约
Figure FDA0004112733680000031
至约
Figure FDA0004112733680000032
的范围中的厚度的膜,且在从300℃至550℃的范围中的温度下进行所述方法。
CN202180055199.6A 2020-09-11 2021-09-08 氮化硅硼膜的沉积 Pending CN116075602A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/018,173 US11515145B2 (en) 2020-09-11 2020-09-11 Deposition of silicon boron nitride films
US17/018,173 2020-09-11
PCT/US2021/049375 WO2022055936A1 (en) 2020-09-11 2021-09-08 Deposition of silicon boron nitride films

Publications (1)

Publication Number Publication Date
CN116075602A true CN116075602A (zh) 2023-05-05

Family

ID=80627955

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180055199.6A Pending CN116075602A (zh) 2020-09-11 2021-09-08 氮化硅硼膜的沉积

Country Status (6)

Country Link
US (1) US11515145B2 (zh)
JP (1) JP2023541395A (zh)
KR (1) KR20230062869A (zh)
CN (1) CN116075602A (zh)
TW (1) TW202212612A (zh)
WO (1) WO2022055936A1 (zh)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000332012A (ja) 1999-05-19 2000-11-30 Sony Corp シリコン窒化膜の成膜方法
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
WO2013123143A1 (en) 2012-02-14 2013-08-22 Novellus Systems, Inc. Silicon nitride films for semiconductor device applications
JP6456185B2 (ja) * 2015-02-26 2019-01-23 東京エレクトロン株式会社 シリコン含有膜の成膜方法
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
KR102551237B1 (ko) 2016-12-22 2023-07-03 어플라이드 머티어리얼스, 인코포레이티드 기저 구조 재료에 대한 직접적인 rf 노출 없이 등각성의 밀폐 유전체 캡슐화를 위한 sibn 필름

Also Published As

Publication number Publication date
JP2023541395A (ja) 2023-10-02
US11515145B2 (en) 2022-11-29
US20220084809A1 (en) 2022-03-17
TW202212612A (zh) 2022-04-01
WO2022055936A1 (en) 2022-03-17
KR20230062869A (ko) 2023-05-09

Similar Documents

Publication Publication Date Title
KR102293218B1 (ko) 스페이서 애플리케이션들을 위한 실리콘 질화물 막들의 선택적 증착
KR102301585B1 (ko) 선택적 건식 에칭을 위한 방법들 및 장치
US20170053792A1 (en) High Temperature Thermal ALD Silicon Nitride Films
US20220074050A1 (en) Halogen-Containing Silane-Based Metal Silicide As Nucleation Layer For Tungsten ALD
CN110088875B (zh) 无成核的间隙填充ald工艺
TW201812999A (zh) 使用沉積/蝕刻技術之無接縫溝道填充
CN112740397A (zh) 含铝膜的间隙-填充
TW202028509A (zh) 沉積氮化矽的方法
US20220238331A1 (en) Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma
US11515145B2 (en) Deposition of silicon boron nitride films
US11978625B2 (en) Methods of forming metal nitride films
US20220375747A1 (en) Flowable CVD Film Defect Reduction
US20230340661A1 (en) Gapfill Process Using Pulsed High-Frequency Radio-Frequency (HFRF) Plasma
CN118103548A (zh) 形成金属氮化物膜的方法
CN114144866A (zh) 可流动cvd膜的表面粗糙度

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination