JP2023541395A - ケイ素ホウ素窒化物膜の堆積 - Google Patents

ケイ素ホウ素窒化物膜の堆積 Download PDF

Info

Publication number
JP2023541395A
JP2023541395A JP2023516165A JP2023516165A JP2023541395A JP 2023541395 A JP2023541395 A JP 2023541395A JP 2023516165 A JP2023516165 A JP 2023516165A JP 2023516165 A JP2023516165 A JP 2023516165A JP 2023541395 A JP2023541395 A JP 2023541395A
Authority
JP
Japan
Prior art keywords
film
substrate
feature
chamber
top surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023516165A
Other languages
English (en)
Inventor
チョアンシー ヤン,
ハン ユ,
ディーネッシュ パディ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023541395A publication Critical patent/JP2023541395A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/24Nitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

基板上のフィーチャ上に膜を堆積させることを含む、SiBN膜の形成方法。本方法は、第1サイクルで、化学蒸着プロセスを使用してチャンバ内で基板上にSiB層を堆積させることを含み、当該基板は、その上に少なくとも1つのフィーチャを有するものであり、当該少なくとも1つのフィーチャは、上面、底面及び側壁を有するものであり、SiB層は、上面、底面及び側壁に形成される。第2サイクルでSiB層は、窒素含有ガスを含むプラズマで処理され、コンフォーマルなSiBN膜が形成される。【選択図】図1D

Description

[0001]本開示は一般的に、薄膜を堆積させる方法に関する。本開示は特に、ケイ素ホウ素窒化物膜を堆積させるためのプロセスに関する。
背景技術
[0002]誘電体層としてのケイ素ホウ素窒化物膜は、半導体製造プロセスで使用されてきている。例えばSiBN膜は、デバイスの小型化を実現するため、スペーサ材料としてマルチパターニングプロセスで使用され、最も高価なEUVリソグラフィー技術は採用されていない。さらにSiBNは、ゲートスペーサ材料として使用することができ、ゲート構造とコンタクト領域とを分離して潜在的なリーク電流を最小化することができる。
[0003]ケイ素ホウ素窒化物スペーサの製造工程には、3次元構造体(例えばフィン)上へのSiBN膜の堆積が含まれる。従来のSiBNプラズマ化学蒸着(PECVD)プロセスでは、ステップカバレッジ(step coverage)が低く、コンフォーマリティ(conformality)も低い。さらに、従来のPECVDプロセスでは、非常に高いプロセス温度が必要であった。
[0004]よってこの技術分野では、既存のプロセスよりも低いプロセス温度で実施することができる、コンフォーマルなSiBN膜を堆積させるプロセスに対する必要性が存在する。
[0005]本開示の1つ又は複数の実施態様は、処理方法を対象としている。第一の実施態様では、基板上に膜を形成する方法が、第1サイクルにおいて、化学蒸着プロセスを用いてチャンバ内で基板上にSiB層を堆積させることであって、基板は、その上に少なくとも1つのフィーチャを有し、少なくとも1つのフィーチャは、上面、底面及び側壁を有し、SiB層が、上面、底面及び側壁に形成される、SiB層を堆積させることと、第2サイクルにおいて、SiB層を、窒素含有ガスを含むプラズマで処理して、コンフォーマルなSiBN膜を形成することと、を含む。
[0006]別の実施態様では、基板上に膜を形成する方法が、第1サイクルにおいて、化学蒸着プロセスを用いてチャンバ内で基板上にSiB層を堆積させることであって、基板は、その上に少なくとも1つのフィーチャを有し、少なくとも1つのフィーチャは、上面、底面及び側壁を有し、SiB層が、上面、底面及び側壁に形成される、SiB層を堆積させることと、第2サイクルにおいて、SiB層を、窒素含有ガスを含むプラズマで処理して、コンフォーマルなSiBN膜を形成することと、を含み、該方法では、化学蒸着プロセスの一回のサイクルが、約10オングストローム(Å)~約30オングストローム(Å)の範囲の厚さを有する膜を堆積させ、該方法は、300℃~550℃の範囲の温度で行われる。
[0007]別の実施態様では、基板上に膜を形成する方法が、第1サイクルにおいて、化学蒸着プロセスを用いてチャンバ内で基板上にSiB層を堆積させることであって、基板は、その上に少なくとも1つのフィーチャを有し、少なくとも1つのフィーチャは、上面、底面及び側壁を有し、SiB層が、上面、底面及び側壁に形成される、SiB層を堆積させることと、チャンバを不活性ガスでパージすることと、第2サイクルにおいて、SiB層を、Ar、N、He、NH及びHのうち少なくとも1つを含有するガスを含むプラズマで処理して、コンフォーマルなSiBN膜を形成することと、を含み、該方法では、化学蒸着プロセスの一回のサイクルが、約10Å~約30Åの範囲の厚さを有する膜を堆積させ、該方法は、300℃~550℃の範囲の温度で行われる。
[0008]本開示について先に言及した特徴が詳細に理解され得るように、先に簡単に要約した本開示のより詳細な説明については、実施形態を参照することができ、そのうちいくつかは、添付図面に図示されている。しかしながら添付図面には、本開示の典型的な実施形態のみが示されているに過ぎないため、本願発明の範囲が限定されるとみなされるべきではないことに留意されたい。本開示には、他の同様に有効な実施形態を認めることができるからである。
A~Dは、基板と、本開示の1つ又は複数の実施形態による処理方法に従って当該基板上に形成された膜とを示す。 Aには、1つ又は複数の実施形態による基板の断面図が図示されている。Bには、1つ又は複数の実施形態による基板の断面図が図示されている。 本開示の1つ又は複数の実施形態による、バッチ式処理チャンバの断面図である。
[0013]本開示についていくつかの例示的な実施形態を説明する前に、本開示は以下の説明で規定される構造の詳細又はプロセスステップに限られないことが、理解されるべきである。本開示については他の実施形態が可能であり、様々な方法で実施又は実行可能である。
[0014]本明細書で使用する「基板」とは、製造プロセスの間に膜処理を行う任意の基板、又は当該基板上に形成された材料表面をいう。例えば、処理を行うことができる基板表面には、材料、例えばシリコン、酸化シリコン、歪みシリコン、シリコン・オン・インシュレータ(SOI)、炭素がドープされた酸化シリコン、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ガリウムヒ素、ガラス、サファイア、並びにその他の材料、例えば金属、金属窒化物、金属合金、及び用途に応じてその他の導電性材料が含まれる。基板には半導体基板が含まれるが、これに限られない。基板は、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール及び/又はベークする前処理プロセスにさらしてもよい。本開示では、基板自体の表面での直接膜処理に加えて、開示される膜処理ステップはいずれも、以下でより詳細に開示されるように、基板上に形成された下層上で実行されてもよく、「基板表面」という用語は、文脈が示すように、このような下層を含むことが意図されている。よって例えば、基板表面に膜/層、又は部分的な膜/層が堆積している場合、新たに堆積した膜/層の露出面が基板表面となる。
[0015]本明細書及び添付特許請求の範囲で使用するように、「前駆体」、「反応体」、「反応性ガス」等の用語は、基板表面と反応し得る任意のガス種を指すために、交換可能に使用される。
[0016]本開示のいくつかの実施形態は、3次元構造上の堆積位置に基づいて異なる膜特性を可能にするSiBN堆積方法を対象としている。例えば、構造体の上部及び下部に堆積させた膜を、構造体の側壁に堆積させた膜とは異なる膜特性を有するように処理することができる。本開示のいくつかの実施形態は、ウェットエッチングにより、膜のその他の部分(例えば側壁)をスペーサとして残しながら、膜の一部(例えば上部及び下部)を選択的に除去可能な膜の形成方法を、有利に提供する。本開示のいくつかの実施形態は、1つの処理チャンバで有利に行われる。
[0017]いくつかの実施形態において、ケイ素ホウ素窒化物の選択的堆積は、1つの処理チャンバにおいて、SiBN膜の堆積及びプラズマ処理という2つのプロセスを含む。バッチ式処理チャンバは、SiB化学蒸着(CVD)プロセス、特にプラズマ(例えば、無線周波(RF)プラズマ)処理を、例えばN又はNH、及び任意でHガス(Ar又はHeなどの不活性ガスと混合可能)で行うために、使用することができる。シリコン前駆体及びホウ素前駆体によりコンフォーマルなSiB膜を形成し、プラズマ処理によってフィーチャの上部及び下部で膜を改質する。いくつかの実施形態ではRFプラズマ処理において、SiB膜に対して指向性のある処理効果を有するように構成されたハードウェアが使用される。いくつかの実施形態における堆積は、約200℃~約550℃の範囲の基板温度で行われる。
[0018]図1A~図1Dとの関連で述べると、本開示の1つ又は複数の実施形態は、膜、例えばスペーサ膜を基板100上に堆積させる処理方法を対象としており、当該基板はその上に、フィーチャ110を有するものである。フィーチャ110は、任意の3次元構造であり得る。図1A~1Dに示したフィーチャ110はメサであるが、当業者であれば、これは単に1つの可能な構造の代表例であることを理解するだろう。好適なフィーチャとしては、リッジ、トレンチ及びビアが挙げられるが、これらに限られない。
[0019]図1A~1Dに示したフィーチャ110は、上面120、下面130及び側壁140を含む。図示した実施形態では、フィーチャ110が、メサの形でフィーチャ110の両側に2つの側壁140を有する。フィーチャ110は、上面120及び下面130によって画定される高さと、側壁140同士の間の距離によって画定される幅とを有する。
[0020]基板100は、その上に少なくとも1つのフィーチャ110を有する。図1Bに示したとおり、少なくとも1つのフィーチャ110上に膜が形成されるように、基板100上に膜150が形成される。膜150は、フィーチャ110の上面120、下面130及び側壁140に形成される。いくつかの実施形態では膜150が、フィーチャ110上にコンフォーマルに形成される。
[0021]1つ又は複数の実施形態において、「コンフォーマル(conformal)」、「コンフォーマリティ(conformality)」、又は「コンフォーマルに(conformally)」という用語は、典型的には、基板の膜又は上面に堆積された同じ層の平均厚さに対する、フィーチャの側壁に堆積された層の平均厚さの比率(パーセントとして表示可能)によって定量化される。本明細書に記載の方法によって堆積された層は、約30%より大きい、例えば40%より大きい、50%より大きい、60%より大きい、70%より大きい(7:10より大きい)、80%より大きい(4:5より大きい)、85%より大きい(8.5:10より大きい)、90%より大きい(9:10より大きい)、95%より大きい(9.5:10より大きい)、96%より大きい(9.6:10より大きい)、97%より大きい(9.7:10より大きい)、98%より大きい(9.8:10より大きい)、99%より大きい(9.9:10より大きい)から約100%(1:1)のコンフォーマリティを有することが観察される。特定の実施形態において、「コンフォーマル」、「コンフォーマリティ」、又は「コンフォーマルに」という用語は、膜の平均厚さに対して1%未満の変動を有する厚さで露出面に付着するとともに当該露出面を均一に覆う層をいう。例えば1,000Åの厚さの膜であれば、厚さの変動は10Å未満ということになる。この厚み及び変動には、端部、角部、側部、及び凹部の底面が含まれる。例えば、本開示の1つ又は複数の実施形態によるコンフォーマル層は、複雑な表面において実質的に均一な厚さの堆積領域にわたる被覆を提供することになる。
[0022]1つ又は複数の実施形態において、膜150はSiBを含むか、又はSiBである。SiBNの形成は、プラズマ化学蒸着法(PECVD)により行われる。いくつかの実施形態では、SiBを含む膜150が、PECVDチャンバ内でシリコン前駆体とホウ素前駆体とを熱により反応させることによって形成され、こうして上面120、側壁140及び下面130にSiBが形成される。
[0023]好適なシリコン前駆体としては、シラン、ジシラン、ジクロロシラン(DCS)、ビス(ジエチルアミノ)シラン(BDEAS)、テトラキス(ジメチルアミノ)シラン(TDMAS)、及び/又はビス(tert-ブチルアミノ)シラン(BTBAS)が挙げられるが、これらに限られない。いくつかの実施形態において、シリコン前駆体は、ジクロロシランを含む。
[0024]好適なホウ素前駆体としては、ボラン類、アルキルボラン類、及びハロボラン類が挙げられるが、これらに限られない。いくつかの実施形態では、ホウ素前駆体が、Bの一般式を有する1つ又は複数の種を含み、ここで各Xは、F、Cl、Br及びIから独立して選択されるハロゲンであり、各Rは、独立して選択されるC~Cアルキル基であり、cは、2以上の任意の整数であり、d、e及びfはそれぞれ、c+2以下であり、d+e+fは、c+2に等しい。
[0025]1つ又は複数の実施形態によれば、SiB膜の形成後、プラズマ窒化を用いてSiB膜を処理する。1つ又は複数の実施形態では、PECVDチャンバ内で窒素含有ガスを流すことにより、プラズマが形成される。好適な窒素含有ガスとしては、分子状窒素及びアンモニアが挙げられるが、これらに限られない。プラズマ窒化の間には、Ar、He及び/又はHなどの追加ガスを流すことができる。プラズマ窒化は、窒素プラズマ、アンモニアプラズマ、並びに水素、窒素、アンモニア、ヘリウム、アルゴン、水素、及び/又は酸素のうち2種以上の混合物を含むプラズマ(これらに限られない)に、SiB膜を暴露することによって行われる。いくつかの実施形態では、プラズマが、アルゴンとアンモニアとのプラズマ、又はアルゴンと窒素とのプラズマ、又はアルゴンと酸素とのプラズマ、又はヘリウムとアンモニアとのプラズマを含む。
[0026]図1Cに示すように、膜150(例えばSiB)の形成後、膜150は、高いイオン濃度を有するプラズマ160で処理される。いくつかの実施形態では、プラズマ160が指向性プラズマであり得る。本明細書及び添付の特許請求の範囲で使用するように、「指向性プラズマ(directional plasma)」という用語は、プラズマ中に存在するエネルギー種(イオン及びラジカル)が特定の方向に移動することを意味する。例えば図1Cにおいて、プラズマ160は、エネルギー種が上面120及び下面130上の膜150に接触可能ではあるものの、側壁140上の膜150との接触は最小限であるように、下方に移動することが図示されている。
[0027]イオン濃度が高いプラズマは、約1010/cm以上の濃度を有する。1つ又は複数の実施形態において、イオン濃度が高いプラズマは、約10/cm以上、1011/cm以上、1012/cm以上、1013/cm以上、又は1014/cm以上の濃度を有する。
[0028]指向性プラズマは、プラズマ種が基板から離れた位置で励起され、基板に向かって流れるリモートプラズマとして形成され得る。基板及び基板支持体は、プラズマを発生させるために使用される電気経路の一部でなくてもよい。指向性プラズマはまた、基板又は基板支持体をプラズマ形成における電極として機能させる直接プラズマ(directional plasma)として形成され得る。指向性プラズマは一般に拡散性プラズマであり、イオンが基板に引き寄せられて基板に向かって移動するように基板にバイアスをかけることによって、指向性にすることができる。
[0029]図1Dに示すように、膜150をプラズマで処理することにより、上面120及び下面130における膜150の特性が改質される。いくつかの実施形態では、膜150の特性が、SiBNを形成するための膜150への窒素添加により、変更される。
[0030]処理で使用されるプラズマは、膜特性を改質可能な任意の適切なプラズマ(例えば、直接又は遠隔プラズマ)であり得る。いくつかの実施形態では当該処理が、水素、アルゴン、窒素、アンモニア、酸素若しくはヘリウムのうちの1つ又は複数を含む。
[0031]膜150の形成及び処理は、所定の総厚を有する膜が形成されるまで、繰り返すことができる。その後、膜を処理することができ、必要に応じて、当該プロセスを繰り返す。いくつかの実施形態では、プラズマ160による膜150の処理が、約10Åから約30Åの範囲の厚さを有する膜が堆積された後に行われる。いくつかの実施形態では膜150が、約10Åから約25Å、約10Åから約20Å、約10Åから約15Å、約15Åから約35Å、約15Åから約25Å、約15Åから約20Å、約20Åから約30Å、又は約20Åから約25Åの範囲の厚さを有する。
[0032]本方法のいくつかの実施形態では、膜150がエッチングされる。改質された上面膜152及び改質された下面膜153は、側壁膜154に対して選択的にエッチングされる。これに関連して使用されるように、「選択的にエッチングする」とは、上部及び下部のエッチングの量、速度又は程度が、側壁のエッチングよりも大きいことを意味する。いくつかの実施形態では、希釈されたHFにより膜をエッチングして、フィーチャの上部及び下部から膜を選択的に除去する。図1Dは、エッチングプロセスの結果を示す。図面では、フィーチャ110の上部及び下部、並びに側壁膜154の角が四角く示されているが、これは単なる例示であり、端部及び角が完全には直線又は四角でないことを、当業者であれば理解するであろう。
[0033]次に、図2A及び図2Bとの関連で述べると、本発明による方法の利点は、既存のPECVD堆積プロセスと比較して、フィーチャ上に堆積された膜のコンフォーマリティが改善されることである。図2Aは、トレンチの形態のフィーチャ210を有する基板200の部分断面図である。1つ又は複数の実施形態において、基板200は、上面220を有する。少なくとも1つのフィーチャ210は、上面220に開口部を形成する。フィーチャ210は、上面220から深さDまで底面212へと延びている。フィーチャ210は、フィーチャ210の幅Wを画定する第1の側壁214及び第2の側壁216を有する。側壁及び底部により形成される開放領域は、ギャップとも呼ぶ。
[0034]図2Bは、フィーチャ210を有する基板200、及び当該基板上に形成されたSiBN膜250の概略的な断面図である。SiBN膜250は、本発明による方法を用いて堆積させた膜の典型的な外観を示す。定性的には、SiBN膜250は高度にコンフォーマルであり、フィーチャ210の側壁214、216及び底面212を完全に覆う。定量的には、SiBN膜250は、約30%から約100%のオーダーでコンフォーマリティを有する。例えば約70%から約90%であり、コンフォーマリティは、側壁214、216上に堆積したSiBN膜250の平均厚さと、基板200の上面220上のSiBN膜250の平均厚さTとの比率として定義される。
[0035]本明細書に記載の方法による膜は、約30%より大きい、例えば、40%より大きい、50%より大きい、60%より大きい、70%より大きい(7:10より大きい)、80%より大きい(4:5より大きい)、85%より大きい(8.5:10より大きい、90%より大きい(9:10より大きい)、95%より大きい(9.5:10より大きい)、96%より大きい(9.6:10より大きい)、97%より大きい(9.7:10以上)、98%より大きい(9.8:10より大きい)、99%より大きい(9.9:10より大きい)、約100%(1:1)までのコンフォーマリティを有することが観察される。特定の実施形態では、「コンフォーマル」、「コンフォーマリティ」、又は「コンフォーマルに」という用語は、膜の平均厚さに対して1%未満の変動を有する厚さで露出面に付着して、当該露出表面を均一に覆う層をいう。例えば、1,000Åの厚さの膜であれば、厚さの変動は10Å未満となる。
[0036]本開示のいくつかの実施形態は、基板処理チャンバでスペーサ材料を堆積させるプロセスを対象としている。図3は、ガス分配アセンブリ320(インジェクタ又はインジェクタアセンブリとも呼ばれる)と、サセプタアセンブリ340とを含む処理チャンバ300の断面を示す。ガス分配アセンブリ320は、処理チャンバ内で使用される任意のタイプのガス送出装置である。ガス分配アセンブリ320は、サセプタアセンブリ340に面する前面321を含む。前面321は、サセプタアセンブリ340に向かって気体の流れを送り出すための任意の数の又は様々な開口部を有することができる。ガス分配アセンブリ320はまた、図示された実施形態では実質的に丸い外側端部324を含む。
[0037]使用されるガス分配アセンブリ320の具体的なタイプは、使用される特定のプロセスに応じて変わり得る。本開示の実施形態は、サセプタとガス分配アセンブリとの間のギャップが制御される任意のタイプの処理システムとともに使用することができる。ガス分配アセンブリとしては、例えばシャワーヘッドなど、様々なタイプのものを用いることができる。いくつかの実施形態ではガス分配アセンブリ320が、1つのインジェクタユニットで作られた剛性の静止体である。1つ又は複数の実施形態では、ガス分配アセンブリ320が、複数の個々のセクタから構成されている。ワンピースボディ又はマルチセクタボディのいずれかを、本開示の1つ又は複数の実施形態で使用することができる。
[0038]サセプタアセンブリ340は、ガス分配アセンブリ320の下方に配置される。サセプタアセンブリ340は、上面341と、上面341に設けられた少なくとも1つの凹部342とを含む。サセプタアセンブリ340はまた、底面343及び端部144を有する。凹部342は、処理される基板60の形状及びサイズに応じて、任意の適切な形状及びサイズであり得る。図3に示す実施形態では凹部342が、基板の底部を支持するために平坦な底部を有する。しかしながら、凹部の底部は、様々であり得る。いくつかの実施形態では凹部が、凹部の外周端部の周りに、基板の外周端部を支持する大きさのステップ領域を有する。ステップにより支持される基板の外周端部の量は、例えば基板の厚さ、及び基板の裏面に既に存在するフィーチャの存在によって変わり得る。
[0039]いくつかの実施形態では図3に示すように、サセプタアセンブリ340の上面341の凹部342は、凹部342に支持された基板60が、サセプタ340の上面341と実質的に共平面の上面261を有するようにサイズ決めされる。本明細書及び添付の特許請求の範囲で使用する場合、「実質的に共平面(substantially coplanar)」という用語は、基板の上面とサセプタアセンブリの上面が±0.2mm以内で共平面であることを意味する。いくつかの実施形態では上面が、±0.15mm以内、±0.10mm以内、又は±0.05mm以内で共平面である。
[0040]図3のサセプタアセンブリ340は、サセプタアセンブリ340を上昇、下降及び回転させることができる支持柱360を含む。サセプタアセンブリは、支持柱360の中心部内に加熱器又はガスライン又は電気部材を含むことができる。支持柱360は、サセプタアセンブリ340とガス分配アセンブリ320との間のギャップを増加又は減少させ、サセプタアセンブリ340を適切な位置に移動させる主な手段であり得る。サセプタアセンブリ340はまた、サセプタアセンブリ340とガス分配アセンブリ320との間に所定のギャップ370を形成するためにサセプタアセンブリ340に微細な調節(micro-adjustments)を行うことができる微調整アクチュエータ362を含むこともできる。
[0041]いくつかの実施形態では、ギャップ370の距離が、約4.5mm~約25.0mmの範囲、又は約4.5mm~約20.0mmの範囲、又は約4.5mm~約15mmの範囲、又は約4.5mm~約10mmの範囲、又は約5mm~約25mmの範囲、又は約5mm~約20mmの範囲、又は約5mm~約15mmの範囲、又は約5mm~約10mmの範囲にある。
[0042]1つ又は複数の実施形態に従って示された処理チャンバ300は、サセプタアセンブリ340が複数の基板60を保持することができるカルーセル型チャンバである。
[0043]本開示の実施形態は、基板上に膜を形成するための処理方法を対象としている。第1の実施形態では、基板上に膜を形成する方法は、第1サイクルにおいて、化学蒸着プロセスを用いてチャンバ内の基板上にSiB層を堆積することを含み、基板はその上に少なくとも1つのフィーチャを有し、少なくとも1つのフィーチャは上面、下面及び側壁を含み、SiB層は上面、下面及び側壁に形成される。本方法は、第2サイクルにおいてSiB層を、窒素含有ガスを含むプラズマで処理して、コンフォーマルなSiBN膜を形成することをさらに含む。いくつかの実施形態ではフィーチャが、上面から深さDまで下面へと延びる上面の開口を含み、側壁は、フィーチャの幅Wを画定する第1の側壁及び第2の側壁を含む。特定の実施形態では、化学蒸着プロセスが、シラン及びジボランをチャンバに流すことを含む。他の実施形態ではフィーチャが、メサの両側に2つの側壁を有するメサと、上面及び下面によって画定される高さと、側壁間の距離によって画定される幅とを含む。
[0044]1つ又は複数の実施形態は、化学蒸着プロセスを終了させることと、チャンバを不活性ガスでパージすることと、チャンバをパージした後にSiB層をプラズマで処理することと、をさらに含む。いくつかの実施形態においてプラズマは、N及びArを含む。いくつかの実施形態ではプラズマが、N及びHeを含む。いくつかの実施形態ではプラズマが、NHを含む。いくつかの実施形態においてプラズマは、Hをさらに含む。いくつかの実施形態では、Hを流すことで、誘電率などの膜特性を改善することができる。いくつかの実施形態において本方法は、300℃から550℃の範囲の温度で実施される。いくつかの実施形態において、化学蒸着プロセスは、シラン及びジボランをチャンバ内に流すことと、化学蒸着プロセスを終了させることと、チャンバを不活性ガスでパージすることと、チャンバをパージした後にSiB層をプラズマで処理することと、を含む。1つ又は複数の実施形態においてプラズマは、100Wから2kWの範囲にある無線周波数RFプラズマであり、特定の実施形態では、100Wから1kWの範囲にある。1つ又は複数の実施形態において、上述のギャップ370の距離は、約4.5mmから約25.0mmの範囲にあり、特定の実施形態では、5mmから15mmの範囲にある。1つ又は複数の実施形態において、プラズマ処理中の処理チャンバ内の圧力は、2トル(Torr)から50トルの範囲にあり、特定の実施形態では3トルから25トルの範囲にある。
[0045]1つ又は複数の実施形態によれば、基板が、層を形成する前及び/又は後に、処理に供される。この処理は、同じチャンバで、又は1つ若しくは複数の別個の処理チャンバで行うことができる。いくつかの実施形態では基板が、さらなる処理のために、第1のチャンバから、別個の第2のチャンバに移動される。基板は、第1のチャンバから直接、別個の処理チャンバに移動させることができ、又は第1のチャンバから1つ又は複数の移送チャンバに移動させ、その後、別個の処理チャンバに移動させることができる。よって処理装置は、移送ステーションと連通する複数のチャンバを含むことができる。この種の装置は、「クラスタツール」又は「クラスタシステム」などと呼ばれることがある。
[0046]一般にクラスタツールは、基板の中心探索及び配向、アニール、アニーリング、蒸着及び/又はエッチングを含む様々な機能を実行する複数のチャンバを含む、モジュール式システムである。1つ又は複数の実施形態によれば、クラスタツールが、少なくとも第1のチャンバ及び中央の移送チャンバを含む。中央の移送チャンバには、処理チャンバとロードロックチャンバとの間で基板をシャトル移動させるロボットが収容されていてよい。搬送チャンバは典型的には、真空状態に保たれ、1つのチャンバから別のチャンバへ、及び/又はクラスタツールの前端に配置されたロードロックチャンバへと、基板をシャトル移動させるための中間ステージをもたらす。本開示に適用可能な2つのよく知られたクラスタツールは、Centura(登録商標)及びEndura(登録商標)であり、どちらもカリフォルニア州サンタクララのApplied Materials, Inc.から入手できる。しかしながら、チャンバの正確な配置及び組み合わせは、本明細書に記載されるようなプロセスの特定のステップを実行するという目的のために、変更することができる。使用可能な他の処理チャンバとしては、循環層堆積(CLD)、原子層堆積(ALD)、化学蒸着(CVD)、物理蒸着(PVD)、エッチング、予備洗浄、化学洗浄、RTPのような熱処理、プラズマ窒化、アニール、配向、水酸化、及びその他の基板処理があるが、これらに限られない。チャンバ内のクラスタツールで処理を行うことにより、後続の膜を堆積させる前に酸化させることなく、大気中の不純物による基板表面の汚染を回避することができる。
[0047]1つ又は複数の実施形態によれば基板は、連続的に真空条件又は「ロードロック」条件下にあり、あるチャンバから次のチャンバに移動する際に周囲空気にさらされることがない。そのため、移送チャンバは真空状態にあり、真空圧力下で「ポンプダウン」される。不活性ガスは、処理チャンバ又は移送チャンバに存在し得る。いくつかの実施形態では、不活性ガスをパージガスとして使用し、反応体の一部又は全部を除去する。1つ又は複数の実施形態によれば、反応体が堆積チャンバから移送チャンバ及び/又は追加処理チャンバに移動するのを防止するために、堆積チャンバの出口でパージガスが注入される。こうして不活性ガスの流れにより、チャンバの出口でカーテンが形成される。
[0048]基板の処理は、1つの基板をロードして処理し、別の基板を処理する前にアンロードする1つの基板堆積チャンバ内で行うことができる。基板は、コンベアシステムと同様に連続的に処理することも可能であり、この場合、複数の基板がチャンバの第1部分内に個別にロードされ、チャンバを通って移動し、チャンバの第2部分からアンロードされる。チャンバの形状、及び関連するコンベアシステムの形状は、直線的な経路又は曲線的な経路を形成し得る。さらに、処理チャンバは、複数の基板が中心軸を中心に移動し、カルーセル経路全体で堆積、エッチング、アニール、洗浄などの処理にさらされるカルーセルであり得る。
[0049]処理中に、基板を加熱又は冷却することができる。このような加熱又は冷却は、任意の適切な手段(基板支持体の温度を変化させること、及び基板に加熱又は冷却された気体を流すことが含まれるが、これらに限られない)により、達成することができる。いくつかの実施形態では、基板支持体が、基板温度を導電的に変化させるように制御可能な加熱器/冷却器を含む。1つ又は複数の実施形態では、用いられる気体(反応性ガス又は不活性ガスのいずれか)を加熱又は冷却して、基板温度を局所的に変化させることができる。いくつかの実施形態では、基板に隣接するチャンバ内に加熱器/冷却器が配置され、基板温度を対流により変化させる。
[0050]また、処理中に基板を静止又は回転させることもできる。回転する基板は、連続的に、又は注意深く段階的に回転させることができる。例えば、プロセス全体を通して基板を回転させること、又は様々な反応ガス又はパージガスへの曝露の間に基板を少量だけ回転させることができる。処理中に基板を回転させる(連続的又は段階的のいずれか)ことにより、例えばガス流幾何学形状の局所的なばらつきによる作用が最小限になり、より均一な堆積又はエッチングをもたらすのに役立ち得る。
[0051]本明細書全体を通して、「1つの実施形態」、「特定の実施形態」、「1つ又は複数の実施形態」、又は「ある実施形態」への言及は、実施形態との関連で説明される特定の特徴、構造、材料又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。よって、本明細書を通して各所で「1つ又は複数の実施形態において」、「特定の実施形態において」、「1つの実施形態において」、又は「ある実施形態において」といった表現が現れるのは、必ずしも本開示の同じ実施形態を指しているわけではない。さらに、特定の特徴、構造、材料又は特性は、1つ又は複数の実施形態において、任意の適切なやり方で組み合わせることができる。
[0052]本明細書の開示について、特定の実施形態を参照しながら説明してきたが、これらの実施形態は、本開示の原理及び適用を単に例示するものであることが理解されるべきである。本開示の思想及び範囲から逸脱しない限り、本開示の方法及び装置に様々な修正及び変更を加えることができることは、当業者にとって明らかであろう。よって本開示は、添付の特許請求の範囲及びその均等物の範囲内にある修正及び変形を含むことが意図されている。

Claims (20)

  1. 基板上に膜を形成する方法であって、
    第1サイクルにおいて、化学蒸着プロセスを用いてチャンバ内で基板上にSiB層を堆積させることであって、前記基板は、その上に少なくとも1つのフィーチャを有し、前記少なくとも1つのフィーチャは、上面、底面及び側壁を有し、前記SiB層が、前記上面、前記底面及び前記側壁に形成される、SiB層を堆積させることと、
    第2サイクルにおいて、前記SiB層を、窒素含有ガスを含むプラズマで処理して、コンフォーマルなSiBN膜を形成することと、
    を含む、方法。
  2. 前記化学蒸着プロセスの一回のサイクルが、約10Å~約30Åの範囲の厚さを有する膜を堆積させる、請求項1に記載の方法。
  3. 前記化学蒸着プロセスの一回のサイクルが、約10Å~約25Åの範囲の厚さを有する膜を堆積させる、請求項1に記載の方法。
  4. 前記化学蒸着プロセスの一回のサイクルが、約15Å~約30Åの範囲の厚さを有する膜を堆積させる、請求項1に記載の方法。
  5. 前記化学蒸着プロセスの一回のサイクルが、約15Å~約25Åの範囲の厚さを有する膜を堆積させる、請求項4に記載の方法。
  6. 前記フィーチャが前記上面に、前記上面から深さDまで前記底面へと延びる開口部を備え、前記側壁が、前記フィーチャの幅Wを画定する第1の側壁及び第2の側壁を含む、請求項2に記載の方法。
  7. 前記膜は、前記上面の同じ膜の平均厚さに対する、前記フィーチャの前記第1の側壁及び前記第2の側壁に堆積された平均厚さの比率が、90%より大きい、請求項6に記載の方法。
  8. 前記膜は、前記上面の同じ膜の平均厚さに対する、前記フィーチャの前記第1の側壁及び前記第2の側壁に堆積された平均厚さの比率が、95%より大きい、請求項6に記載の方法。
  9. 前記化学蒸着プロセスが、シラン及びジボランを前記チャンバ内へ流すことを含む、請求項8に記載の方法。
  10. 前記化学蒸着プロセスを終了させることと、前記チャンバを不活性ガスでパージすることと、前記チャンバをパージした後、前記SiB層をプラズマで処理することと、を更に含む、請求項9に記載の方法。
  11. 前記プラズマが、N、Ar、He及びNHのうち1つ又は複数を含む、請求項10に記載の方法。
  12. 前記プラズマが、更にHを含む、請求項11に記載の方法。
  13. 300℃~550℃の範囲の温度で行われる、請求項11に記載の方法。
  14. 前記フィーチャが、メサの両側に2つの側壁を有するメサと、前記上面及び前記底面によって画定される高さと、前記側壁同士の間の距離により画定される幅とを有する、請求項2に記載の方法。
  15. 前記膜は、前記上面の同じ膜の平均厚さに対する、前記フィーチャの前記側壁に堆積された平均厚さの比率が、90%より大きい、請求項14に記載の方法。
  16. 前記膜は、前記上面の同じ膜の平均厚さに対する、前記フィーチャの前記側壁に堆積された平均厚さの比率が、95%より大きい、請求項14に記載の方法。
  17. 前記化学蒸着プロセスが、シラン及びジボランを前記チャンバ内へ流すことと、前記化学蒸着プロセスを終了させることと、前記チャンバを不活性ガスでパージすることと、前記チャンバをパージした後、前記SiB層をプラズマで処理することと、を含む、請求項16に記載の方法。
  18. 前記プラズマが、N、Ar、He及びNHのうち1つ又は複数を含む、請求項17に記載の方法。
  19. 基板上に膜を形成する方法であって、該方法は、
    第1サイクルにおいて、化学蒸着プロセスを用いてチャンバ内で基板上にSiB層を堆積させることであって、前記基板は、その上に少なくとも1つのフィーチャを有し、前記少なくとも1つのフィーチャは、上面、底面及び側壁を有し、前記SiB層が、前記上面、前記底面及び前記側壁に形成される、SiB層を堆積させることと、
    第2サイクルにおいて、前記SiB層を、窒素含有ガスを含むプラズマで処理して、コンフォーマルなSiBN膜を形成することと、
    を含み、前記化学蒸着プロセスの一回のサイクルが、約10Å~約30Åの範囲の厚さを有する膜を堆積させ、300℃~550℃の範囲の温度で行われる、方法。
  20. 基板上に膜を形成する方法であって、該方法は、
    第1サイクルにおいて、化学蒸着プロセスを用いてチャンバ内で基板上にSiB層を堆積させることであって、前記基板は、その上に少なくとも1つのフィーチャを有し、前記少なくとも1つのフィーチャは、上面、底面及び側壁を有し、前記SiB層が、前記上面、前記底面及び前記側壁に形成される、SiB層を堆積させることと、
    前記チャンバを不活性ガスでパージすることと、
    第2サイクルにおいて、前記SiB層を、Ar、N、He、NH及びH2のうち1つ又は複数を含有するガスを含むプラズマで処理して、コンフォーマルなSiBN膜を形成することと、
    を含み、前記化学蒸着プロセスの一回のサイクルが、約10Å~約30Åの範囲の厚さを有する膜を堆積させ、300℃~550℃の範囲の温度で行われる、方法。
JP2023516165A 2020-09-11 2021-09-08 ケイ素ホウ素窒化物膜の堆積 Pending JP2023541395A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/018,173 US11515145B2 (en) 2020-09-11 2020-09-11 Deposition of silicon boron nitride films
US17/018,173 2020-09-11
PCT/US2021/049375 WO2022055936A1 (en) 2020-09-11 2021-09-08 Deposition of silicon boron nitride films

Publications (1)

Publication Number Publication Date
JP2023541395A true JP2023541395A (ja) 2023-10-02

Family

ID=80627955

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023516165A Pending JP2023541395A (ja) 2020-09-11 2021-09-08 ケイ素ホウ素窒化物膜の堆積

Country Status (6)

Country Link
US (1) US11515145B2 (ja)
JP (1) JP2023541395A (ja)
KR (1) KR20230062869A (ja)
CN (1) CN116075602A (ja)
TW (1) TW202212612A (ja)
WO (1) WO2022055936A1 (ja)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000332012A (ja) 1999-05-19 2000-11-30 Sony Corp シリコン窒化膜の成膜方法
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
KR20140147086A (ko) 2012-02-14 2014-12-29 노벨러스 시스템즈, 인코포레이티드 반도체 디바이스 애플리케이션들을 위한 실리콘 질화물 막들
JP6456185B2 (ja) * 2015-02-26 2019-01-23 東京エレクトロン株式会社 シリコン含有膜の成膜方法
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
US11011371B2 (en) 2016-12-22 2021-05-18 Applied Materials, Inc. SiBN film for conformal hermetic dielectric encapsulation without direct RF exposure to underlying structure material

Also Published As

Publication number Publication date
WO2022055936A1 (en) 2022-03-17
US20220084809A1 (en) 2022-03-17
US11515145B2 (en) 2022-11-29
KR20230062869A (ko) 2023-05-09
TW202212612A (zh) 2022-04-01
CN116075602A (zh) 2023-05-05

Similar Documents

Publication Publication Date Title
US10319583B2 (en) Selective deposition of silicon nitride films for spacer applications
US10134581B2 (en) Methods and apparatus for selective dry etch
US20170114465A1 (en) Methods Of Depositing Flowable Films Comprising SiO and SiN
US20170053792A1 (en) High Temperature Thermal ALD Silicon Nitride Films
US10147599B2 (en) Methods for depositing low K and low wet etch rate dielectric thin films
US20220172989A1 (en) Nucleation-Free Gap Fill ALD Process
TW202028509A (zh) 沉積氮化矽的方法
US20220238331A1 (en) Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma
JP2020530198A (ja) 金属酸化物の後処理の方法
US11515145B2 (en) Deposition of silicon boron nitride films
US11978625B2 (en) Methods of forming metal nitride films
US20220375747A1 (en) Flowable CVD Film Defect Reduction