CN114144866A - 可流动cvd膜的表面粗糙度 - Google Patents

可流动cvd膜的表面粗糙度 Download PDF

Info

Publication number
CN114144866A
CN114144866A CN202080052952.1A CN202080052952A CN114144866A CN 114144866 A CN114144866 A CN 114144866A CN 202080052952 A CN202080052952 A CN 202080052952A CN 114144866 A CN114144866 A CN 114144866A
Authority
CN
China
Prior art keywords
substrate
flowable cvd
cvd film
tsa
substrate surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080052952.1A
Other languages
English (en)
Inventor
郭津睿
梁璟梅
P·P·杰哈
L·张
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN114144866A publication Critical patent/CN114144866A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

用于在沉积可流动CVD膜之前在基板表面上进行表面处理来形成平滑的超薄可流动CVD膜的方法可改进均匀性和整体的膜平滑度。可透过任何合适的固化工艺来固化可流动CVD膜,以形成平滑的可流动CVD膜。

Description

可流动CVD膜的表面粗糙度
技术领域
本公开内容总体上涉及沉积薄膜的方法。特别地,本公开内容涉及用于改进可流动化学气相沉积(CVD)薄膜的表面粗糙度的工艺。
背景技术
在微电子器件制造中,对于许多应用而言,需要无空隙地填充深宽比(AR)大于10:1的窄沟槽。一种应用用于浅沟槽隔离(STI)。对于此种应用,膜需要在整个沟槽中具有高品质(例如,具有小于二的湿法蚀刻速率比)且渗漏要非常低。随着结构尺寸减小和深宽比增加,所沉积的可流动CVD膜的后固化方法变得困难。这导致整个所填充的沟槽中的膜具有变化的组成。
介电膜的常规的等离子体增强化学气相沉积(PECVD)在狭窄沟槽的顶部上形成“蘑菇形状”膜。这是由于等离子体无法穿透进入深的沟槽。这导致从顶部封住狭窄沟槽;在沟槽的底部形成空隙。
可流动化学气相沉积(FCVD)已被广泛地用于先进世代的半导体器件中。随着特征尺寸减小,与先前节点(例如,
Figure BDA0003482188980000011
Figure BDA0003482188980000012
)相比,可大幅减少FCVD膜的所需的间隙填充量。沉积具有平滑并且均匀的表面以及高间隙填充性能的FCVD膜是至关重要但相当困难的。因此,需要改进可流动CVD膜的表面粗糙度的方法。
发明内容
本公开内容中的一个或多个实施例涉及处理方法。在一个实施例中,工艺方法包括:用等离子体对基板表面进行预处理,以形成平滑的预处理基板表面;通过将所述预处理基板表面暴露于前驱物和反应物,在所述预处理基板表面上形成可流动CVD膜;以及固化所述可流动CVD膜。
本公开内容的其他实施例涉及处理方法,所述处理方法包括:用等离子体对基板表面进行预处理,以形成平滑的预处理基板表面;使三硅基胺(TSA)在经预处理的基板之上流动,随后使氨(NH3)流动以形成经处理的基板;通过将所述经处理的基板暴露于前驱物和反应物,在所述经处理的基板上形成可流动CVD膜,所述可流动CVD膜的厚度在约5nm至约50nm的范围内;以及固化所述可流动CVD膜。
本公开内容的进一步实施例涉及处理方法,所述处理方法包括:用等离子体对基板表面进行预处理,以形成平滑的预处理基板表面;使三硅基胺(TSA)在经预处理的基板之上流动,随后使氨(NH3)和氧(O2)流动以形成可流动CVD膜,所述可流动CVD膜的厚度在约5nm至约50nm的范围内;去除氧(O2);停止氨(NH3)的流动,同时继续使TSA在经处理的基板之上流动;以及固化所述可流动CVD膜。
附图说明
为更详细地理解本发明的上述特征,可通过参考实施例来对简要概述如上的本发明进行更具体的描述,所述实施例中的一些实施例示出在附图中。然而应注意到,附图仅示出本发明的典型实施例,且因此不应被视为对本发明的范围的限制,这是因为本发明还允许其他等效的实施例。
图1为根据本公开内容的一个或多个实施例的基板的剖面图。
图2为根据本公开内容的一个或多个实施例的基板的剖面图。
具体实施方式
在描述本发明的几个示例性实施例之前,应理解到本发明并不限于下文中所叙述的构造或工艺步骤的细节。本发明还能有其他实施例,并且能通过各种方式来实践或执行。
如本文中使用的“基板”指在制造工艺于其上进行膜处理的任何基板或形成于基板上的材料表面。例如,能够于其上进行处理的基板表面包括诸如硅、氧化硅、应变硅、绝缘体上覆硅(SOI)、碳掺杂氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石等的材料,以及任何其他诸如金属、金属氮化物、金属合金及其他导电材料的材料,这视应用情况而定。基板包括但不限于半导体晶片。可将基板暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟基化、退火、UV固化、电子束固化和/或烘烤基板表面。除了直接在基板本身的表面上所进行的膜处理之外,于本发明中所公开的任何膜处理步骤还可在形成于基板上的底层上进行,如以下详述,且用语“基板表面”旨在包括于上下文中所述的所述类底层。因此,例如,当膜/层或部分膜/层被沉积于基板表面上时,新沉积的膜/层的暴露表面即成为基板表面。
本公开内容的实施例提供了能改进可流动CVD膜的表面粗糙度的方法。一些实施例有利地提供了涉及可在群集工具环境中进行的循环沉积处理工艺的方法。一些实施例有利地提供了无接缝的高品质低粗糙度膜,其可用于填充具有小尺寸的高深宽比(AR)沟槽/特征。
在一个或多个实施例中,在可流动化学气相沉积(FCVD)之前在基板表面上的表面处理有利地改进了初始成核的均匀性,并改进了可流动CVD膜的整体平滑度。在一个或多个实施例中,发现到使用惰性或反应性气体进行等离子体处理是有效的。在一个或多个实施例中,等离子体预处理通过远程等离子体源(RPS)、电容耦合等离子体(CCP)或电感耦合等离子体(ICP)与诸如氩(Ar)、氦(He)、氨(NH3)、氮(N2)、氢(H2)或其混合物的周围环境所进行。在一个或多个实施例中,可通过改变引入反应物的顺序、改变反应物的流量比、以及改变在沉积期间反应物在腔室内的滞留时间来进一步改进初始成核平滑度。
在一个或多个实施例中,结束可流动CVD膜沉积的方式对于使沉积平滑至关重要。在一个或多个实施例中,于沉积结束时快速去除自由基残基并避免在非偏好的工艺状态中进行反应显著地降低表面粗糙度。
本公开内容的一个或多个实施例涉及其中沉积能填充高深宽比结构(例如,AR>8:1)的可流动CVD膜的工艺。本公开内容的多个实施例提供了在形成可流动CVD膜之前预处理基板表面以形成平滑表面的方法。
出于描述性目的,描述了应用于间隙填充地可流动CVD膜沉积。然而,本领域技术人员将理解,所描述的前驱物及方法并不限于间隙填充应用,且可用于任何可流动CVD膜的形成。图1示出具有特征110的基板100的局部剖面图。出于说明性目的,附图中示出具有单个特征的基板;然而,本领域技术人员将理解,还可存在有大于一个特征。特征110的形状可为任何合适的形状,包括但不限于沟槽和圆柱形通孔。如就此所使用的,用语“特征”意指任何刻意的表面不规则。特征的适当示例包括但不限于具有顶部、两个侧壁、和底部的沟槽、以及具有顶部和两个侧壁的尖峰。特征可具有任何合适的深宽比(特征深度与特征宽度的比值)。于一些实施例中,深宽比大于或等于约5:1、10:1、15:1、20:1、25:1、30:1、35:1或40:1。
在一个或多个实施例中,基板100具有基板表面120。至少一个特征110在基板表面120中形成开口。特征110从基板表面120延伸一深度D至底表面112。特征110具有界定特征110的宽度W的第一侧壁114及第二侧壁116。由侧壁与底部形成的开放区域还称为间隙。
本公开内容的一个或多个实施例涉及处理方法,其中提供了具有至少一个特征的基板表面。如本文中所述,用语“提供”意指将基板放置到适当的位置或环境中以供进一步处理。
如图2所示,在基板表面120、以及至少一个特征110的第一侧壁114、第二侧壁116、及底表面112上形成可流动CVD膜150。可流动CVD膜150填充了至少一个特征110,以使得实质上无接缝形成。接缝为在特征110的侧壁之间(但不一定是在正中间)所形成的间隙。如本文中所述,用语“实质上无接缝”意指在侧壁之间的膜中所形成的任何间隙皆小于侧壁横截面积的约1%。
可通过任何合适的工艺来形成可流动CVD膜150。在一些实施例中,通过等离子体辅助化学气相沉积(PECVD)来形成可流动CVD膜。换言之,可通过等离子体辅助化学气相沉积工艺来沉积可流动CVD膜。
本公开内容的实施例有利地提供了对基板表面进行预处理以形成平滑的预处理基板表面的方法,所述预处理基板表面可用于可流动CVD膜的沉积中。在一个或多个实施例中,使用等离子体对基板表面进行预处理以形成平滑的预处理基板表面,所述预处理基板表面具有促进平滑度的化学键。随后通过将预处理基板表面暴露于前驱物及反应物,在预处理基板表面上形成可流动CVD膜。然后将所述可流动CVD膜固化。
在一个或多个实施例中,用于预处理基板表面的等离子体包括氩(Ar)、氦(He)、氢(H2)、氮(N2)、氨(NH3)中的一者或多者。
在一个或多个实施例中,对基板表面的预处理在约5毫托(m托)至约100毫托的范围内的压力下进行。对基板表面的预处理可在约25℃(或环境温度)至约400℃的范围内的温度下进行。
本公开内容的实施例涉及处理方法,所述处理方法包括将预处理基板表面暴露于前驱物及共反应物以沉积可流动CVD膜。在一个或多个实施例中,前驱物包括三硅基胺(TSA)。因此,在一个或多个实施例中,使用例如氩(Ar)、氦(He)、氢(H2)、氮(N2)或氨(NH3)的等离子体对基板表面进行预处理,并且使三硅基胺(TSA)在预处理基板表面之上流动。在使TSA在预处理基板表面之上流动之后,使氨(NH3)在基板表面之上流动,以在基板表面上形成可流动CVD膜。在一个或多个实施例中,TSA/NH3的比率在约5:1至约30:1的范围内。
在一个或多个实施例中,在氧(O2)的存在下进行TSA和NH3的流动。在一个或多个实施例中,氧(O2)存在的目的是为了形成氧化硅(SiOx)膜。在一个或多个实施例中,氨(NH3)存在的目的是为了形成氮化硅(SiNx)膜。
在其他实施例中,使TSA及NH3流动是在实质上不存在氧(O2)的情况下进行。换言之,在一个或多个实施例中,当TSA及NH3在基板表面之上流动时,基板表面实质上不含有氧(O2)。如本文中所述,用语“实质上不存在”或“实质上不含有”意指基板表面周围的大气中所存在的氧少于5%,包括少于4%、少于3%、少于2%、少于1%、及少于0.5%。
在一个或多个实施例中,使TSA在基板表面之上流动以在基板上形成可流动CVD膜进行于约0.3托(Torr)至约1托的范围中的压力下。在一个或多个实施例中,在约25℃(或环境温度)至约100℃的温度范围内使TSA在基板表面之上流动以在基板上形成可流动CVD膜(即,形成经处理的基板)。
在一个或多个实施例中,形成于预处理基板表面上的可流动CVD膜的厚度在约5nm至约50nm的范围内,包括约10nm、约15nm、约20nm、约25nm、约30nm、约35nm、约40nm、约45nm。在一个或多个实施例中,可流动CVD膜为超薄的且具有小于或等于约50nm的厚度。
在一些实施例中,处理方法进一步包括从基板环境去除氧(O2)并停止氨(NH3)的流动,同时继续使三硅基胺(TSA)在形成有可流动CVD膜的经处理基板之上流动。在不旨在受理论束缚的前提下,据信此终结工艺能够改进可流动CVD膜的表面粗糙度。在一个或多个实施例中,原子力显微镜(AFM)图像示出粗糙度改进了至少三到四倍。
在一个或多个实施例中,可将三硅基胺(TSA)前驱物汽化至CVD腔室,并将合适的共反应物(例如,氨(NH3)、氧(O2)、二氧化碳(CO2)、一氧化碳(CO)、氩(Ar)、氦(He)、氢(H2)或其任意组合)通过例如远程等离子体源(RPS)输送至所述腔室,远程等离子体源可产生等离子体活性物种作为共反应物。经等离子体活化的共反应物分子(自由基)具有高能量,并且可于气相中与三硅基胺(TSA)前驱物分子反应,形成相应的可流动聚合物。在一些实施例中,使用包括NH3、O2、CO2、CO、Ar、He、H2中的一者或多者的等离子体气体来产生等离子体。
在一个或多个实施例中,可于处理腔室内产生或点燃等离子体(例如,直接等离子体),或可于处理腔室外产生等离子体并使其流入处理腔室内(例如,远程等离子体)。
参照图2,可于任何合适的温度下形成可流动CVD膜150。在一些实施例中,可流动CVD膜150于约10℃至约100℃的范围中的温度下形成。可将温度维持在较低温,以保留所形成的器件的热预算。于一些实施例中,形成可流动CVD膜于低于约300℃、250℃、200℃、150℃、100℃、75℃、50℃、25℃或0℃的温度下进行。
可通过改变反应性气体的组成来调整可流动CVD膜的组成。在一些实施例中,可流动CVD膜包括碳化硅(SiC)、碳氧化硅(SiOC)、碳氮化硅(SiCN)、碳氮氧化硅(SiOCN)、氧化硅(SiO)、及氮化硅(SiN)中中的一者或多者。为形成含氧膜,共反应物可包括例如氧、臭氧、水中的一者或多者。为形成含氮膜,共反应物可包括例如氨、联胺、NO2、N2中的一者或多者。为形成含碳膜,反应性气体可包括例如丙烯及乙炔中的一者或多者。本领域技术人员将理解,可将物种的组合或其他物种包括于反应性气体混合物中以改变可流动CVD膜的组成。
可流动CVD膜可沉积于晶片上(晶片的温度可为-10℃至200℃),并由于其流动性,聚合物将会流动通过沟槽并且填充间隙。随后对这些膜进行诸如臭氧/UV/蒸汽退火/NH3退火之类的固化步骤,以获得稳定的膜。在一个或多个实施例中,在形成可流动CVD膜150之后,可将膜固化以使可流动CVD膜凝固并形成实质上无接缝的间隙填充。在一个或多个实施例中,固化可流动CVD膜包括将可流动CVD膜暴露于臭氧、UV光、蒸汽退火、氨退火、氧等离子体中的一者或多者。在一些实施例中,通过将可流动CVD膜暴露于UV固化工艺中来固化所述可流动CVD膜。UV固化工艺可在约10℃至约550℃的范围内的温度下进行。可于充分固化可流动CVD膜所需的任何适当时间范围内进行UV固化工艺。可用不同的参数(例如,功率、温度、环境)来执行UV固化。在一些实施例中,UV固化在乙炔/乙烯环境中进行。
在一些实施例中,固化可流动CVD膜包括热退火。可于任何合适的温度和任何合适的环境下进行热退火。在一些实施例中,可流动CVD膜通过在乙炔/乙烯环境中的热退火而固化。
在一些实施例中,固化可流动CVD膜包括暴露于等离子体或电子束。用于固化膜的等离子体暴露包括PECVD等离子体以外的等离子体。等离子体物种及处理腔室可为相同,但等离子体固化为与PECVD工艺不同的步骤。
在一些实施例中,固化可流动CVD膜包括将可流动CVD膜暴露于蒸汽退火和/或氧等离子体。使用蒸汽退火和/或氧等离子体能够减少可流动CVD膜的碳含量,使得固化后的膜具有比刚沉积时的可流动CVD膜更低的碳含量。使用蒸汽退火和/或氧等离子体可将所沉积的可流动SiC、SiCN、或SiOC膜转变为SiO。
在一些实施例中,可将三硅基胺(TSA)前驱物在可流动的过程中与另一前驱物一起使用(例如,与另一种含硅前驱物共流),以沉积各种组成的膜。例如,含有硅及烃基的前驱物可与三硅基胺(TSA)/NH3工艺一起使用,以将碳并入可流动CVD膜中。在一个或多个实施例中,由TSA/NH3工艺获得的可流动CVD膜为SiO或SiN膜。通过添加包括碳及硅的前驱物,可沉积SiOC、SiCON、或SiCN膜。
在一些实施例中,可流动CVD膜可掺杂有另一元素。例如,在一个或多个实施例中,可流动CVD膜可掺杂有硼(B)、砷(As)、或磷(P)中的一者或多者。可流动CVD膜可掺杂有硼(B)及磷(P)之类的元素以改进膜性质。含有硼及磷的前驱物可于沉积工艺器件与三硅基胺(TSA)及氨(NH3)前驱物共流,或可于沉积完成后渗入。含硼前驱物可为氨基硼烷/硼烷化合物,而含磷前驱物可为磷酸/亚磷酸化合物。在一些实施例中,掺杂可流动CVD膜包括使掺杂剂前驱物与三硅基胺(TSA)及氨(NH3)前驱物共流。在一些实施例中,掺杂可流动CVD膜包括于个别工艺中注入掺杂元素。
根据一个或多个实施例,在形成层之前和/或之后对基板进行处理。所述处理可于相同腔室中、或于一个或多个个别处理腔室中进行。在一些实施例中,将基板从第一腔室移至个别的第二腔室以进行进一步处理。基板可直接从第一腔室移至个别的处理腔室,或可从第一腔室移至一个或多个传送腔室,然后再移至个别的处理腔室。因此,处理设备可包括与传送站连通的多个腔室。此类设备可称为“群集工具”或“群集系统”等。
通常,群集工具为包括多个腔室的模组化系统,所述多个腔室执行各种功能,包括基板的定中心和定向、脱气、退火、沉积、等离子体处理、UV固化和/或蚀刻。根据一个或多个实施例,群集工具至少包括第一腔室及中央传送腔室。中央传送腔室可容纳机器人,所述机器人可于处理腔室及装载锁定腔室之间传送基板。传送腔室通常保持在真空条件下,并且提供中间阶段,用于将基板从一个腔室传送至另一腔室和/或位于群集工具前端的装载锁定腔室。适用于本公开内容的两个知名群集工具为
Figure BDA0003482188980000081
Figure BDA0003482188980000082
两者皆可从美国加州圣塔克拉拉的应用材料公司获得。然而,为了进行如本公开内容所述工艺的特定步骤,可变更腔室的实际配置及组合。其他可使用的处理腔室包括但不限于循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、诸如RTP之类的热处理、等离子体氮化、脱气、定向、羟基化及其他基板处理工艺。通过在群集工具的腔室中进行工艺,可在无需于沉积后续膜之前进行氧化的情况下避免基板表面受到大气中的杂质污染。
根据一个或多个实施例,基板连续地处于真空或“装载锁定”条件下,且当从一个腔室移动至另一个腔室时,不暴露于环境空气中。因此,传送腔室处于真空环境,并且在真空压力下被“抽空”。惰性气体可存在于处理腔室或传输腔室中。在一些实施例中,惰性气体用作净化气体以除去部分或全部反应物。根据一个或多个实施例,在沉积腔室的出口注入净化气体以防止反应物从沉积腔室移动至转移腔室和/或其他的处理腔室。因此,惰性气体流在腔室的出口形成帘幕。
基板可在单个基板沉积腔室中进行处理,于所述基板沉积腔室中,单个基板被装载、处理、并卸载,然后再处理下一个基板。基板还可通过类似输送带系统的连续方式进行处理,其中多个基板分别被装载至腔室的第一部分、移动通过腔室、并从腔室的第二部分卸载。腔室的形状及相关的输送带系统可形成直线路径或弯曲路径。另外,处理腔室可为圆盘传送带,其中多个基板绕着中心轴移动并在整个圆盘传送带路径上暴露于沉积、蚀刻、退火、清洁等工艺。
于处理期间,可加热或冷却基板。此类加热或冷却可通过任何合适的方式实现,包括但不限于改变基板支撑件的温度、以及使经加热或冷却的气体流至基板表面。在一些实施例中,基板支撑件包括加热器/冷却器,所述加热器/冷却器可被控制以传导的方式改变基板温度。在一个或多个实施例中,所使用的气体(反应性气体或惰性气体)被加热或冷却以局部地改变基板温度。在一些实施例中,加热器/冷却器系被设置于腔室内邻近基板表面处,以对流的方式改变基板温度。
在处理期间,基板还可为静止的或旋转的。旋转的基板可(绕着基板轴线)连续地旋转、或以不连续的步骤旋转。例如,可于整个处理过程中旋转基板,或可在暴露于不同的反应性气体或净化气体之间使基板进行少量旋转。在处理过程中(无论是连续地或逐步地)旋转基板可通过使例如气流几何形状的局部变异影响最小化,以帮助产生更均匀的沉积或蚀刻。
在本公开内容整个说明书中,“一个实施例”、“某些实施例”、“一个或多个实施例”或“一实施例”意指结合所述实施例所描述的特定特征、结构、材料或特性包括于本公开内容的至少一个实施例。因此,于本公开内容整个说明书中各处出现的诸如“在一个或多个实施例中”、“在某些实施例中”、“在一个实施例中”或“在一实施例中”等用语不一定是指本公开内容中的相同实施例。此外,在一个或多个实施例中,可以以任何合适的方式来组合特定的特征、结构、材料或者特性。
尽管已参考特定实施例对本公开内容进行描述,但是应当理解到所述实施例仅为本公开内容的原理及应用的说明。对于本领域技术人员将明显的是,在不脱离本公开内容的精神和范围的情况下,可对本公开内容的方法及装置进行各种修改及变化。因此,本公开内容旨在包括在所附的权利要求及其等效方案的范围内的修改及变化。

Claims (20)

1.一种处理方法,包括:
用等离子体对基板表面进行预处理,以形成平滑的预处理基板表面;
通过将所述预处理基板表面暴露于前驱物和反应物,来在所述预处理基板表面上形成可流动CVD膜;以及
固化所述可流动CVD膜。
2.如权利要求1所述的方法,其中所述等离子体包括氩(Ar)、氦(He)、氢(H2)、氮(N2)、氨(NH3)中的一者或多者。
3.如权利要求1所述的方法,其中对所述基板表面进行预处理在约5毫托至约100毫托的范围中的压力下进行。
4.如权利要求3所述的方法,其中对所述基板表面进行预处理在约25℃至约400℃的范围中的温度下进行。
5.如权利要求1所述的方法,进一步包括:在形成可流动CVD膜之前,使三硅基胺(TSA)在经预处理的基板之上流动,随后使氨(NH3)流动以形成经处理的基板。
6.如权利要求5所述的方法,其中TSA/NH3的比率在约5:1至约30:1的范围中。
7.如权利要求5所述的方法,其中在氧(O2)的存在下进行使TSA和NH3流动。
8.如权利要求5所述的方法,其中使TSA和NH3流动是在实质上不存在氧(O2)的情况下进行。
9.如权利要求5所述的方法,其中形成所述经处理的基板在约0.3托至约1托的范围中的压力下进行。
10.如权利要求9所述的方法,其中形成所述经处理的基板在约25℃至约100℃的范围中的温度下进行。
11.如权利要求1所述的方法,其中所述可流动CVD膜具有在约5nm至约50nm的范围中的厚度。
12.如权利要求7所述的方法,进一步包括:
去除氧(O2);以及
停止氨(NH3)的流动,同时继续使TSA在经处理的基板之上流动。
13.一种处理方法,包括:
用等离子体对基板表面进行预处理,以形成平滑的预处理基板表面;
使三硅基胺(TSA)在经预处理的基板之上流动,随后使氨(NH3)流动以形成经处理的基板;
通过将所述经处理的基板暴露于前驱物和反应物,在所述经处理的基板上形成可流动CVD膜,所述可流动CVD膜具有在约5nm至约50nm的范围中的厚度;以及
固化所述可流动CVD膜。
14.如权利要求13所述的方法,其中TSA/NH3的比率在约5:1至约30:1的范围中。
15.如权利要求13所述的方法,其中在氧(O2)的存在下进行使TSA和NH3流动。
16.如权利要求13所述的方法,其中TSA和NH3的流动是在实质上不存在氧(O2)的情况下进行。
17.如权利要求13所述的方法,其中形成经处理的基板在约0.3托至约1托的范围中的压力下进行。
18.如权利要求17所述的方法,其中形成经处理的基板在约10℃至约100℃的范围中的温度下进行。
19.如权利要求15所述的方法,进一步包括:
去除氧(O2);以及
停止氨(NH3)的流动,同时继续使TSA在经处理的基板之上流动。
20.一种处理方法,包括:
用等离子体对基板表面进行预处理,以形成平滑的预处理基板表面;
使三硅基胺(TSA)在经预处理的基板之上流动,随后使氨(NH3)和氧(O2)流动以形成可流动CVD膜,所述可流动CVD膜具有在约5nm至约50nm的范围中的厚度;
去除氧(O2);
停止氨(NH3)的流动,同时继续使TSA在经处理的基板之上流动;以及
固化所述可流动CVD膜。
CN202080052952.1A 2019-07-23 2020-07-17 可流动cvd膜的表面粗糙度 Pending CN114144866A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962877431P 2019-07-23 2019-07-23
US62/877,431 2019-07-23
US16/929,357 US11367614B2 (en) 2019-07-23 2020-07-15 Surface roughness for flowable CVD film
US16/929,357 2020-07-15
PCT/US2020/042473 WO2021016063A1 (en) 2019-07-23 2020-07-17 Surface roughness for flowable cvd film

Publications (1)

Publication Number Publication Date
CN114144866A true CN114144866A (zh) 2022-03-04

Family

ID=74190533

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080052952.1A Pending CN114144866A (zh) 2019-07-23 2020-07-17 可流动cvd膜的表面粗糙度

Country Status (5)

Country Link
US (1) US11367614B2 (zh)
KR (1) KR20220038099A (zh)
CN (1) CN114144866A (zh)
TW (1) TWI792005B (zh)
WO (1) WO2021016063A1 (zh)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9847222B2 (en) * 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
JP6761807B2 (ja) 2015-02-23 2020-09-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質薄膜を形成するための周期的連続処理
JP7168586B2 (ja) 2017-05-13 2022-11-09 アプライド マテリアルズ インコーポレイテッド 高品質のボイド充填法のための流動性堆積及び高密度プラズマ処理工程サイクル

Also Published As

Publication number Publication date
WO2021016063A1 (en) 2021-01-28
US20210028013A1 (en) 2021-01-28
TWI792005B (zh) 2023-02-11
US11367614B2 (en) 2022-06-21
KR20220038099A (ko) 2022-03-25
TW202120727A (zh) 2021-06-01

Similar Documents

Publication Publication Date Title
US11515149B2 (en) Deposition of flowable silicon-containing films
CN110476239B (zh) 使用反应性退火的间隙填充
US20170372919A1 (en) Flowable Amorphous Silicon Films For Gapfill Applications
CN110476222B (zh) 用于硅间隙填充的两步工艺
CN110546753B (zh) 高深宽比结构中的间隙填充的方法
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
US20220238331A1 (en) Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma
TWI792005B (zh) 可流動cvd薄膜之表面粗糙度
US20220375747A1 (en) Flowable CVD Film Defect Reduction
KR20220005659A (ko) 유동성 pecvd를 위한 낮은 증착 레이트들
US20230340661A1 (en) Gapfill Process Using Pulsed High-Frequency Radio-Frequency (HFRF) Plasma
TWI780922B (zh) 形成鎢支柱的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination