TW202242463A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW202242463A
TW202242463A TW110127039A TW110127039A TW202242463A TW 202242463 A TW202242463 A TW 202242463A TW 110127039 A TW110127039 A TW 110127039A TW 110127039 A TW110127039 A TW 110127039A TW 202242463 A TW202242463 A TW 202242463A
Authority
TW
Taiwan
Prior art keywords
die
optical
layer
photonic
waveguide
Prior art date
Application number
TW110127039A
Other languages
English (en)
Inventor
余振華
夏興國
丁國強
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202242463A publication Critical patent/TW202242463A/zh

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/1225Basic optical elements, e.g. light-guiding paths comprising photonic band-gap structures or photonic lattices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12002Three-dimensional structures
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12007Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind forming wavelength selective elements, e.g. multiplexer, demultiplexer
    • G02B6/12009Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind forming wavelength selective elements, e.g. multiplexer, demultiplexer comprising arrayed waveguide grating [AWG] devices, i.e. with a phased array of waveguides
    • G02B6/12019Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind forming wavelength selective elements, e.g. multiplexer, demultiplexer comprising arrayed waveguide grating [AWG] devices, i.e. with a phased array of waveguides characterised by the optical interconnection to or from the AWG devices, e.g. integration or coupling with lasers or photodiodes
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/4238Soldering
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/4245Mounting of the opto-electronic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • H01L25/167Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits comprising optoelectronic devices, e.g. LED, photodiodes
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/1213Constructional arrangements comprising photonic band-gap structures or photonic lattices
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • G02B2006/12173Masking
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • G02B2006/12176Etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Optics & Photonics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Optical Integrated Circuits (AREA)
  • Optical Couplings Of Light Guides (AREA)
  • Semiconductor Lasers (AREA)

Abstract

本文描述一種半導體裝置及一種形成半導體裝置的方法。一種方法包括在第二材料層與半導體基底之間設置第一材料層,以及在第二材料層中形成第一波導。所述方法還包含在第一波導上方形成光子晶粒,以及在半導體基底中形成第一腔並暴露出第一層。一旦形成,就鄰近第一層用第一回填材料填充第一腔。所述方法更包含將電子晶粒電耦合到光子晶粒。一些方法包含將半導體裝置封裝在封裝組合件中。

Description

半導體裝置及其形成方法
本發明實施例是有關於一種半導體裝置及其形成方法。
電傳訊及處理已成為訊號傳輸及處理的主流技術。近年來,特別是由於使用光纖相關應用來進行訊號傳輸,光學傳訊及處理已在越來越多的應用中使用。
光學傳訊及處理通常與電傳訊及處理結合以提供成熟的應用程序。例如,光纖可用於長程訊號傳輸,且電訊號可用於短程訊號傳輸以及處理與控制。因此,形成了整合光學組件及電組件的裝置,以用於在光學訊號與電訊號之間進行轉換以及光學訊號與電訊號的處理。封裝因此可同時包含包括光學裝置的光學(光子)晶粒與包括電子裝置的電子晶粒。
本發明實施例提供一種半導體裝置的形成方法,其包括:在第二層與半導體基底之間設置第一層;在所述第二層中形成第一波導;在所述第一波導上方形成光子晶粒;在所述半導體基底中形成第一腔並暴露出所述第一層;用第一回填材料填充所述第一腔;以及將電子晶粒電耦合到所述光子晶粒。
本發明實施例提供一種半導體裝置的形成方法,其包括:形成與第一氧化物層相鄰的第一波導;形成與所述第一波導相鄰且光耦合到所述第一波導的光子晶粒;將電子晶粒混合接合到所述光子晶粒;以及相對於所述第一波導鄰近所述第一氧化物層形成第二波導,所述第二波導通過所述第一氧化物層光耦合到所述第一波導。
本發明實施例提供一種半導體裝置,其包括:第一氧化物基底結構、氧化物層、第一波導、光子晶粒以及電子晶粒。第一氧化物基底結構鄰近半導體基底。氧化物層位於所述半導體基底及所述第一氧化物基底結構上方。第一波導位於所述氧化物層上方。光子晶粒位於所述氧化物層上方且光耦合到所述第一波導。電子晶粒電耦合到所述光子晶粒。
以下揭露提供用於實現本發明的不同特徵的許多不同的實施例或實例。下文描述組件及佈置的特定實例以簡化本揭露。當然,這些僅是實例,並不意圖進行限制。例如,在隨後的描述中,在第二特徵上方或在第二特徵上的第一特徵的形成可包括其中第一特徵及第二特徵直接接觸形成的實施例,並且還可包括其中在第一特徵與第二特徵之間形成額外特徵,使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露可在各個實例中重複參考數字及/或參考字母。此重複是出於簡化及清楚的目的,並且其本身並不指示所討論的各種實施例及/或配置之間的關係。
此外,為了便於描述,在本文中可使用諸如「在...之下」、「在...下方」、「下部」、「在...上方」、「上部」等的空間相對性用語,以如圖所示描述一個元件或特徵與另一元件或特徵的關係。除了在圖中描繪的定向外,空間相對性用語還意圖涵括裝置在使用中或操作中的不同定向。所述設備可以其他方式定向(旋轉90度或其他定向),且本文中所用的空間相對性描述語可同樣地被相應地解釋。
現在將針對用於保護光子積體電路的敏感組件(例如光柵耦合器及波導)以及使用所述光子積體電路形成的封裝的特定方法及製程來描述實施例。然而,本文所討論的實施例意圖為代表性的,並且不意味以任何方式限制實施例。根據一些實施例,示出形成封裝的中間階段。討論一些實施例的一些變型。貫穿各種視圖及說明性實施例,相似的參考標號用於指示相似的元件。
圖1A及圖1B示出根據一些實施例的在形成第一光學引擎300(參見圖3)的中間步驟期間形成的中間結構的剖面圖。圖1B表示圖1A所示的中間結構的第一區域129的放大圖。
根據一些實施例,可藉由以下方式來形成第一光學引擎300。首先形成埋入式氧化物(buried oxide,「BOX」)基底103。埋入式氧化物基底103包括位於半導體基底103A上方的埋入式氧化物層103B及位於埋入式氧化物層103B上方的矽層103C。半導體基底103A可為例如諸如玻璃、陶瓷、介電質、半導體、其類似物或其組合的材料。在一些實施例中,半導體基底103A可為半導體基底,諸如塊狀半導體等,其可經(例如,以p型或n型摻雜劑)摻雜或未經摻雜。半導體基底103A可為晶圓,諸如矽晶圓(例如12吋矽晶圓等)。也可使用其他基底,例如多層式基底或梯度基底。在一些實施例中,半導體基底103A的半導體材料可包括矽;鍺;化合物半導體,包括:碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括:SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或其組合。埋入式氧化物層103B可為例如氧化矽等。在一些實施例中,埋入式氧化物層103B可具有在約0.5微米與約4微米之間的第一厚度Th1。矽層103C可為例如矽、氮化矽(SiN)等。在一些實施例中,矽層103C可具有在約0.1微米與約1.5微米之間的第二厚度Th2。然而,任何合適的厚度可用於第一厚度Th1及第二厚度Th2。埋入式氧化物基底103可被稱為具有前側或前表面(例如,圖1A中的面向上的一側)及背側或背表面(例如,在圖1A中的面向下的一側)。
在一些實施例中,可藉由以下方式來形成第一絕緣體穿孔(through insulator via,TIV)111。首先形成通孔開口,所述通孔開口延伸穿過圖案化的矽層103C、埋入式氧化物層103B中的一個或多者,並且延伸進入但不穿過半導體基底103A。可藉由可接受的微影及蝕刻技術形成通孔開口,例如藉由形成並圖案化光阻,然後使用圖案化的光阻作為蝕刻遮罩執行蝕刻製程。根據一些實施例,一旦形成了通孔開口,就在通孔開口中形成導電材料,從而形成第一絕緣體穿孔111。在一些實施例中,可在開口中由諸如TaN、Ta、TiN、Ti、CoW等形成諸如擴散障壁層、黏著層等的襯層(未示出),且襯層可使用諸如ALD等合適的沉積製程形成。在一些實施例中,可然後在通孔開口中沉積晶種層(未示出),晶種層可包括銅或銅合金。使用例如電化學鍍覆(electrochemical plating,ECP)或無電式鍍覆在通孔開口中形成第一絕緣體穿孔111的導電材料。導電材料可包括例如金屬或金屬合金,諸如銅、銀、金、鎢、鈷、鋁或其合金。可執行諸如CMP製程或機械研磨之類的平坦化製程以去除多餘的導電材料並將第一絕緣體穿孔111與所選擇的層(例如,所示的埋入式氧化物層103B,儘管可選擇任何合適的層)平坦化。
根據一些實施例,矽層103C被圖案化以形成根據一些實施例的第一波導105及/或第一光子組件107。可使用適當的微影及蝕刻技術來圖案化矽層103C。例如,在一些實施例中,可在矽層103C上方形成硬罩幕層(例如,氮化物層或其他介電材料,在圖1A中未示出)並將所述硬罩幕層圖案化。然後可使用一或多種蝕刻技術,例如乾蝕刻及/或濕蝕刻技術,將硬罩幕層的圖案轉移到矽層103C。例如,可蝕刻矽層103C以形成凹陷,矽層103C的其餘未凹陷部分形成一個或多個第一波導105。在形成多個第一波導105的情況下,多個第一波導105可為個別分開的波導或者可連接為單個連續結構(例如,連續環路)。圖案化的矽層103C在本文中也可稱為「光子層」。
第一光子組件107可與第一波導105整合,並且在第一光子組件107上方可形成接觸件。第一光子組件107可光學地耦合到第一波導105,以與第一波導105內的光學訊號相互作用。第一光子組件107可包括例如光柵耦合器、光偵測器及/或調變器。例如,光柵耦合器106A可光學地耦合到第一波導105,以將在第一波導105內的光學訊號向外傳輸(例如,傳輸到光纖413,見圖4),光偵測器106B可光學地耦合到第一波導105,以偵測在第一波導105內的光學訊號,且調變器106C可光學地耦合到第一波導105以藉由調變第一波導105內的光功率來在第一波導105內產生光學訊號。以這種方式,第一光子組件107有助於至第一波導105及來自第一波導105的光學訊號的輸入/輸出(I/O)。在其他實施例中,第一光子組件107可包括其他主動或被動組件,例如雷射二極體、波導邊緣耦合器、光學訊號分離器(splitter)或其他類型的光子結構或裝置。可藉由例如光纖413(見圖4)將光功率提供給第一波導105或者可藉由諸如雷射二極體的第一光子組件107產生光功率。
可使用可接受的微影及蝕刻技術形成光柵耦合器106A。在實施例中,在界定第一波導105之後形成光柵耦合器106A。例如,可在第一波導105上形成光阻並將所述光阻圖案化。可利用與光柵耦合器106A對應的開口將光阻圖案化。可使用圖案化的光阻作為蝕刻遮罩執行一個或多個蝕刻製程,以在第一波導105中形成界定光柵耦合器106A的凹陷。蝕刻製程可包括一種或多種乾蝕刻製程及/或濕蝕刻製程。
在一些實施例中,可藉由例如蝕刻第一波導105的區域並在蝕刻區域的餘留矽上生長磊晶材料形成光偵測器106B。可使用可接受的微影及蝕刻技術蝕刻第一波導105。磊晶材料可為例如諸如鍺(Ge)的半導體材料,其可經摻雜或未經摻雜。在一些實施例中,作為光偵測器106B的形成的一部分,可執行植入製程以將摻雜劑引入到蝕刻區域的矽內。蝕刻區域的矽可摻雜有p型摻雜劑、n型摻雜劑或其組合。
在一些實施例中,可藉由例如蝕刻第一波導105的區域,然後在蝕刻區域的餘留的矽內注入適當的摻雜劑形成調變器106C。可使用可接受的微影及蝕刻技術蝕刻第一波導105。在一些實施例中,可使用一個或多個相同的微影或蝕刻步驟形成用於光偵測器106B的蝕刻區域及用於調變器106C的蝕刻區域。蝕刻區域的矽可摻雜有p型摻雜劑、n型摻雜劑或其組合。在一些實施例中,可使用一個或多個相同的植入步驟來植入用於光偵測器106B的蝕刻區域及用於調變器106C的蝕刻區域。
根據一些實施例,在埋入式氧化物基底103的前側上形成鈍化層109。鈍化層109形成在被圖案化至矽層103C中的第一波導105與第一光子組件107上方並位於埋入式氧化物層103B上方。鈍化層109可由氧化矽、氮化矽、其組合等形成,且可藉由CVD、PVD、原子層沉積(ALD)、旋塗介電質製程等或其組合形成。在一些實施例中,鈍化層109可藉由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動化學氣相沉積(flowable CVD,FCVD)(例如,在遠端電漿系統中的基於CVD的材料沉積以及後固化以使其轉化為另一種材料,例如氧化物)等或其組合形成。可使用藉由任何可接受的製程形成的其他介電材料。在一些實施例中,然後使用諸如化學機械拋光(CMP)製程、研磨製程等平坦化製程來平坦化鈍化層109。
取決於光的波長與各自材料的反射率,由於第一波導105與鈍化層109的材料的折射率的差異,第一波導105具有高內部反射,從而使得光被限制在第一波導105內。在實施例中,第一波導105的材料的折射率高於鈍化層109的材料的折射率。
圖1A及圖1B還示出一系列導電特徵121、介電層119及接觸件113的形成,導電特徵121、介電層119及接觸件113作為光子晶粒117的一部分延伸到第一絕緣體穿孔111與第一光子組件107。在實施例中,光子晶粒117包括介電層119以及形成在介電層119中的接觸件113及導電特徵121,其在電子晶粒115與第一波導105及第一光子組件107之間提供互連及光/電路由。例如,光子晶粒117可將第一絕緣體穿孔111、第一光子組件107的接觸件113以及諸如電子晶粒115之類的上覆裝置電連接。介電層119可為例如絕緣層或鈍化層,且可包括與上文對於鈍化層109描述的材料類似的材料,例如氧化矽,或者可包括不同的材料。可使用與上文對於鈍化層109描述的那些技術類似的技術或使用不同的技術形成介電層119。導電特徵121可包括導線及通孔,且可藉由鑲嵌製程(例如雙鑲嵌、單鑲嵌等)形成。在光子晶粒117的最頂層中,在介電層119中形成導電接墊123。可在形成導電接墊123之後執行平坦化製程(例如,CMP製程等),使得導電接墊123的表面與最頂介電層119的表面實質上共面。光子晶粒117可包括比圖1所示更多或更少的介電層119、導電特徵121或導電接墊123。在一些實施例中,可形成具有在約4微米與約7微米之間的厚度的光子晶粒117。光子晶粒117在本文中也可被稱為P-晶粒或P-晶粒層。
例如,接觸件113可形成到諸如光偵測器106B及/或調變器106C的第一光子組件107的電連接。接觸件113允許將電功率或電訊號傳輸到第一光子組件107,並允許從第一光子組件107傳輸電訊號。以這種方式,第一光子組件107可將來自電子晶粒115的電訊號轉換成由第一波導105傳輸的光學訊號,及/或將來自第一波導105的光學訊號轉換成可被電子晶粒115接收的電訊號。可在形成第一絕緣體穿孔111之前或之後形成接觸件113,且接觸件113的形成及第一絕緣體穿孔111的形成可共享一些步驟,例如導電材料的沉積或平坦化。在一些實施例中,接觸件可藉由鑲嵌製程形成,例如,雙鑲嵌、單鑲嵌等。例如,在一些實施例中,首先使用可接受的微影及蝕刻技術在鈍化層109中形成用於接觸件113的開口(未示出)。然後可在開口中形成導電材料,從而形成接觸件113。接觸件113的導電材料可由包括鋁、銅、鎢等的金屬或金屬合金形成,其可與第一絕緣體穿孔111的導電材料相同。在其他實施例中,可使用其他技術或材料形成接觸件113。
根據一些實施例,對介電層119進行蝕刻以暴露出第一波導105的一部分。可使用可接受的微影及蝕刻技術蝕刻介電層119。蝕刻製程可為用於去除介電層119的材料而實質上不去除第一波導105的材料的任何合適的製程。一旦所述部分已被暴露出,則可使用間隙填充材料127的第一部分來填充及/或過度填充第一波導的第一部分。間隙填充材料127可由氧化矽、氮化矽、聚合物等或其組合形成。間隙填充材料127可藉由CVD、PVD、ALD、旋塗介電質製程等或其組合形成。在一些實施例中,間隙填充材料127可藉由HDP-CVD、FCVD等或其組合形成。然而,可使用藉由任何可接受的製程所形成的其他介電材料。
根據一些實施例,一旦沉積,間隙填充材料127可被平坦化。可使用諸如CMP製程、研磨製程等的平坦化製程來平坦化間隙填充材料127。平坦化製程可暴露出光子晶粒117,使得光子晶粒117的表面與間隙填充材料127的表面共面。
根據一些實施例,一旦形成光子晶粒117,就將電子晶粒115接合到光子晶粒117。電子晶粒115可為例如使用電訊號與第一光子組件107通信的半導體裝置、晶粒或晶片。在一些情況下,單個電子晶粒115被整合到第一光學引擎300中(如圖3所示)。然而,可將超過一個電子晶粒115整合到第一光學引擎300中,以便降低處理成本及/或裝置設備設計。電子晶粒115各自包括晶粒連接件125,其可為例如導電接墊、導電柱等。在一些實施例中,電子晶粒115的厚度可在約10微米與約35微米之間。
電子晶粒115可包括用於與第一光子組件107介接的積體電路,例如用於控制第一光子組件107的操作的電路。在一些實施例中,電子晶粒115還可包括CPU。在一些實施例中,電子晶粒115包括用於處理從第一光子組件107接收的電訊號(諸如從光偵測器106B接收的電訊號)的電路。電子晶粒115可包括控制器、驅動器、跨阻抗放大器(transimpedance amplifier)等或其組合。在一些實施例中,電子晶粒115可根據從另一裝置(諸如從計算封裝(例如,特定應用積體電路401,見圖4))接收的電訊號(數位或類比)來控制第一光子組件107的高頻傳訊。在一些實施例中,電子晶粒115可為提供串聯器/解串器(Serializer/Deserializer,SerDes)功能的電子積體電路(electronic integrated circuits,EIC)等。然而,可利用任何合適的功能。
在一些實施例中,電子晶粒115藉由混合接合被接合至光子晶粒117。在這樣的實施例中,在諸如介電層119的最頂層與電子晶粒115的表面介電層(未示出)的氧化物層之間形成共價鍵。在混合接合期間,金屬接合也發生在電子晶粒115的晶粒連接件125與光子晶粒117的導電接墊123之間。藉由使用混合接合將電子晶粒115接合到互連結構,可減小所得的第一光學引擎300的厚度,這可允許電子晶粒115、光子晶粒117與第一光子組件107之間的電訊號路徑得到改善。此佈置還可提供來改善光柵耦合器106A與光纖413之間的光耦合(見圖4)。另外,混合接合的使用可允許使用對光的相關波長透明的材料(例如,氧化矽)代替諸如包封體或模塑化合物的不透明材料。這允許光子晶粒117及電子晶粒115位於光柵耦合器106A上方,且第一光子組件107中的任何其他一個如此處所述地形成。以這種方式,可減小光子結構的尺寸或處理成本,且可改善對外部組件的光耦合。
在一些實施例中,在執行混合接合製程之前,對電子晶粒115執行表面處理。在一些實施例中,可首先利用例如乾處理、濕處理、電漿處理、暴露於惰性氣體、暴露於H 2、暴露於N 2、暴露於O 2等或其組合來活化光子晶粒117及/或電子晶粒115的頂表面。然而,可利用任何合適的活化製程。在活化製程之後,可使用例如化學淋洗來清潔光子晶粒117及/或電子晶粒115。然後,將電子晶粒115與光子晶粒117對準,並將電子晶粒115放置成與光子晶粒117物理接觸。例如,可使用拾取及放置製程將電子晶粒115放置在光子晶粒117上。然後,可對光子晶粒117及電子晶粒115進行熱處理及/或抵靠彼此按壓(例如,施加接觸壓力)以使光子晶粒117與電子晶粒115混合接合。例如,光子晶粒117及電子晶粒115可經受約200 kPa或更小的壓力,以及約200℃與約400℃之間的溫度。然後,可使光子晶粒117及電子晶粒115經受等於或高於導電接墊123與晶粒連接件125的材料的共晶點的溫度,例如,在約150℃與約650℃之間,以熔融導電接墊123與晶粒連接件125。以這種方式,光子晶粒117及電子晶粒115的接合形成混合接合結構。在一些實施例中,混合接合結構被烘烤、退火、壓製或以其他方式處理以增強或完成接合。
在其他實施例中,可藉由直接表面接合、金屬對金屬接合或其他接合製程將電子晶粒115接合至光子晶粒117。直接表面接合製程通過清潔及/或表面活化製程、然後對將要連接的表面施加壓力、熱及/或其他接合製程步驟來形成氧化物對氧化物的接合或基底對基底的接合。在一些實施例中,電子晶粒115及光子晶粒117藉由金屬對金屬接合而接合,金屬對金屬接合是藉由熔融導電元件來實現。可利用任何合適的接合製程。
根據一些實施例,一旦將電子晶粒115接合至光子晶粒117,就在電子晶粒115上方形成間隙填充材料127的第二部分。根據一些實施例,一旦沉積,可對間隙填充材料127進行平坦化。平坦化製程可暴露出光子晶粒115,使得光子晶粒115的表面與間隙填充材料127的表面共面。在平坦化後,間隙填充材料127在光子晶粒117上方的厚度可在約10微米與約40微米之間,且在第一波導105上方的厚度可為約20微米。在一些實施例中,在光柵耦合器106A上方的鈍化層109、介電層119與間隙填充材料127的組合厚度可在約14微米與約50微米之間。在一些情況下,較小的組合厚度可允許更有效的光耦合。例如,在一些實施例中,組合厚度可小於約30微米。
一旦將間隙填充材料127平坦化,就可將用於機械強度的支撐基底101附接到電子晶粒115與間隙填充材料127的共面表面,以在進一步的處理及加工期間提供支撐。在實施例中,可使用熔融接合製程(諸如氧化物對氧化物的熔融接合製程)或者通過諸如晶粒貼附膜等其他製程來附接支撐基底101。
晶粒貼附膜(諸如紫外線膠)當暴露於紫外光時會失去其黏著性。然而,也可使用其他類型的黏著劑,例如壓敏黏著劑、可輻射固化的黏著劑、環氧樹脂或這些的組合等。可將黏著劑以在壓力下容易變形的半液體或凝膠形式放置在支撐基底101上。一旦附接了支撐基底101,就使半導體基底103A的背側薄化以暴露出第一絕緣體穿孔111。可藉由CMP製程或機械研磨等使半導體基底103A薄化。根據一些實施例,將半導體基底103A薄化到小於約25微米,例如約20微米的第一高度H1。
圖2示出根據一些實施例的在半導體基底103A中的腔201的形成。一旦將半導體基底103A薄化,就可使用適當的微影及蝕刻技術(例如,背側蝕刻製程)形成穿過半導體基底103A的腔201。根據一些實施例,腔201形成在第一波導105下方的位置處並暴露出埋入式氧化物層103B。在一些實施例中,腔201可具有例如在背側刻面蝕刻(facet etch)製程中形成的斜角側壁。在其他實施例中,腔201可具有例如在非等向性蝕刻製程中形成的垂直側壁。在一些實施例中,暴露出的埋入式氧化物層103B的一部分可延伸到所得的第一光學引擎300的側壁的位置(在圖3中示出)。然而,可使用在第一波導105下方的任何合適的位置。
圖3示出根據一些實施例的在腔201中的第一回填結構301的形成以及用於第一光學引擎300的第一外部接觸件303的形成。可使用適合於形成間隙填充材料127的任何材料及技術在腔內部形成第一回填結構301。在一些實施例中,可在介電填充製程(例如,化學氣相沉積)中使用氧化矽(SiO 2)材料形成第一回填結構301。然而,可使用任何合適的材料及製程。在一些實施例中,沉積第一回填結構301以填充及/或過度填充腔201。一旦沉積,就使用諸如化學機械平坦化等製程將第一回填結構301與半導體基底103A及第一絕緣體穿孔111的共面表面平坦化。在其他實施例中,第一回填結構301可在諸如注入模製(injection molding)等的模製製程中使用模製材料(例如,模製化合物、環氧樹脂等)形成。然而,可利用任何合適的材料及方法。第一回填結構301在本文中也可被稱為第一氧化物基底結構、氧化物基底結構、第一氧化物結構或氧化物結構。
藉由在前段製造製程期間形成第一回填結構301,可利用更適合前段製造製程的材料。例如,第一回填結構301可由氧化矽或其他氧化物代替與封裝製造製程更相關的有機材料來形成。如此,可避免與諸如有機材料等封裝製造材料相關的問題。
一旦(例如,在前段製造製程中)形成了第一回填結構301,就形成電耦合到第一絕緣體穿孔111的第一外部接觸件303,以作為到第一光學引擎300的外部連接。在一些實施例中,在這些封裝製造製程中,可在半導體基底103A的共面表面處暴露出的第一絕緣體穿孔111上形成導電接墊。導電接墊可為例如鋁墊或鋁銅墊,但也可使用其他金屬墊。在一些實施例中,可在半導體基底103A上形成鈍化膜,以覆蓋導電接墊。鈍化膜可由諸如氧化矽、氮化矽等或其組合的介電材料形成。可形成穿過鈍化膜的開口,以暴露出導電接墊的中心部分。在一些實施例中,可使用諸如電鍍等製程將凸塊下金屬(underbump metallizations,UBM)形成到導電接墊且形成在鈍化膜上方。UBM可由銅、銅合金、銀、金、鋁、鎳等或其組合形成。一旦形成了UBM,就可將第一外部接觸件303附接到UBM。
根據一些實施例,第一外部接觸件303可為控制塌陷晶片連接(C4)凸塊、球柵陣列(BGA)連接件、焊料球、金屬柱、微凸塊、無電鎳-無電鈀浸金技術(electroless nickel-electroless palladium-immersion gold,ENEPIG)形成的凸塊等。第一外部接觸件303可包括諸如焊料、銅、鋁、金、鎳、銀、鈀、錫等或其組合的導電材料。在一些實施例中,第一外部接觸件303藉由以下方式來形成:最初通過諸如蒸鍍、電鍍、印刷、焊料轉移、球放置等方法形成焊料層。一旦在結構上形成焊料層,就可執行回焊以將材料成形為所需的凸塊形狀。在另一個實施例中,第一外部接觸件303是藉由濺鍍、印刷、電鍍、無電鍍覆、CVD等形成的金屬柱(例如銅柱)。金屬柱可為無焊料的並且具有實質垂直的側壁。在一些實施例中,在第一外部接觸件303的頂部上形成金屬蓋層(未示出)。金屬蓋層可包括鎳、錫、錫鉛、金、銀、鈀、銦、鎳-鈀-金、鎳-金等或其組合,且可藉由鍍覆製程形成。
此外,藉由使用背側蝕刻製程來形成腔201、介電質填充製程以形成第一回填結構301以及使用平坦化製程(例如,CMP)以平坦化第一回填結構301來對第一光學引擎300進行封裝,這對封裝第一光學引擎300而言實現了堅固結構及更簡單的製造製程。使用氧化物型材料來形成第一光學引擎300允許大部分製造製程在前段製程(front end of the line,FEOL)製造設備執行。如此,對於第一光學引擎300的製造,保持了更大的處理控制及改善的光學完整性。此外,第一光學引擎300以獨立的封裝模組化,而可藉由減小導電特徵121、介電層119及光子晶粒117的導電接墊123與第一絕緣體穿孔111的節距,達成良好的晶片對晶片的頻寬(bandwith)。如此,以獨立封裝形成的第一光學引擎300可根據需要與其他封裝一起快速安裝,或者甚至與其他封裝共同封裝,從而允許第一光學引擎300以最小程度的重新設計在廣泛的各種用途中使用及結合。
圖4示出根據一些實施例的第一封裝組合件400。根據一些實施例,第一封裝組合件400包括第一光學引擎300、第一半導體晶粒401、光纖附接單元405及封裝基底407。
可使用第一外部接觸件303將第一光學引擎300接合到封裝基底407。在實施例中,封裝基底407可為印刷電路板,例如作為聚合物材料(諸如雙馬來醯亞胺三嗪(bismaleimide triazine,BT)、FR-4或ABF等)的多個薄層的堆疊(或層疊體)形成的層壓基底。然而,可替代地使用任何其他合適的基底,例如矽中介物、矽基底、有機基底或陶瓷基底等,且向第一外部接觸件303提供支撐與連接性的所有這樣的重分配基底是完全意圖被包括在實施例的範圍內。可使用例如拾取及放置製程將第一光學引擎300接合到封裝基底407,以將第一光學引擎300佈置在封裝基底407上方。一旦佈置好,例如藉由執行適當的接合製程(諸如焊料回焊製程)將第一光學引擎300的第一外部接觸件303接合到封裝基底407的導電接觸件,從而將第一光學引擎300電耦合到封裝基底407。然而,可利用任何合適的接合製程。
在一些實施例中,可選的間隔件411可用於控制第一光學引擎300到封裝基底407的放置及接合。例如,可選的間隔件411可控制第一光學引擎300與封裝基底407的佈置距離。可使用適合於形成第一回填結構301的任何介電材料及製程形成可選的間隔件411。然而,可使用任何合適的介電材料及技術形成可選的間隔件411。根據一些實施例,在將第一光學引擎300接合到封裝基底407之前,將可選的間隔件411形成到第一光學引擎300。在其中使用模製材料及技術形成第一回填結構301的實施例中,可選的間隔件411可在模製期間作為第一回填結構301的延伸部而形成。在又其他實施例中,可在將第一光學引擎300接合到封裝基底407之前,將可選的間隔件411附接到封裝基底407。在這種情況下,可使用諸如黏著劑、黏著帶、熱介面材料(thermal interface materials,TIM)或介電質等任何適當材料以及諸如拾取及放置、材料擠壓(material extruding)、材料印刷製程、微影及蝕刻技術或其組合等適當技術來附接可選的間隔件411。
根據一些實施例,可在第一光學引擎300與封裝基底407之間放置可選的第一底部填充物409。可選的第一底部填充物409是一種保護材料,用於緩衝及支撐第一光學引擎300及封裝基底407免受操作及環境劣化,諸如操作期間由於產生熱而引起的應力。可選的第一底部填充物409可被注入或以其他方式形成在第一光學引擎300與封裝基底407之間的空間中,且可例如包括在第一光學引擎300與封裝基底407之間分配液態環氧樹脂並然後將液態環氧樹脂固化以使其硬化。在一些實施例中,可選的間隔件411可用於在放置期間控制可選的第一底部填充物409的流動。
圖4進一步示出光纖附接單元405(例如,光纖托座(fiber holder))到封裝基底407的附接。具體而言,本文所述的第一封裝組合件400允許與安裝至光纖附接單元405的光纖413進行光通信。在一些實施例中,可從光纖附接單元405的側面安裝光纖(例如,以「水平」定向或「邊緣耦合器」佈置)。在其他實施例中,可從光纖附接單元405上方安裝光纖(例如,以「垂直」定向)。可使用透明黏著劑415(例如,光學膠、環氧樹脂、光學底部填充物或其組合等)來安裝光纖附接單元405。在主動對準製程中,在透明黏著劑415的放置及硬化期間,在光纖413將光學訊號傳輸至第一光學引擎300的同時,(例如,使用拾取及放置製程)對光纖附接單元405進行定位。例如,光學訊號可通過透明黏著劑415從光纖413傳輸到光柵耦合器106A(例如,邊緣耦合器)並傳輸到第一波導105中,其中,光學訊號可被光偵測器106B偵測並作為電訊號傳輸到電子晶粒115中。在操作期間,第一回填結構301減少了由於光學訊號與半導體基底103A的塊狀矽的任何重疊而引起的光學損耗。電子晶粒115可向例如用於拾取及放置製程的控制器提供適當對準的指示,以確保光纖附接單元405在透明黏著劑415的放置及硬化期間保持適當對準。對於其中光纖附接單元405以邊緣耦合器佈置鄰近第一光學引擎300放置的實施例,邊緣耦合器佈置可允許改善光耦合、降低處理成本或更大的設計靈活性。在一些實施例中,本文描述的光子封裝100可被認為是晶片上系統(system-on-chip,SoC)或積體電路上系統(system-on-integrated-circuit,SoIC)裝置。
圖4另外示出將第一半導體晶粒401鄰近第一光學引擎300放置到封裝基底407上。如此,第一半導體晶粒401通過封裝基底407的導電特徵(例如,微凸塊、導電跡線、導電通孔、銅跡線或其組合等)電耦合。在一些實施例中,第一半導體晶粒401被設計為與第一光學引擎300合作工作(如方向箭頭419所指示)以執行期望的功能。第一半導體晶粒401可為例如特定應用積體電路(ASIC)晶粒、邏輯晶粒、記憶體晶粒等。在一些實施例中,第一半導體晶粒401可為包括其他半導體晶粒的封裝裝置,且每個半導體晶粒可被設計為與第一光學引擎300及/或彼此合作工作。在一些實施例中,其他半導體晶粒及/或封裝裝置可附接到封裝基底407。在這樣的實施例中,其他半導體晶粒及/或封裝裝置可被設計為與第一光學引擎300及/或與第一半導體晶粒401合作工作。
例如,在第一半導體晶粒401及/或其他半導體晶粒是邏輯裝置的實施例中,第一半導體晶粒401可為諸如中央處理單元(CPU)、圖形處理單元(GPU)、晶片上系統(SoC)裝置、應用處理器(AP)裝置、微控制器等裝置。另外,在第一半導體晶粒401及/或其他半導體晶粒是記憶體裝置的實施例中,這些半導體晶粒可為例如動態隨機存取記憶體(DRAM)晶粒、靜態隨機存取記憶體(SRAM)晶粒、混合記憶體立方體(hybrid memory cube,HMC)裝置或高頻寬記憶體(high bandwidth memory,HBM)裝置等。然而,由任何適當的結構界定的任何適當的功能完全被意圖包括在實施例的範圍內。
在實施例中,第一半導體晶粒401及/或其他半導體晶粒都可進一步包括晶粒接墊、晶粒鈍化層、晶粒保護層及第二外部接觸件417。第二外部接觸件417可為如上所述適合形成第一光學引擎300的第一外部接觸件303的任何接觸件結構。在實施例中,第二外部接觸件417可為控制塌陷晶片連接(C4)凸塊。然而,可利用任何合適的結構。此外,可使用用於安裝第一光學引擎300的任何材料及技術將第一半導體晶粒401及/或其他半導體晶粒安裝到封裝基底407。一旦安裝,可選的第二底部填充物421可沉積在封裝基底407與第一半導體晶粒401之間及/或封裝基底407與其他半導體晶粒之間。可使用如上所述用於放置可選的第一底部填充物409的任何材料及技術來放置可選的第二底部填充物421。
圖5示出根據一些實施例的包括可選特徵的第一光學引擎300及光纖附接單元405,所述可選特徵允許在共封裝佈置500中的第一光學引擎300與光纖附接單元405的被動對準。具體而言,圖5示出第一光學引擎300在共封裝佈置500中對準並附接到光纖附接單元405。如此,第一光學引擎300及光纖附接單元405被動地對準,且可在附接並主動傳導光纖413之前將共封裝佈置500安裝到封裝基底407。
圖5進一步示出根據一些實施例的第一光學引擎300,其包括對準溝槽501及對準調整片(alignment tab)503。在一些實施例中,對準溝槽501可在用於形成腔201的最終刻面蝕刻製程期間形成。可使用可接受的微影及蝕刻技術去除半導體基底103A、埋入式氧化物層103B、圖案化的矽層103C、間隙填充材料127及支撐基底101的材料來形成對準溝槽501。在其他實施例中,可在形成第一回填結構301之後使用可接受的微影及蝕刻技術去除第一回填結構301的材料以及第一光學引擎300的其他材料來形成對準溝槽501。然而,還可利用又其他技術,例如雷射鑽孔、晶圓鋸切或其組合等。在一些實施例中,對準溝槽501形成為約60,000奈米的第二高度H2。然而,可使用任何合適的高度。在從對準溝槽501去除材料之後餘留的支撐基底101的一部分形成對準調整片503。根據一些實施例,對準調整片503可具有在約1,000奈米與約10,000奈米之間的第一長度L1。對準調整片503可為V形、U形或正方形等。然而,可使用任何合適的長度、尺寸及形狀。
根據一些實施例,圖5進一步示出光纖附接單元405,其包括與第一光學引擎300的對準調整片503相對應的對準凹口(alignment notch)505。在其中使用模製化合物形成光纖附接單元405的實施例中,對準凹口505可在用於形成光纖附接單元405的模製製程中形成。在其中使用介電材料形成光纖附接單元405的實施例中,可使用任何合適的微影及蝕刻技術使光纖附接單元405的材料凹陷來形成對準凹口505。對準凹口505形成為用於接收對準調整片503的可接受形狀。在一些實施例中,光纖附接單元405及第一光學引擎300可使用對準溝槽501、對準調整片503及對準凹口505來組裝並被動地對準,以形成共封裝佈置500。在一些實施例中,可使用卡扣配合(snap-to-fit)配置以將光纖附接單元405固定到第一光學引擎300。然而,可使用任何合適的配置。此外,可使用其他合適的機制(例如,黏著劑、熱介面材料(TIM)或其組合等)以將光纖附接單元405被動對準的固定到第一光學引擎300,所有這樣將光纖附接單元405固定到第一光學引擎300的配置及/或機制完全被意圖包括在實施例的範圍內。
圖6至圖8示出第二光學引擎700的另一實施例,第二光學引擎700與第一光學引擎300類似,但第二光學引擎700利用第二回填結構701以及第一回填結構301。在此實施例中,如圖6所示,在埋入式氧化物基底103內與第一回填結構301相鄰地形成第二腔601。可使用如上所述形成腔201的任何材料及技術形成第二腔601。
根據一些實施例,第二腔601沿著半導體基底103A形成在對應於在操作期間可能易於漏電的電組件(例如,高頻寬記憶體裝置及處理器等)的位置中。在其他實施例中,第二腔601沿著半導體基底103A對應於第二光學引擎700的在操作期間可能暴露於非所欲的熱量等級的區域(例如,散熱器的位置或熱流熱點(thermal hot spot)的位置等)形成。
圖7示出根據一些實施例的形成第二回填結構701及附接電子晶粒115後的第二光學引擎700。具體而言,一旦形成了第二腔601,就可使用合適的技術(例如,化學氣相沉積(CVD))將合適的回填材料(例如,介電材料、電絕緣體、熱絕緣體或熱導體等)形成在第二腔601內。一旦沉積,藉由將回填材料與半導體基底103A及第一回填結構301平坦化來形成第二回填結構701。
對於其中第二腔601形成在與電組件對應的位置中的實施例,可用合適的介電材料填充及/或過度填充第二腔601。合適的介電材料可包括但不限於電絕緣體、再填充氧化物材料(例如,氧化矽)或其組合等。如此,與單獨半導體基底103A的漏電易感性相比,第二回填結構701降低了操作期間的漏電易感性。
對於其中第二腔601形成在與暴露於非所欲的熱量等級的區域對應的位置中的實施例,可用合適的熱填充材料(例如,熱絕緣體或熱導體等)填充及/或過度填充第二腔601。在半導體基底103A的區域期望防止熱量在操作期間傳送到第二光學引擎700的實施例中(例如,與鄰近第二光學引擎700佈置的處理裝置相關聯的熱流熱點對應的位置),熱絕緣體可用作熱填充材料。如此,與單獨半導體基底103A的熱傳遞效率相比,第二回填結構701降低了熱傳遞效率。在半導體基底103A的期望在操作期間將熱量從第二光學引擎700吸走的區域的實施例中(例如,意圖用於散熱器的位置),熱導體可用作熱填充材料。如此,與單獨半導體基底103A的熱傳遞效率相比,第二回填結構701提高了熱傳遞效率。
儘管僅示出一個可選的第二回填結構701,但可利用任何合適數量的可選的第二回填結構603。在一些實施例中,不同類型的材料可用於可選的第二回填結構603。例如,介電材料可用於形成一個或多個可選的第二回填結構603,且導熱(及/或隔熱)材料可用於形成其餘的可選的第二回填結構603。然而,在還其他實施例中,用於形成可選的第二回填結構603的材料可為相同的。
圖7進一步示出根據一些實施例的電子晶粒115到第一絕緣體穿孔111的附接。除了電子晶粒115被安裝到第二光學引擎700的前側並且藉由第一絕緣體穿孔111電耦合到光子晶粒117外,第二光學引擎700類似於第一光學引擎300。在這樣的實施例中,在進行背側處理以形成第一回填結構301之後,將電子晶粒115安裝到裝置,且在本文中可將其稱為電子晶粒後製程(E-die last process)。
在這樣的電子晶粒後製程中,電子晶粒115可配備有第三外部接觸件703。可使用上文闡述的適合於第一外部接觸件303的任何材料及/或技術形成第三外部接觸件703。根據一些實施例,第三外部接觸件703形成為微凸塊。然而,可利用任何其他合適的接觸件(例如,控制塌陷晶片連接(C4)凸塊)。如此,電子晶粒115可包括鈍化膜、導電接墊123及用於外部連接的凸塊下金屬(UBM)。根據一些實施例,第三外部接觸件703形成在電子晶粒115的UBM上方。在其他實施例中,第三外部接觸件703形成在第一絕緣體穿孔111的UBM上方。一旦形成了第三外部接觸件703,就(例如,藉由拾取放置製程)將電子晶粒115放置在半導體基底103A上方並使用適當的接合製程(例如,焊料回焊製程)將電子晶粒115接合至第一絕緣體穿孔111。然而,可使用任何合適的放置及接合製程。
圖7進一步示出根據一些實施例的在半導體基底103A的表面處及在封裝基底407的表面處的可選的接合墊803的形成。可形成可選的接合墊803以在第二光學引擎700與隨後安裝的封裝基底407(未在圖7中示出,但在下文相對於圖8示出及描述)之間提供電連接。在實施例中,可選的接合墊803由諸如鋁的導電材料形成,但也可利用諸如銅或鎢等其他合適的材料。可使用諸如CVD或PVD的製程形成可選的接合墊803,但也可利用其他合適的材料及方法。一旦沉積了用於可選的接合墊803的材料,就可使用例如微影遮罩及蝕刻製程將材料成形為可選的接合墊803。此外,導電跡線及/或導電特徵可與可選的接合墊803一起形成,以電耦合到第二光學引擎700的第三外部接觸件703及/或第一絕緣體穿孔111。
圖8示出根據一些實施例的第二封裝組合件800。根據一些實施例,第二封裝組合件800包括第二光學引擎700、引線接合(wire bond)801及封裝基底407。
根據一些實施例,可使用背側接合製程將第二光學引擎700安裝到封裝基底407,以將支撐基底101附接到封裝基底407的表面。在一些實施例中,可使用合適的接合材料(例如,黏著劑、矽對矽接合或其組合等)來接合第二光學引擎700。然而,可使用任何合適的接合材料及技術。
一旦安裝了第二光學引擎700,就可將可選的第三底部填充物材料805放置在電子晶粒115及半導體基底103A之間。可使用如上所述用於放置可選的第一底部填充物409的任何材料及技術來放置可選的第三底部填充物材料805。
附接引線接合801以電耦合第二光學引擎700與封裝基底407。在一些實施例中,引線接合801附接在半導體基底103A的接合墊803與封裝基底407的接合墊803之間。在實施例中,可使用放電結球棒(electronic flame off(EFO)wand)來升高由引線夾(未在圖8中單獨示出)控制的毛細管內的金引線(也未在圖8中單獨示出)的溫度。一旦金引線的溫度升高到約150℃與約250℃之間,就將金引線與半導體基底103A的接合墊803接觸以形成第一連接,然後將金引線移動到封裝基底407的接合墊803以形成第二連接。一旦連接,就將金引線的其餘部分與連接部分分開,以形成引線接合801。可重複連接製程以形成所需的許多連接。如此,引線接合801可在操作期間從封裝基底407向第二光學引擎700提供訊號及功率。
圖8進一步示出根據一些實施例的以邊緣耦合配置的光纖413與第二光學引擎700的附接。如上所述,可以任何主動對準製程或任何被動對準製程使用光纖附接單元405將光纖413對準並附接到第二光學引擎700。
圖9示出根據一些實施例的第三封裝組合件900。根據一些實施例,第三封裝組合件900包括第三光學引擎901、電子晶粒115及封裝基底407。除了電子晶粒115與第三光學引擎901分開並且以前側安裝製程將第三光學引擎901安裝到封裝基底407上外,第三光學引擎901與第二光學引擎700(示於圖7中)類似。代替附接到第三光學引擎901的前側,電子晶粒115被安裝到封裝基底407。
根據一些實施例,如上文所闡述,使用第一外部接觸件303將第三光學引擎901安裝到封裝基底407。如此,光子晶粒117藉由第一絕緣體穿孔111電耦合到封裝基底407。根據一些實施例,一旦安裝了第三光學引擎901,就可將可選的第一底部填充物409放置在第三光學引擎901與封裝基底407之間。
根據一些實施例,可使用如上所述適合形成第三外部接觸件703的任何接觸件將電子晶粒115安裝到封裝基底407。在一些實施例中,用於將電子晶粒115安裝到封裝基底407的接觸件類似於用於將第三光學引擎901安裝到封裝基底407的第一外部接觸件303。如此,電子晶粒115通過封裝基底407上的導電特徵電耦合到光子晶粒117並與光子晶粒117合作(如第一方向箭頭905所指示)。
一旦安裝了電子晶粒115,就可將可選的第四底部填充物材料903放置在電子晶粒115與封裝基底407之間。可使用如上所闡述的用於放置可選的第一底部填充物409的任何材料及技術來放置可選的第四底部填充物材料903。
圖9進一步示出根據一些實施例的以邊緣耦合配置的光纖413與第三光學引擎901的附接。如上所述,可以任何主動對準製程或任何被動對準製程使用光纖附接單元405將光纖413對準並附接到第三光學引擎901。
根據一些實施例,第一半導體晶粒401(在圖9中未單獨示出)可如上文所闡述被安裝到封裝基底407。如此,第一半導體晶粒401可設計為與第三光學引擎901及/或電子晶粒115合作工作並且可電耦合到第三光學引擎901及/或電子晶粒115。
圖10示出根據又一些進一步實施例的第四光學引擎1000。根據一些實施例,除了第四光學引擎1000包括第二波導1003及前側保護層1005代替半導體基底103A外,第四光學引擎1000與第一光學引擎300(如圖3所示)類似。
圖10進一步示出第四光學引擎1000的第三區域1009,其由虛線圓圈突出顯示。第三區域1009在本文中可被稱為第一波導105及第二波導1003的矽尖端。根據一些實施例,第三區域1009可在第四光學引擎1000的操作期間提供可通過第一波導105及第二波導1003進行光通信的位置。
圖10進一步示出根據一些實施例的延伸穿過第二波導1003及前側保護層1005的第二絕緣體穿孔1011。可使用如上文所闡述的適合將第一外部接觸件303附接到第一絕緣體穿孔111的任何材料及技術將第一外部接觸件303形成到第二絕緣體穿孔1011。
圖11至圖13示出根據一些實施例的在形成第四光學引擎1000的中間步驟期間形成的中間結構的剖面圖。除了沒有將第一絕緣體穿孔111形成到半導體基底103A中外,圖11類似於圖1B所示的放大圖。圖11進一步示出由虛線矩形突出顯示的第四區域1103。圖12及圖13示出根據一些實施例在圖11所示的中間結構1100的進一步處理期間第四區域1103的放大圖。
圖12示出根據一些實施例的第二波導材料層1201(例如,矽層)的形成。可藉由首先去除半導體基底103A並且使埋入式氧化物層103B薄化來形成第二波導材料層1201。根據一些實施例,可使用諸如化學機械平坦化(CMP)、研磨技術、蝕刻製程(例如,濕蝕刻)或其組合等的平坦化製程來去除半導體基底103A。然而,可使用任何合適的製程來去除半導體基底103A。此外,根據一些實施例,由於去除了半導體基底103A,所以可省略第一絕緣體穿孔111的形成。在其他實施例中,第一絕緣體穿孔111可形成為延伸穿過鈍化層109、圖案化的矽層103C並且延伸進入及/或穿過埋入式氧化物層103B,但不延伸到半導體基底103A中。
一旦去除了半導體基底103A,可使用隨後的薄化製程來減少埋入式氧化物層103B的厚度。根據一些實施例,可藉由使用適合去除埋入式氧化物層103B的材料並暴露出埋入式氧化物層103B的表面的蝕刻劑及研磨劑執行隨後的化學機械平坦化(CMP)來減少埋入式氧化物層103B的厚度。然而,可使用任何合適的薄化製程。根據一些實施例,可將埋入式氧化物層103B減少到小於約100微米(例如2微米)的第三厚度Th3。然而,可使用任何合適的厚度。
一旦減少了埋入式氧化物層103B的厚度,就鄰近埋入式氧化物層103B的暴露表面形成第二波導材料層1201。根據一些實施例,第二波導材料層1201是諸如氮化物、氮化矽、聚合物或其組合等的材料,且使用諸如化學氣相沉積(CVD)或原子層沉積(ALD)等的沉積技術來沉積。然而,可使用任何合適的材料及沉積技術。此外,第二波導材料層1201沉積到介於約0.1微米與約0.4微米之間的第四厚度Th4。然而,可使用任何合適的厚度。
圖13示出根據一些實施例的第二波導材料層1201一旦被沉積,就被圖案化以形成第一波導1003A。可使用如上文所闡述的適合圖案化第一波導105的任何微影及蝕刻技術來形成第一波導1003A。一旦形成了第一波導1003A,就使用如上文所闡述的適合形成及平坦化埋入式氧化物層103B的任何材料及技術,鄰近第一波導1003A形成第一氧化物保護層1005A。可形成第一氧化物保護層1005A並將其平坦化成介於約0.1微米與約0.4微米之間的第五厚度Th5。然而,可使用任何合適的厚度。
根據一些實施例,鄰近第一氧化物保護層1005A的暴露表面形成可選的第二波導1003B。可使用適合形成第一波導1003A的任何材料及技術形成可選的第二波導1003B。一旦形成了可選的第二波導1003B,就使用如上文所闡述的適合形成及平坦化埋入式氧化物層103B的任何材料及技術,鄰近第二波導1003B形成第二氧化物保護層1005B。可形成第二氧化物保護層1005B並將其平坦化成介於約4微米與約25微米(諸如約5微米)之間的第六厚度Th6。然而,可使用任何合適的厚度。第一氧化物保護層1005A及第二氧化物保護層1005B在本文中可被統稱為前側保護層1005。
第一波導1003A及第二波導1003B在本文中可被統稱為第二波導1003。第二波導1003允許耦合到第一波導105/從第一波導105耦合的光通信。在省略可選的第二波導1003B的實施例中,還省略了第二氧化物保護層1005B。在這樣的實施例中,第一氧化物保護層1005A形成為介於約5微米與約25微米之間的第七厚度Th7。然而,可使用任何合適的厚度。
可形成穿過前側保護層1005、第二波導1003及/或埋入式氧化物層103B的開口,以暴露出嵌置於光子晶粒117內的接觸件113。一旦暴露出了接觸件113,就在開口內形成第二絕緣體穿孔1011。可使用如上文所闡述的用於形成第一絕緣體穿孔111的任何材料及方法形成第二絕緣體穿孔1011。在一些實施例中,第二絕緣體穿孔1011可形成為銅通孔。
圖14示出根據又一些進一步實施例的利用腔1401的第四封裝組合件1400。根據一些實施例,第四封裝組合件1400包括第四光學引擎1000(參見例如圖10)、光纖附接單元405及封裝基底407。如上文關於圖4所闡述的,可使用如上文所闡述的用於安裝第一光學引擎300的任何方法,使用第一外部接觸件303及可選的間隔件411將第四光學引擎1000安裝到封裝基底407。如此,光子晶粒117藉由第二絕緣體穿孔1011電耦合到封裝基底407。根據一些實施例,一旦安裝了第四光學引擎1000,就可將可選的第一底部填充物409放置在第四光學引擎1000與封裝基底407之間。
圖14進一步示出根據一些實施例的光纖413及光纖附接單元405以主動對準製程與第四光學引擎1000的附接。圖14進一步示出放置或形成在封裝基底407的腔1401內部的透明黏著劑415。如此,在主動對準製程期間,透明黏著劑415在光纖附接單元405與封裝基底407之間的移位(displacement)可由腔1401的可用空間控制。儘管在圖14中示出主動對準製程,但如上所述,可以任何主動對準製程或任何被動對準製程使用光纖附接單元405將光纖413對準並附接到第四光學引擎1000。
根據一些實施例,第一半導體晶粒401(未單獨示出)也可如上關於第一封裝組合件400所述安裝到封裝基底407。如此,第一半導體晶粒401可設計為通過封裝基底407與第四光學引擎1000合作工作並且可電耦合到第四光學引擎1000。
圖15至圖17示出根據一些實施例的在形成第五光學引擎1800(參見圖18)的中間步驟期間形成的中間結構的剖面圖。在這些實施例中,可藉由首先形成圖11所示的中間結構來形成第五光學引擎1800。
具體而言,圖15示出第一光子積體電路1500,第一光子積體電路1500類似於圖12所示的中間結構,除了是在鄰近埋入式氧化物層103B形成第二波導材料層1201之前。如此,適合形成圖12所示的中間結構的任何材料及製程可用以形成第一光子積體電路1500。圖15進一步示出在薄化之後的第一波導105及埋入式氧化物層103B的放大圖。
一旦薄化了埋入式氧化物層103B,就可形成第一絕緣體穿孔111及/或接觸件,第一絕緣體穿孔111及/或接觸件穿過埋入式氧化物層103B及第一波導105,並電耦合到光子晶粒117的接觸件113,以提供第一光子積體電路1500與其他結構之間的電連接。在實施例中,使用諸如鋁的導電材料在第一絕緣體穿孔111上方形成連接墊1501,但也可替代地利用諸如銅或鎢等其他合適的材料。可使用諸如CVD的製程形成連接墊1501,但也可替代地利用其他合適的材料及方法。一旦沉積了用於連接墊1501的材料,就可使用例如微影遮罩及蝕刻製程將材料成形為連接墊1501。
圖16示出可插拔的模組化裝置(pluggable modularized device)的形成,所述裝置包括第一光子積體電路1500,且還包括第一載體基底1601、第一黏著層1603,以及模製穿孔(through molding via,TMV)1605在第一黏著層1603上方的形成。第一載體基底1601包括例如矽基材料(諸如玻璃或氧化矽)或其他材料(諸如氧化鋁)或這些材料的任何組合等。第一載體基底1601是平坦的,以便容納第一光子積體電路1500的附接。
第一黏著層1603放置在第一載體基底1601上,以便輔助上覆結構(例如,第一光子積體電路1500)的黏附。在實施例中,第一黏著層1603可包括晶粒貼附膜(諸如紫外線膠),當晶粒貼附膜暴露於紫外光時會失去其黏著性。然而,也可使用其他類型的黏著劑,例如壓敏黏著劑、可輻射固化的黏著劑、環氧樹脂或這些的組合等。可將第一黏著層1603以在壓力下容易變形的半液體或凝膠形式放置在第一載體基底1601上。
模製穿孔1605形成在第一黏著層1603上方,且包括第一晶種層(未與模製穿孔1605分開示出)。第一晶種層形成在第一黏著層1603上方,且是導電材料的薄層,其在隨後的處理步驟期間幫助形成較厚的層。第一晶種層可包括約1,000埃厚的鈦層,接著是約5,000埃厚的銅層。取決於期望的材料,可使用諸如濺鍍、蒸鍍或PECVD製程等製程來產生第一晶種層。第一晶種層可被形成為具有在約0.3微米與約1微米之間的厚度,諸如約0.5微米。
一旦形成了第一晶種層,就在第一晶種層上放置光阻(未單獨示出)並將其圖案化。在實施例中,可使用例如旋塗技術將光阻放置在第一晶種層上至約50微米與約250微米之間(諸如約120微米)的高度。一旦就位,然後可藉由將光阻暴露於圖案化的能量源(例如,圖案化的光源)來將光阻圖案化,以引起化學反應,從而在光阻的那些暴露於圖案化的光源的部分上引起物理變化。然後將顯影劑施加到曝光的光阻上,以利用物理變化,並取決於期望的圖案選擇地去除光阻的曝光部分或光阻的未曝光部分。
在實施例中,形成到光阻中的圖案是用於模製穿孔1605的圖案。模製穿孔1605被形成為允許電路徑與隨後放置的第一光子積體電路1500鄰近地放置,且可以小於約40微米的節距形成。然而,可利用用於模製穿孔1605的圖案的任何合適的佈置,諸如藉由被定位成使得一個或多個第一光子積體電路1500被放置在模製穿孔1605的相對側上。
在實施例中,模製穿孔1605形成在光阻內並且包括一種或多種導電材料,諸如銅、鎢或其他導電金屬等。模製穿孔1605可例如藉由電鍍或無電鍍覆等形成。在實施例中,使用電鍍製程,其中將第一晶種層與光阻浸沒或浸入電鍍液中。第一晶種層表面電連接到外部DC電源的負側,使得第一晶種層在電鍍製程中用作陰極。固態導電陽極(諸如銅陽極)也浸入溶液中,並連接到電源的正側。來自陽極的原子溶解到溶液中,陰極(例如,第一晶種層)從中獲取溶解的原子,從而在光阻的開口內電鍍第一晶種層的暴露的導電區。
一旦使用光阻及第一晶種層形成了模製穿孔1605,則可使用適當的去除製程去除光阻。在實施例中,可使用電漿灰化製程去除光阻,由此可提高光阻的溫度,直到光阻經歷熱分解並且可被去除為止。然而,可利用任何其他合適的製程,例如濕剝離。光阻的去除可暴露出第一晶種層的下伏部分。
一旦暴露出,可執行第一晶種層的暴露部分的去除。在實施例中,可藉由例如濕蝕刻或乾蝕刻製程去除第一晶種層的暴露部分(例如,未被模製穿孔1605覆蓋的那些部分)。例如,在乾蝕刻製程中,可使用模製穿孔1605作為遮罩將反應物引導至第一晶種層。在另一實施例中,可將蝕刻劑噴射或以其他方式使其與第一晶種層接觸,以去除第一晶種層的暴露部分。在第一晶種層的暴露部分被蝕刻掉之後,第一黏著層1603的一部分在模製穿孔1605之間暴露出。
根據一些實施例,圖16另外示出第一光子積體電路1500放置到第一黏著層1603上。在一些實施例中,第一光子積體電路1500被放置成其前側面向第一載體基底1601並且藉由第一黏著層1603附接。例如,可使用拾取及放置工具將第一光子積體電路1500放置在第一載體基底1601上。然而,可使用任何合適的方法。
圖16還示出模製穿孔1605與第一光子積體電路1500的包封。包封可在模製裝置(圖16中未單獨示出)中執行,所述模製裝置可包括頂部模製部分及可與頂部模製部分分開的底部模製部分。當頂部模製部分下降至與底部模製部分相鄰時,可形成用於第一載體基底1601、模製穿孔1605及第一光子積體電路1500的模製腔。
在包封製程期間,頂部模製部分可與底部模製部分相鄰放置,從而將第一載體基底1601、模製穿孔1605及第一光子積體電路1500封閉在模製腔內。一旦封閉,頂部模製部分及底部模製部分可形成氣密密封(airtight seal),以便控制氣體從模製腔的流入及流出。一旦密封,就可將包封體1607放置在模製腔內。包封體1607可為模製化合物樹脂,例如聚醯亞胺、PPS、PEEK、PES、耐熱結晶樹脂或這些的組合等。可在頂部模製部分及底部模製部分對準之前將包封體1607放置在模製腔內,或者可通過注入口將包封體1607注入模製腔內。
一旦將包封體1607放置到模製腔中,使得包封體1607包封第一載體基底1601、模製穿孔1605及第一光子積體電路1500,就可固化包封體1607以使包封體1607硬化以達到最佳保護。儘管確切的固化製程至少部分取決於為包封體1607選擇的特定材料,但在選擇模製化合物作為包封體1607的實施例中,固化可通過諸如將包封體1607加熱到約100ºC與約130ºC之間、持續約60秒至約3000秒發生。另外,引發劑及/或催化劑可被包括在包封體1607內以更好地控制固化製程。
然而,如本領域普通技術人員將認知的,上述固化製程僅是例示性製程,並不意味著限制當前的實施例。可使用其他固化製程,例如照射或甚至允許包封體1607在環境溫度下硬化。可使用任何合適的固化製程,且所有這樣的製程完全被意圖包括在本文所討論的實施例的範圍內。
可執行包封體1607的薄化以便暴露出模製穿孔1605及第一光子積體電路1500的背側以進行進一步處理。可例如使用機械研磨或化學機械拋光(CMP)製程來執行薄化,由此利用化學蝕刻劑及研磨劑來反應並研磨掉包封體1607及/或第一光子積體電路1500的背側,直到模製穿孔1605及第一光子積體電路1500被暴露出為止。如此,第一光子積體電路1500及模製穿孔1605可具有也與包封體1607共面的平坦表面。
然而,儘管上述CMP製程被作為一個說明性實施例提出,但其並不被意圖限制實施例。可使用任何其他合適的去除製程來使包封體1607及/或第一光子積體電路1500的背側薄化並暴露出模製穿孔1605。例如,可利用一系列化學蝕刻。可利用此製程及任何其他合適的製程來使包封體1607及/或第一光子積體電路1500的背側薄化,且所有這樣的製程完全被意圖包括在實施例的範圍內。
根據一些實施例,一旦模製穿孔1605及第一光子積體電路1500嵌置於模製化合物中,則可在第一光子積體電路1500、模製穿孔1605及包封體1607的共平面表面上形成與模製穿孔1605電連接的前側重佈線結構1609。在實施例中,可使用一系列的交替的前側介電層1611及前側重佈線層1613形成前側重佈線結構1609。在實施例中,前側介電層1611可為基於聚合物的介電材料,例如聚苯并噁唑(polybenzoxazole,PBO),但也可利用任何合適的材料,例如聚醯亞胺或聚醯亞胺衍生物。可使用例如旋塗製程將前側介電層1611放置到約5微米與約25微米之間的厚度,諸如約7微米,但也可使用任何合適的方法及厚度。
在放置了前側介電層1611中的每一者之後,可對前側介電層1611進行圖案化,以暴露出下伏結構(例如,模製穿孔1605)的導電部分。在實施例中,可使用例如微影遮罩及蝕刻製程來圖案化前側介電層1611,其中光阻被放置、曝光及顯影,然後在非等向性蝕刻製程期間將光阻用作遮罩。然而,可利用用於對前側介電層1611進行圖案化的任何合適的製程。
一旦圖案化了前側介電層1611,就可形成前側重佈線層1613以使其與下伏導電區域接觸。在實施例中,可藉由以下方式來形成前側重佈線層1613:首先通過諸如CVD或濺鍍等適當形成製程形成鈦銅合金的第二晶種層。一旦沉積了第二晶種層,就可將光阻(未單獨示出)放置在第二晶種層上,以準備形成前側重佈線層1613。一旦形成且圖案化了光阻,就可通過諸如鍍覆等沉積製程在第二晶種層上形成諸如銅的導電材料。導電材料可形成為具有在約1微米與約10微米之間的厚度,諸如約5微米。然而,儘管所討論的材料及方法適合形成導電材料,但這些材料僅是例示性的。可使用任何其他合適的材料(諸如AlCu或Au)以及任何其他合適的形成製程(諸如CVD或PVD)來形成前側重佈線層1613。
一旦形成了導電材料,就可通過合適的去除製程,諸如灰化、濕蝕刻或電漿蝕刻來去除光阻。另外,在去除光阻之後,可使用導電材料作為遮罩通過例如合適的蝕刻製程來去除第二晶種層的先前被光阻覆蓋的那些部分。
一旦形成了前側重佈線層1613,就可以交替的方式將更多前側介電層1611與更多前側重佈線層1613一個接一個形成,且使前側重佈線層1613穿過前側介電層1611而彼此電耦合,直到形成期望的最頂部的前側介電層1611。可使用上文闡述的任何材料及製程形成更多前側介電層1611及更多前側重佈線層1613。然而,可利用任何合適的材料及沉積方法。根據一些實施例,模製穿孔1605藉由前側重佈線結構1609電耦合到最頂部的前側重佈線層1613的導電特徵。
在特定實施例中,前側重佈線結構1609可形成為使得前側重佈線結構1609在導電元件之間具有減少的節距。例如,導電元件可形成為具有在約4微米與約20微米之間的節距。然而,可利用任何合適的節距。
圖17示出結構向第二載體基底1701的轉移以及第一載體基底1601的去除。在實施例中,可使用例如第二黏著層1703將前側重佈線結構1609附接到第二載體基底1701。第二載體基底1701及第二黏著層1703可類似於第一載體基底1601及第一黏著層1603(上文參照圖16描述),但也可利用任何合適的結構及任何合適的黏著劑。
一旦所述結構附接到第二載體基底,就可在模製穿孔1605上方及/或在連接墊1501上方形成導電柱1705並將導電柱1705電耦合到模製穿孔1605及/或連接墊1501以用於第一光子積體電路1500的外部連接。可使用諸如銅、鋁或其組合等材料並藉由將所述材料鍍覆到被光阻部分地覆蓋的晶種層上來形成導電柱1705。一旦形成了導電柱1705,就去除光阻,然後使用導電柱1705作為遮罩來蝕刻晶種層。然而,可利用任何合適的材料及方法。
圖17進一步示出在第一光子積體電路1500的前側上方形成聚合物波導1707,以在操作期間與第一波導105光通信。根據一些實施例,可使用可接受的微影及蝕刻技術形成聚合物波導1707。例如,可藉由以下方式來形成聚合物波導1707。首先鄰近薄化的埋入式氧化物層103B形成光阻並覆蓋導電柱1705及包封體1607的暴露表面。一旦形成,就將光阻圖案化,以形成與第一波導105相對應的開口,以暴露出包封體1607的邊緣部分上方的區域。在一些實施例中,包封體1607的邊緣部分上方的區域對應於用於在操作期間在第一光子積體電路1500外部進行光通信的指定位置。一旦被圖案化,光阻可用作遮罩,以鄰近埋入式氧化物層103B及包封體1607的邊緣部分上方沉積第二波導材料層1201。
在實施例中,聚合物波導1707可為任何類型的波導,諸如平面波導或通道波導,且可包括兩種不同的材料:核心材料及包覆材料(cladding material),其中核心材料的折射率比包覆材料的折射率高。在實施例中,核心材料及包覆材料包括聚合物材料的組合,諸如聚(甲基丙烯酸甲酯)(poly(methylmethacrylate),PMMA)、聚苯乙烯(polystyrene,PS)、聚碳酸酯、聚胺甲酸酯、苯并環丁烷、全氟乙烯基醚環聚合物、四氟乙烯、全氟乙烯基醚共聚物、聚矽氧、氟化聚(伸芳基醚硫化物)(fluorinated poly(arylene ether sulfide))、聚(五氟苯乙烯)、氟化樹枝狀聚合物(fluorinated dendrimer)或氟化超枝化聚合物(fluorinated hyperbranched polymer)等。在另一實施例中,核心材料及包覆材料可包括氘化及鹵化聚丙烯酸酯、氟化聚醯亞胺、全氟環丁基芳基醚聚合物或非線性光學聚合物等。
可例如藉由首先使用諸如旋塗、刮刀刮塗、擠出或層疊等製程將每一層或多層的組合放置在光阻的開口內形成聚合物波導1707的核心材料及包覆材料。當形成聚合物波導1707的每一層時,可對所述層進行圖案化及成形,以便在操作期間控制及引導至第一波導105的光學訊號及來自第一波導105的光學訊號。例如,當形成聚合物波導1707的每一層材料時,可使用一系列的一個或多個蝕刻(諸如濕蝕刻或乾蝕刻)以將材料層如期望地成形。然而,可利用任何合適的方法。根據一些實施例,聚合物波導1707可形成為在約3微米與約10微米之間的第八厚度Th8。然而,可使用任何合適的厚度。
一旦形成了聚合物波導1707,就可使用諸如灰化的去除製程去除光阻。然而,可使用任何合適的去除製程。
圖18示出根據一些實施例的第五光學引擎1800。具體而言,圖18示出根據一些實施例,形成在導電柱1705、聚合物波導1707、包封體1607及第一光子積體電路1500上方的背側重佈線結構1801的形成。
可使用與上述前側重佈線結構1609的形成類似的背側介電層1803及背側重佈線層1805的一系列交替層來形成背側重佈線結構1801。可分別使用用於形成前側介電層1611及前側重佈線層1613的任何材料及製程形成背側介電層1803及背側重佈線層1805。
另外,背側介電層1803的最頂層可被圖案化以形成開口並暴露出下伏的背側重佈線層1805的區。可在開口內形成接觸接墊1807。在實施例中,接觸接墊1807可包括鋁,但可使用諸如銅的其他材料。接觸接墊1807可藉由以下方式來形成:使用諸如濺鍍的沉積製程以形成填充背側介電層1803內的開口的材料層(未示出)接觸接墊1807。一旦填充,可使用例如化學機械拋光製程將材料與背側介電層1803平坦化。然而,可利用任何其他合適的製程形成接觸接墊1807。一旦形成,則背側重佈線結構1801將導電柱1705及第一光子積體電路1500電耦合到接觸接墊1807,以對上覆的組件進行外部連接。
圖18進一步示出將第一半導體晶粒401放置及安裝到背側重佈線結構1801。第一半導體晶粒401可被放置成與接觸接墊1807接觸並且與第一光子積體電路1500及/或模製穿孔1605電接觸。如此,第一半導體晶粒401可被設計為通過背側重佈線結構1801與第一光子積體電路1500合作工作並可電耦合到第一光子積體電路1500。在實施例中,第一半導體晶粒401可進一步包括第二外部接觸件417(例如,控制塌陷晶片連接(C4)凸塊)。然而,任何合適的材料及/或結構可用於第二外部接觸件417。
可使用例如拾取及放置製程將第一半導體晶粒401放置到接觸接墊1807上。然而,可使用放置第一半導體晶粒401的任何其他方法。一旦物理接觸,就可執行接合製程以便將第一半導體晶粒401與接觸接墊1807接合。例如,在接觸接墊1807是焊料凸塊的實施例中,接合製程可包括回焊製程,其中將接觸接墊1807的溫度升高到接觸接墊1807將液化及流動的點,從而一旦接觸接墊1807重新固化,第一半導體晶粒401就接合到接觸接墊1807。
圖18進一步示出在第一半導體晶粒401與背側重佈線結構1801之間放置可選的第五底部填充物1809。在實施例中,可選的第五底部填充物1809是一種保護材料,用於緩衝及支撐第一半導體晶粒401及背側重佈線結構1801免受操作及環境劣化,諸如操作期間由於產生熱而引起的應力。可選的第五底部填充物1809可被注入或以其他方式形成在第一半導體晶粒401與背側重佈線結構1801之間的空間中,且可例如包括在第一半導體晶粒401與背側重佈線結構1801之間分配的液態環氧樹脂,且然後液態環氧樹脂被固化以硬化。
圖18還示出根據一些實施例的UBM及第一外部接觸件303的形成。可藉由以下方式來形成UBM及第一外部接觸件303:首先在前側介電層1611的最底層中形成開口,且開口暴露出前側重佈線層1613。UBM可形成在開口中並與前側重佈線層1613電接觸。可使用上文揭露的用於形成UBM的任何材料及製程形成UBM。將第一外部接觸件303形成及/或放置在UBM上方,且可執行回焊製程。然而,可利用任何合適的方法及材料。
根據一些實施例,第五光學引擎1800被形成為獨立的封裝裝置,其中聚合物波導1707以邊緣耦合器配置定位,以在操作期間用於光通信。如此,第五光學引擎1800可用於各種封裝組合件中。
圖19示出根據更進一步實施例的第五封裝組合件1900。根據一些實施例,第五封裝組合件1900包括第五光學引擎1800、光纖附接單元405及封裝基底407。可使用如上文所闡述的用於安裝第一光學引擎300的任何方法,使用第一外部接觸件303及可選的間隔件411將第五光學引擎1800安裝到封裝基底407。如此,第一光子積體電路1500藉由模製穿孔1605電耦合到封裝基底407。根據一些實施例,一旦安裝了積體光學引擎裝置1800,就可將可選的第六底部填充物1901放置在第五光學引擎1800與封裝基底407之間。
圖19進一步示出根據一些實施例的光纖413及光纖附接單元405在主動對準製程中與第五光學引擎1800的附接。如此,第一光子積體電路1500可在操作期間以邊緣耦合器配置在聚合物波導1707與光纖413之間進行光通信。圖19還示出在第五光學引擎1800、光纖附接單元405及封裝基底407之間形成的透明黏著劑415。儘管在圖19中示出主動對準製程,但如上所述,可以任何主動對準製程或任何被動對準製程使用光纖附接單元405將光纖413對準並附接到第五光學引擎1800。
圖20示出根據一些實施例的第六封裝組合件2000,其包括與封裝基底407共封裝的第一積體光學引擎裝置1800A及第二積體光學引擎裝置1800B。第一積體光學引擎裝置1800A及第二積體光學引擎裝置1800B例如在拾取及放置製程中以聚合物波導對準的方式被佈置在封裝基底407上方。例如,第一積體光學引擎裝置1800A的第一聚合物波導1707A與第二積體光學引擎裝置1800B的第二聚合物波導1707B對準,以形成光學互連2001。根據一些實施例,藉由在第一外部接觸件303上執行焊料回焊製程將第一積體光學引擎裝置1800A及第二積體光學引擎裝置1800B安裝到封裝基底407。然而,也可使用如上文所闡述的適合將第一外部接觸件303安裝到封裝基底407的任何方法。一旦安裝,可在第一積體光學引擎裝置1800A、第二積體光學引擎裝置1800B與封裝基底407之間放置第七底部填充物2003。
在操作期間,可在光學互連2001上方在第一積體光學引擎裝置1800A與第二積體光學引擎裝置1800B之間執行晶粒對晶粒光通信。此外,第一積體光學引擎裝置1800A及第二積體光學引擎裝置1800B可在操作期間從封裝基底407接收功率及訊號,且可在操作期間通過封裝基底407的導電特徵進行晶粒到晶粒的電通信(如第一方向箭頭905所指示)。
圖21至圖23示出根據更進一步實施例的在形成第七封裝組合件2400(參見圖24)的中間步驟期間形成的結構。根據一些實施例,第七封裝組合件2400包括第一光子互連結構2100、與第一光子互連結構2100共封裝的第一嵌入式光學引擎2201A及第二嵌入式光學引擎2201B。
根據一些實施例,第一光子互連結構2100包括第一光子晶粒互連2101A及第二光子晶粒互連2101B。第一光子晶粒互連2101A及第二光子晶粒互連2101B在本文中可被統稱為光子晶粒互連2101。光子晶粒互連2101在本文中也可被稱為光子互連層。此外,可在第一光子互連結構2100內形成任何合適數量的光子晶粒互連2101,且所有這樣的光子互連結構完全被意圖被包括在實施例的範圍內。
根據一些實施例,可藉由以下方式來形成第一光子互連結構2100:首先如上文所闡述的形成埋入式氧化物基底103。一旦形成了圖案化的矽層103C,就可在圖案化的矽層103C內形成第一波導105及第一光子組件107(例如,光柵耦合器106A、光偵測器106B、調變器106C或其組合等),如上所述。一旦形成了第一波導105及第一光子組件107,介電層119及導電特徵121以及結構穿孔(through structure via,TSV)2105就可形成在半導體基底103A上方及/或形成到半導體基底103A中。介電層119及導電特徵121可如上文所闡述般形成在埋入式氧化物基底103上方。結構穿孔2105類似於第一絕緣體穿孔111,且可使用適合形成第一絕緣體穿孔111的任何材料及製程穿過介電層119並進入埋入式氧化物基底103而形成。一旦形成了結構穿孔2105,光子晶粒117的接觸件113及/或第一光子互連結構2100的導電接墊123就可在介電層119的最頂層內形成,並與導電特徵121及/或結構穿孔2105電連接。
圖21進一步示出根據一些實施例的穿過介電層119的光學窗2103的形成。光學窗2103藉由以下方式來形成:首先在第一波導105中的光柵耦合器106A的位置上方形成穿過介電層119的開口。一旦形成開口,就用對光的相關波長透明的光學材料(例如,氧化矽、光學膠(例如,光學透明黏著劑(optically clear adhesive,OCA))、光學樹脂、光學玻璃、光學陶瓷或其組合等)填充開口以代替是光學隔離器的不透明材料(例如,不透明的介電質、包封體、模製化合物等)來使用。光學窗2103在本文中也可稱為光學饋通件(optical feedthrough)或光學通道(optical passageway)。在一些實施例中,用於形成光學窗2103的光學材料是折射率匹配材料,所述折射率匹配材料與上覆結構(例如,嵌入式光學引擎2201;見圖22)的材料的折射率匹配。
在一些實施例中,光學窗2103位於光子晶粒互連2101的一些區域中,這些區域實質上沒有導電特徵121、接觸件113、導電接墊123及第一絕緣體穿孔111,以便允許光功率及/或光學訊號傳輸穿過介電層119。例如,這些區域可在光柵耦合器106A與上覆結構(例如,嵌入式光學引擎2201)之間延伸,以允許將光功率或光學訊號從光子晶粒互連2101的第一波導105耦合到嵌入式光學引擎2201中及/或從嵌入式光學引擎2201耦合到光子晶粒互連2101的第一波導105中。在一些情況下,較薄的光子晶粒117可允許光柵耦合器106A與上覆結構(例如,嵌入式光學引擎2201)之間的更有效率的光耦合。
圖22示出根據一些實施例的嵌入式光學引擎2201、第二半導體晶粒2203及犧牲塊2205到光子晶粒互連件2101的附接。除了晶粒接墊2206形成為與第一絕緣體穿孔111電連接以代替第一外部接觸件303進行外部連接外,嵌入式光學引擎2201與第一光學引擎300類似。在一些實施例中,可將混合接合製程與例如拾取及放置製程一起使用,以將第一嵌入式光學引擎2201A及第二嵌入式光學引擎2201B分別安裝到第一光子晶粒互連2101A及第二光子晶粒互連2101B。如此,嵌入式光學引擎2201的晶粒接墊2206及半導體基底103A分別接合到光子晶粒互連2101的導電接墊123及介電層119。在混合接合製程期間,嵌入式光學引擎2201的第一回填結構301也接合到光子晶粒互連2101的介電層119及/或光學窗2103。在一些實施例中,可在混合接合製程期間使用光學黏著劑(例如,光學透明膠)以幫助將第一回填結構301接合到光學窗2103。
圖22進一步示出根據一些實施例的嵌入式光學引擎2201的可選的介面層2207。在一些實施例中,可選的介面層2207可設置在支撐基底101與間隙填充材料127及電子晶粒115的共面表面之間。可選的介面層2207可為對相關波長的光為透明的光學介電材料,且可使用上文闡述的適合形成間隙填充材料127的任何材料及方法形成。在一些實施例中,可選的介面層2207是折射率匹配材料,其使間隙填充材料127的光學折射率與支撐基底101的光學折射率匹配。如此,可選的介面層2207可在操作期間防止通過間隙填充材料127與支撐基底101之間的光學損耗及/或光通信的失真(distortion)。根據一些實施例,可選的介面層2207也可被添加到支撐基底101的背側,用於光纖413的後續連接(見圖24)。在這樣的實施例中,可選的介面層2207可為折射率匹配材料,其使光纖413的光學折射率與支撐基底101的光學折射率匹配。如此,可選的介面層2207可在操作期間防止通過光纖413與支撐基底101之間的光學損耗及/或光通信的失真。
除了設置晶粒接墊2206代替第二外部接觸件417(圖4所示)用於外部連接外,第二半導體晶粒2203與第一半導體晶粒401類似。根據一些實施例,第二半導體晶粒2203的晶粒接墊2206可在混合接合製程中被接合至第一光子互連結構2100的導電接墊123。如此,在混合接合製程期間,在晶粒接墊2206之間暴露出的第二半導體晶粒2203的介電材料(例如,包封體、模製化合物、氧化矽或其組合等)也可接合到光子晶粒117的介電層119。根據一些實施例,第二半導體晶粒2203是被設計為在操作期間與兩個或更多個嵌入式光學引擎2201(例如,第一嵌入式光學引擎2201A及第二嵌入式光學引擎2201B)合作的ASIC裝置(例如,多主機控制器(multi-host controller)或開關等)。
另外,儘管以單個第二半導體晶粒2203示出實施例,但此僅是例示性的,並不意圖限制實施例。而是,可使用任何合適數量的第二半導體晶粒2203,諸如兩個或更多個。所有這樣數量的晶粒完全被意圖包括在實施例的範圍內。
根據一些實施例,犧牲塊2205以下方式來形成:首先將區塊開口(block opening)2209圖案化到載體上方的塊狀矽材料中但不穿過塊狀矽材料(例如,半導體基底、玻璃、陶瓷、介電質、塊狀半導體或矽晶圓等)。可藉由可接受的微影及蝕刻技術形成區塊開口2209,例如藉由形成並圖案化光阻,然後使用圖案化的光阻作為蝕刻遮罩執行蝕刻製程。一旦形成了區塊開口2209,就可使用適當的去除製程(例如,灰化)去除光阻。
可藉由以下方式將圖案化的塊材矽材料單體化為單獨的犧牲塊2205:首先將圖案化的塊狀矽材料轉移到切割帶。一旦轉移到切割帶,就可使用合適的單體化製程(諸如劃切與裂片、鋸切、蝕刻、雷射切割或其組合等)將圖案化的塊狀矽單體化成多個犧牲塊2205。
一旦被單體化,使用例如拾取及放置製程,以區塊開口2209面向第一光子互連結構2100的方式放置犧牲塊2205。然而,可使用任何合適的製程。根據一些實施例,使用施加到犧牲塊2205的面向第一光子互連結構2100的表面的黏著劑(例如,晶粒貼附膜、光活化黏著劑、環氧樹脂、介面材料或其組合等)將犧牲塊2205附接到第一光子互連結構2100。在一些實施例中,第一黏著劑是光活化黏著劑,且被施加在表面的中心區域中。根據一些實施例,可使用第一波長的光使光活化黏著劑活化,且可使用第二波長的光使光活化黏著劑去活化。在一些實施例中,沿著圍繞第一黏著劑的表面的周邊施加不同於第一黏著劑的第二黏著劑。在一些實施例中,第二黏著劑不是光活化黏著劑。在一些其中第二黏著劑是光活化黏著劑的實施例中,可使用第一波長的光使第二黏著劑活化,但第二黏著劑不被第二波長的光去活化。然而,可使用任何合適的黏著劑或黏著劑的組合。
圖23示出根據一些實施例的多光學引擎裝置2300的形成。具體而言,圖23示出犧牲塊2205、嵌入式光學引擎2201及第二半導體晶粒2203的包封。包封可在模製裝置(圖23中未單獨示出)中執行,所述模製裝置可包括頂部模製部分及可與頂部模製部分分開的底部模製部分。當頂部模製部分下降至與底部模製部分相鄰時,可形成用於第一光子互連結構2100、犧牲塊2205、嵌入式光學引擎2201及第二半導體晶粒2203的模製腔。
在包封製程期間,頂部模製部分可與底部模製部分相鄰放置,從而將第一光子互連結構2100、犧牲塊2205、嵌入式光學引擎2201及第二半導體晶粒2203封閉在模製腔內。一旦封閉,頂部模製部分及底部模製部分可形成氣密密封,以便控制氣體從模製腔的流入及流出。一旦密封,就可將包封體2301放置在模製腔內。包封體2301可為模製化合物樹脂,例如聚醯亞胺、PPS、PEEK、PES、耐熱結晶樹脂或這些的組合等。可在頂部模製部分及底部模製部分對準之前將包封體2301放置在模製腔內,或者可通過注入口將包封體2301注入模製腔內。
一旦將包封體2301放置到模製腔中,使得包封體2301包封第一光子互連結構2100、犧牲塊2205、嵌入式光學引擎2201及第二半導體晶粒2203,就可固化包封體2301以使包封體2301硬化以達到最佳保護。儘管確切的固化製程至少部分取決於為包封體2301選擇的特定材料,但在選擇模製化合物作為包封體2301的實施例中,固化可通過諸如將包封體2301加熱到約100ºC與約130ºC之間(諸如約125ºC)、持續約60秒至約3000秒(諸如約600秒)發生。另外,引發劑及/或催化劑可被包括在包封體2301內以更好地控制固化製程。
然而,如本領域普通技術人員將認知的,上述固化製程僅是例示性製程,並不意味著限制當前的實施例。可使用其他固化製程,例如照射或甚至允許包封體2301在環境溫度下硬化。可使用任何合適的固化製程,且所有這樣的製程完全被意圖包括在本文所討論的實施例的範圍內。
一旦固化了包封體2301,就可將包封體2301平坦化(例如,化學機械平坦化),且可將支撐載體附接至包封體2301的平坦表面以在進一步的處理及加工期間提供支撐。在實施例中,可使用暴露於紫外光時會失去其黏著性的晶粒貼附膜(紫外線膠)來附接支撐載體。然而,也可使用其他類型的黏著劑,例如壓敏黏著劑、可輻射固化的黏著劑、環氧樹脂或這些的組合等。可將黏著劑以在壓力下容易變形的半液體或凝膠形式放置在支撐載體上。一旦附接了支撐載體,就使半導體基底103A的背側薄化並且使結構穿孔2105暴露出。可藉由CMP製程或機械研磨等使半導體基底103A薄化。根據一些實施例,將半導體基底103A薄化到約25,000奈米與約100,000奈米之間的第三高度H3。然而,可使用任何合適的高度。
一旦暴露出了結構穿孔2105,第一外部接觸件303就可形成在結構穿孔2105上方並與結構穿孔2105電連接。可使用如上文所闡述般適合在第一絕緣體穿孔111上方形成第一外部接觸件303的任何材料及製程在結構穿孔2105上方形成第一外部接觸件303。一旦形成了第一外部接觸件303,就將載體帶2307附接到第一外部接觸件303,並去除支撐載體以暴露出包封體2301的背側以進行進一步處理。
圖23進一步示出包封體2301的薄化,以便暴露出及/或薄化犧牲塊2205、嵌入式光學引擎2201及第二半導體晶粒2203,以進行進一步處理。可例如使用機械研磨或化學機械拋光(CMP)製程來執行薄化,其中利用化學蝕刻劑及研磨劑來反應並研磨掉包封體2301,直到犧牲塊2205、嵌入式光學引擎2201及第二半導體晶粒2203暴露出為止。在一些實施例中,執行薄化直到在犧牲塊2205的背側處顯露出區塊開口2209。在這樣的實施例中,在區塊開口2209之間形成區塊核心2303,且犧牲塊2205的其餘部分形成垂直光纖附接單元2305的側壁。如此,犧牲塊2205、嵌入式光學引擎2201及第二半導體晶粒2203可具有也與包封體2301共面的平坦表面。
然而,儘管上述CMP製程被作為一個說明性實施例提出,但其並不被意圖限制實施例。可使用任何其他合適的去除製程將包封體2301及犧牲塊2205薄化並暴露出區塊開口2209。例如,可利用一系列化學蝕刻。可利用此製程及任何其他合適的製程將包封體2301及犧牲塊2205薄化,且所有這樣的製程完全被意圖包括在實施例的範圍內。
圖24示出根據一些實施例的包括多光學引擎裝置2300的第七封裝組合件2400。具體而言,圖24示出根據一些實施例的將多光學引擎裝置2300安裝到封裝基底407、移除區塊核心2303,以及附接第一光纖2401與第二光纖2403。
可使用例如拾取及放置製程將多光學引擎裝置2300從載體帶2307移除並將多光學引擎裝置2300放置在封裝基底407上方。一旦放置好,就可例如藉由執行適當的接合製程(諸如,焊料回焊製程)以將多光學引擎裝置2300的第一外部接觸件303接合到封裝基底407的導電接觸件,從而將多光學引擎裝置2300安裝到封裝基底407。然而,可利用任何合適的接合製程。
一旦安裝到封裝基底407,就可從犧牲塊2205移除區塊核心2303。在其中使用光活化的第一黏著劑附接犧牲塊2205的實施例中,可如上所述藉由使用第一波長的光源使黏著劑去活化而去除區塊核心2303。如此,區塊核心2303從第一光子互連結構2100釋放,且可使用例如拾取及放置製程來去除。然而,也可使用任何合適的去除製程。
一旦去除了區塊核心2303,就在垂直光纖附接單元2305內形成腔,且第一光纖2401可附接到多光學引擎裝置2300。根據一些實施例,第一光纖2401可為功率光纖,且可在第一波導105及/或第一光子組件107上方的位置處插入垂直光纖附接單元2305的腔中。根據一些實施例,垂直光纖附接單元2305的側壁例如藉由摩擦力將第一光纖2401固定在垂直位置。在一些實施例中,可使用對光的相關波長為透明的光學黏著劑(例如,光學透明膠)將第一光纖2401固定在垂直光纖附接單元2305內。
在一些實施例中,第二光纖2403可附接到光學引擎(例如,第二嵌入式光學引擎2201B)的可選的介面層2207。根據一些實施例,可選的介面層2207是光活化黏著膜。在這樣的實施例中,第二光纖2403可為訊號光纖,且可藉由投射光活化黏著膜的活化波長的源光而附接到可選的介面層2207。在一些實施例中,可使用對光的相關波長為透明的光學黏著劑來將第二光纖2403固定到可選的介面層2207或第二嵌入式光學引擎2201B的背側。在其他實施例中,第二光纖附接單元(未示出)可用於附接第二光纖2403。
圖24進一步示出,在操作期間,嵌入式光學引擎2201及第二半導體晶粒2203可如第一方向箭頭905所指示般經由封裝基底407接收電功率及/或電通信。另外,嵌入式光學引擎2201以及第一光纖2401及第二光纖2403可在操作期間如第二方向箭頭2405所指示般進行光通信。
第一光子互連結構2100的第一波導105及第一光子組件107用於在第一光纖2401(例如,功率光纖)及嵌入式光學引擎2201之間傳輸及接收光學訊號。具體而言,第一光子互連結構2100的第一光子組件107將電訊號轉換為光學訊號以沿著第一光纖2401傳輸,且將來自第一光纖2401的光學訊號轉換為電訊號。因此,第一光子互連結構2100的第一光子組件107負責至/來自第一光纖2401的光學訊號的輸入/輸出(I/O)。在一些實施例中,第一光子互連結構2100的第一光子組件107可用於例如以晶粒對晶粒通信在第一嵌入式光學引擎2201A及第二嵌入式光學引擎2201B之間交換光通信。根據一些實施例,第二半導體晶粒2203可為例如多晶粒開關,其控制嵌入式光學引擎2201的光通信及電通信的流動。
第二嵌入式光學引擎2201B的第一波導105及第一光子組件107用於在第二光纖2403(例如,訊號光纖)與第一光子互連結構2100之間傳輸及接收光學訊號。具體而言,第二嵌入式光學引擎2201B的第一光子組件107將電訊號轉換成光學訊號以沿著第二光纖2403傳輸,且將來自第二光纖2403的光學訊號轉換成電訊號。因此,第二嵌入式光學引擎2201B的第一光子組件107負責至/來自第二光纖2403的光學訊號的輸入/輸出(I/O)。
圖25示出根據一些實施例的第八封裝組合件2500的另一實施例。第八封裝組合件2500與圖24的第七封裝組合件2400類似,不同之處在於第八封裝組合件2500還包含第一光學引擎300、折射率匹配膠2505以及第二光子互連結構2507,所述第一光學引擎300包含可選的前側(front-side,FS)鏡ARC 2501及可選的背側(backside,BS)鏡ARC 2503,所述第二光子互連結構2507包含第二波導材料層1201。
根據一些實施例,第二光子互連結構2507在埋入式氧化物層103B與半導體基底103A之間形成有第二波導材料層1201(例如,氮化矽)。然而,可使用任何合適的材料用於第二波導材料層1201。
第一光學引擎300可藉由在如上所述形成埋入式氧化物層103B之前首先在半導體基底103A上沉積及圖案化ARC材料而形成有可選的背側(BS)鏡ARC 2503。ARC材料可為抗反射膜,諸如單層ARC及/或雙層ARC材料(例如,氧化鋁、氧化鈦、氧化矽或其組合等)。然而,可使用任何合適的抗反射材料。一旦沉積,就可使用例如微影遮罩及蝕刻製程來圖案化可選的背側(BS)鏡ARC 2503,其中光阻被放置、曝光並顯影,然後在非等向性蝕刻製程期間將光阻用作遮罩。然而,可利用用於圖案化可選的背側(BS)鏡ARC 2503的任何合適的製程。
第一光學引擎300可藉由在如上所述形成間隙填充材料127之前首先在第一波導105及/或第一光子組件107上方沉積及圖案化ARC材料而形成有可選的前側(FS)鏡ARC 2501。可選的前側(FS)鏡ARC 2501可使用適合形成可選的背側(BS)鏡ARC 2503的任何材料及製程來形成。
折射率匹配膠2505可用於將第一光學引擎300附接到第二光子互連結構2507。折射率匹配膠2505可為例如光學透明黏著劑(optically clear adhesive; OCA)、光學樹脂、光學玻璃、光學陶瓷或其組合等,且與第一回填結構301的折射率匹配。折射率匹配膠2505可使用適當的製程(例如,黏著劑分配器或印刷機(printer)等)以任何適當的形式(例如,液體、膜或膠帶等)施加。在操作期間,折射率匹配膠2505用於在第一光學引擎300與第二光子互連結構2507的波導之間(如第三方向箭頭2509所指示般)進行光通信。
圖25進一步示出根據一些實施例的在第二光子互連結構2507上方的第一光學引擎300、第二半導體晶粒2203(例如,ASIC或開關等)及第一波導105的包封。可使用如上文所闡述的任何材料及技術形成包封體2301。在一些實施例中,第一波導105在第二光子互連結構2507的周邊處保持暴露出。一旦形成了包封體2301,就可如上文所闡述般使用第一外部接觸件303將第二光子互連結構2507安裝到封裝基底407上。一旦安裝好,就可在第二光子互連結構2507與封裝基底407之間形成第一底部填充物409。可將訊號光纖2403附接到第一光學引擎300的背側,且可將功率光纖2401附接到第一波導105的暴露表面。根據一些實施例,可使用光學黏著劑來附接訊號光纖2403及功率光纖2401。在其他實施例中(未具體示出),可如上文所闡述般藉由形成用於固定功率光纖2401的垂直光纖附接單元2305形成穿過包封體2301的背側腔。然而,可使用任何合適的材料及技術來附接訊號光纖2403及/或功率光纖2401。
圖26示出根據更進一步實施例的第九封裝組合件2600的形成。兩個光學引擎300A及300B(見圖3)安裝到第三光子互連結構2601並經由嵌入式Si波導2607進行光通信。光學引擎300A及光學引擎300B通過第三光子互連結構2601分別電連接到第二半導體晶粒2203A及第二半導體晶粒2203B(例如,主ASIC)並由第二半導體晶粒2203A及第二半導體晶粒2203B控制。
根據一些實施例,藉由以下方式來形成第三光子互連結構2601:首先形成導電柱2605(例如,Cu柱),然後在載體基底(未單獨示出)上方放置或形成嵌入式Si波導2607(例如,圖3的光子晶粒117及第一波導105)。可使用上文闡述的任何包封材料及技術在導電柱2605及嵌入式Si波導2607上方形成或放置包封體2301(例如,模製化合物)。一旦包封,就可執行化學機械平坦化(CMP)製程以使嵌入式Si波導2607及包封體2301薄化,留下矽波導及諸如光柵耦合器等任何其他期望的結構,並暴露出導電柱2605。如此,嵌入式Si波導2607及導電柱2605可具有也與包封體2301共面的平坦表面。
圖26進一步示出根據一些實施例的在嵌入式Si波導2607、導電柱2605及包封體2301上方的前側重佈線結構1609的形成。可使用上述任何材料及製程來形成前側重佈線結構1609,且可利用任何期望數量的前側介電層1611及前側重佈線層1613來形成前側重佈線結構1609。
一旦形成了前側重佈線結構1609,就可將第二載體施加到前側重佈線結構1609的暴露表面上,並去除第一載體。根據一些實施例,一旦去除第一載體,就可在嵌入式Si波導2607、導電柱2605及包封體2301上方形成背側重佈線結構1801。
圖26進一步示出穿過背側重佈線結構1801光耦合到嵌入式Si波導2607的第一光學穿孔(optical through via,OTV)2609A及將第一光學穿孔2609A光耦合到隨後放置的第一光學引擎300的第二光學穿孔2609B的形成。第一光學穿孔2609A及第二光學穿孔2609B在本文中可統稱為光學穿孔2609。可藉由以下方式來形成光學穿孔2609:首先在光柵耦合器106A上方的位置中形成穿過背側介電層1803的開口並暴露出嵌入式Si波導2607。
根據一些實施例,將光學填充材料(例如,折射率匹配的聚合物)沉積在開口內,然後例如使用退火製程將光學填充材料硬化以形成第一光學穿孔2609A。一旦形成了第一光學穿孔2609A,就可藉由以下方式在背側重佈線結構1801上方形成第二光學穿孔2609B:首先沉積且圖案化光阻並使用圖案化的光阻作為遮罩。根據一些實施例,可將第二光學填充材料(例如,折射率匹配的底部填充物)沉積在開口中,然後將第二光學填充材料硬化以形成第二光學穿孔2609B。一旦形成,就在諸如灰化的製程中去除光阻。可使用如上文所闡述的適合形成折射率匹配膠2505及/或光學窗2103的任何材料及技術形成光學穿孔2609。
根據一些實施例,一旦形成,第二光學穿孔2609B及第二外部接觸件417就可用於將第一光學引擎300A及第一光學引擎300B安裝到背側重佈線結構1801。在一些實施例中,可使用黏著膜將第一回填結構301附接到第二光學穿孔2609B,且可使用例如焊料回焊製程將第二外部接觸件417附接到背側重佈線結構1801的接觸接墊。然而,可使用任何合適的連接件及安裝技術。根據一些實施例,可使用第一外部接觸件303將第二半導體晶粒2203A及第二半導體晶粒2203B安裝到背側重佈線結構1801。然而,可使用任何合適的連接件及安裝技術。
在操作期間,第一光學穿孔2609A用於在第一光學引擎300與嵌入式Si波導2607之間如第四方向箭頭2611所指示般進行光通信(例如,晶粒對晶粒的光通信)。第二半導體晶粒2203A及第二半導體晶粒2203B可通過第三光子互連結構2601彼此電通信及/或與第一光學引擎300A及第一光學引擎300B電通信。
圖26進一步示出例如在第一光學穿孔2609A的形成與第二光學穿孔2609B的形成之間使用第一外部接觸件303將第三光子互連結構2601安裝到可選的中介物2603。根據一些實施例,可選的中介物2603包括用於對第九封裝組合件2600進行外部連接的第二外部接觸件2613。在一些實施例中,第二外部接觸件2613被形成為焊料球。然而,可使用任何合適的接觸件。
藉由利用本文提出的方法及結構,可利用更經濟的製造製程以幫助防止光子光學引擎的邊緣耦合器的光學損耗。具體而言,可減少由於光束與基底材料(例如,矽)交疊而引起的光學損耗。
根據一些實施例,一種方法包括:在第二層與半導體基底之間設置第一層;在所述第二層中形成第一波導;在所述第一波導上方形成光子晶粒;在所述半導體基底中形成第一腔並暴露出所述第一層;用第一回填材料填充所述第一腔;以及將電子晶粒電耦合到所述光子晶粒。在實施例中,所述方法更包含在所述半導體基底中形成第二腔並暴露出所述第一層;以及用第二回填材料填充所述第二腔,所述第二回填材料不同於所述第一回填材料。在實施例中,所述方法更包含在形成所述第一腔之前,在所述半導體基底中形成絕緣體穿孔。在實施例中,所述方法更包含將所述絕緣體穿孔電連接到封裝基底。在實施例中,將所述電子晶粒電耦合到所述光子晶粒包括將所述電子晶粒混合接合到所述光子晶粒。在實施例中,所述方法更包含:鄰近所述半導體基底安裝所述電子晶粒,並將所述電子晶粒電耦合到所述絕緣體穿孔。在實施例中,所述第一層包括氧化矽。
根據另一個實施例,一種方法包括:形成與第一氧化物層相鄰的第一波導;形成與所述第一波導相鄰且光耦合到所述第一波導的光子晶粒;將電子晶粒與所述光子晶粒混合接合;以及相對於所述第一波導鄰近所述第一氧化物層形成第二波導,所述第二波導通過所述第一氧化物層光耦合到所述第一波導。在實施例中,所述方法更包含在形成所述第二波導之前,將所述光子晶粒、所述電子晶粒及模製穿孔包封在包封體中,其中形成所述第二波導包括以聚合物材料形成所述第二波導。在實施例中,形成所述第二波導包括鄰近所述包封體形成部分所述第二波導。在實施例中,所述方法更包含在所述第二波導上方形成第一重佈線結構。在實施例中,所述方法更包含形成第二重佈線結構,所述第二重佈線結構與所述模製穿孔相鄰並且電耦合到所述模製穿孔。在實施例中,所述方法更包含:使用氮化物材料形成所述第二波導;在所述第二波導上方形成第二氧化物層;以及形成穿過所述第二氧化物層、所述第二波導及所述第一氧化物層的導電通孔,所述導電通孔電耦合到所述光子晶粒。在實施例中,所述方法更包含在形成導電通孔之前,使用氮化矽材料在所述第二氧化物層上方形成第三波導,所述第三波導光耦合到所述第二波導。
根據又另一實施例,一種半導體裝置包括:鄰近半導體基底的第一氧化物基底結構;在所述半導體基底及所述第一氧化物基底結構上方的氧化物層;在所述氧化物層上方的第一波導;在所述氧化物層上方且光耦合到所述第一波導的光子晶粒;以及電耦合到所述光子晶粒的電子晶粒。在實施例中,所述第一波導包括矽尖端(silicon tip),其中所述矽尖端及所述第一氧化物基底結構與所述半導體裝置的側壁毗連。在實施例中,所述電子晶粒的第一晶粒接觸件接合到所述光子晶粒的第二晶粒接觸件。在實施例中,所述半導體裝置更包含延伸穿過所述氧化物層及所述半導體基底的絕緣體穿孔,所述絕緣體穿孔將所述光子晶粒電耦合到所述電子晶粒。在實施例中,所述半導體裝置更包含延伸穿過所述半導體基底的第二氧化物基底結構,其中所述第一氧化物基底包括第一材料,且所述第二氧化物基底結構包括與所述第一材料不同的第二材料。在實施例中,所述半導體裝置更包含:封裝基底;穿過所述氧化物層及所述半導體基底的絕緣體穿孔,所述絕緣體穿孔電耦合到所述光子晶粒;以及將所述絕緣體穿孔電耦合到所述封裝基底的外部接觸件,其中所述電子晶粒安裝到所述封裝基底,且所述電子晶粒藉由所述封裝基底電耦合到所述光子晶粒。
上文概述了若干個實施例的特徵,使得本領域技術人員可更好地了解本揭露的各方面。本領域技術人員應當理解,他們可容易地將本揭露用作設計或修改其他製程及結構的基礎,以實施與本文介紹的實施例相同的目的及/或實現相同的優點。本領域技術人員還應認識到,這樣的等同構造不脫離本揭露的精神及範圍,且在不背離本揭露的精神及範圍的情況下,他們可進行各種改變、替換及變更。
101:支撐基底 103:埋入式氧化物基底 103A:半導體基底 103B:埋入式氧化物層 103C:矽層 105、1003A:第一波導 106A:光柵耦合器 106B:光偵測器 106C:調變器 107:第一光子組件 109:鈍化層 111:第一絕緣體穿孔 113:接觸件 115:電子晶粒 117:光子晶粒 119:介電層 121:導電特徵 123:導電接墊 125:晶粒連接件 127:間隙填充材料 129:第一區域 131:區域 201:腔 300:第一光學引擎 300A、300B:光學引擎/第一光學引擎 301:第一回填結構 303:第一外部接觸件 400:第一封裝組合件 401:第一半導體晶粒 405:光纖附接單元 407:封裝基底 409:第一底部填充物 411:間隔件 413:光纖 415:透明黏著劑 417、2613:第二外部接觸件 419:方向箭頭 421:第二底部填充物 500:共封裝佈置 501:對準溝槽 503:對準調整片 505:對準凹口 601:第二腔 700:第二光學引擎 701:第二回填結構 703:第三外部接觸件 800:第二封裝組合件 801:引線接合 803:接合墊 805:第三底部填充物材料 900:第三封裝組合件 901:第三光學引擎 903:第四底部填充物材料 905:第一方向箭頭 1000:第四光學引擎 1003、1003B:第二波導 1005:前側保護層 1005A:第一氧化物保護層 1005B:第二氧化物保護層 1009:第三區域 1011:第二絕緣體穿孔 1103:第四區域 1201:第二波導材料層 1400:第四封裝組合件 1401:腔 1500:第一光子積體電路 1501:連接墊 1601:第一載體基底 1603:第一黏著層 1605:模製穿孔 1607、2301:包封體 1609:前側重佈線結構 1611:前側介電層 1613:前側重佈線層 1701:第二載體基底 1703:第二黏著層 1705:導電柱 1707:聚合物波導 1707A:第一聚合物波導 1707B:第二聚合物波導 1800:第五光學引擎 1800A:第一積體光學引擎裝置 1800B:第二積體光學引擎裝置 1801:背側重佈線結構 1803:背側介電層 1805:背側重佈線層 1807:接墊 1809:第五底部填充物 1900:第五封裝組合件 1901:第六底部填充物 2000:第六封裝組合件 2001:光學互連 2003:第七底部填充物 2100:第一光子互連結構 2101A:第一光子晶粒互連 2101B:第二光子晶粒互連 2103:光學窗 2105:結構穿孔 2201:嵌入式光學引擎 2201A:第一嵌入式光學引擎 2201B:第二嵌入式光學引擎 2203、2203A、2203B:第二半導體晶粒 2205:犧牲塊 2206:晶粒接墊 2207:介面層 2209:區塊開口 2300:多光學引擎裝置 2303:區塊核心 2305:垂直光纖附接單元 2307:載體帶 2400:第七封裝組合件 2401:第一光纖/功率光纖 2403:第二光纖/訊號光纖 2405:第二方向箭頭 2500:第八封裝組合件 2501:前側鏡ARC 2503:背側鏡ARC 2505:折射率匹配膠 2507:第二光子互連結構 2509:第三方向箭頭 2600:第九封裝組合件 2601:第三光子互連結構 2603:中介物 2605:導電柱 2607:嵌入式Si波導 2609A:第一光學穿孔 2609B:第二光學穿孔 2611:第四方向箭頭 H1:第一高度 H2:第二高度 H3:第三高度 L1:第一長度 Th1:第一厚度 Th2:第二厚度 Th3:第三厚度 Th4:第四厚度 Th5:第五厚度 Th6:第六厚度 Th7:第七厚度 Th8:第八厚度
當與附圖一起閱讀時,本揭露的各方面可由以下詳細描述最好地理解。應注意,根據業界的標準慣例,各種特徵未按比例繪製。實際上,為了清楚討論,可任意地增加或減小各種特徵的尺寸。 圖1A、圖1B、圖2及圖3示出根據一些實施例的形成第一光學引擎(optical engine)的剖面圖。 圖4示出根據一些實施例的使用第一光學引擎的第一封裝組合件。 圖5示出根據一些實施例的在第一光學引擎中用於與光纖附接單元被動對準的可選凹槽特徵的形成。 圖6至圖8示出根據一些實施例的第二光學引擎及第二封裝組合件的形成。 圖9示出根據一些實施例的使用第三光學引擎的第三封裝組合件。 圖10至圖13示出根據又一些進一步實施例的第四光學引擎的形成。 圖14示出根據一些實施例的使用第四光學引擎的第四封裝組合件的形成。 圖15至圖18示出根據更進一步實施例的第五光學引擎的形成。 圖19示出根據一些進一步實施例的使用第五光學引擎的第五封裝組合件。 圖20示出根據一些進一步實施例的使用兩個光學引擎的第六封裝組合件。 圖21至圖24示出根據更進一步實施例的使用兩個光學引擎的第七封裝組合件的形成。 圖25示出根據更進一步實施例的使用兩個光學引擎的第八封裝組合件的形成。 圖26示出根據更進一步實施例的使用兩個光學引擎的第九封裝組合件的形成。
101:支撐基底
103:埋入式氧化物基底
103A:半導體基底
103B:埋入式氧化物層
103C:矽層
105:第一波導
106A:光柵耦合器
106B:光偵測器
106C:調變器
107:第一光子組件
109:鈍化層
111:第一絕緣體穿孔
113:接觸件
115:電子晶粒
117:光子晶粒
119:介電層
121:導電特徵
123:導電接墊
125:晶粒連接件
127:間隙填充材料
129:第一區域
131:區域
300:第一光學引擎
H1:第一高度

Claims (1)

  1. 一種半導體裝置的形成方法,包括: 在第二層與半導體基底之間設置第一層; 在所述第二層中形成第一波導; 在所述第一波導上方形成光子晶粒; 在所述半導體基底中形成第一腔並暴露出所述第一層; 用第一回填材料填充所述第一腔;以及 將電子晶粒電耦合到所述光子晶粒。
TW110127039A 2021-04-16 2021-07-22 半導體裝置及其形成方法 TW202242463A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/232,567 2021-04-16
US17/232,567 US20220334310A1 (en) 2021-04-16 2021-04-16 Structure and process for photonic packages

Publications (1)

Publication Number Publication Date
TW202242463A true TW202242463A (zh) 2022-11-01

Family

ID=82667955

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110127039A TW202242463A (zh) 2021-04-16 2021-07-22 半導體裝置及其形成方法

Country Status (3)

Country Link
US (2) US20220334310A1 (zh)
CN (1) CN114883202A (zh)
TW (1) TW202242463A (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8280207B2 (en) * 2008-11-06 2012-10-02 Luxtera Inc. Method and system for coupling optical signals into silicon optoelectronic chips
US9331096B2 (en) * 2009-09-04 2016-05-03 Luxtera, Inc. Method and system for hybrid integration of optical communication systems
WO2013074103A1 (en) * 2011-11-16 2013-05-23 Intel Corporation Optical connection techniques and configurations
US20180180808A1 (en) * 2016-12-22 2018-06-28 Oracle International Corporation Wafer-level packaged optoelectronic module
US10267988B2 (en) * 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic package and method forming same

Also Published As

Publication number Publication date
US20230384517A1 (en) 2023-11-30
CN114883202A (zh) 2022-08-09
US20220334310A1 (en) 2022-10-20

Similar Documents

Publication Publication Date Title
CN112530925B (zh) 封装件及其形成方法
TWI768671B (zh) 半導體元件以及其製造方法
US20210096311A1 (en) Photonic semiconductor device and method of manufacture
US11747563B2 (en) Photonic semiconductor device and method of manufacture
CN113053835A (zh) 半导体封装及其形成方法
US20220099887A1 (en) Photonic package and method of manufacture
US20220382003A1 (en) Photonic Semiconductor Device and Method of Manufacture
US11973074B2 (en) Photonic semiconductor device and method of manufacture
CN115831950A (zh) 半导体封装件及其形成方法
US20230417993A1 (en) Photonic Package and Method of Manufacture
US20230384517A1 (en) Structure and process for photonic packages
US11947173B2 (en) Photonic semiconductor device and method of manufacture
US20240085610A1 (en) Photonic Package and Method of Manufacture
US20240113056A1 (en) Semiconductor device and methods of manufacture
CN117457625A (zh) 封装件、半导体封装件及其形成方法
CN117369061A (zh) 封装件及其制造方法
CN118173645A (zh) 半导体器件及其制造方法
KR20240044342A (ko) 광학 디바이스 및 제조 방법