TW202238877A - 半導體封裝及製造半導體封裝的方法 - Google Patents

半導體封裝及製造半導體封裝的方法 Download PDF

Info

Publication number
TW202238877A
TW202238877A TW110128996A TW110128996A TW202238877A TW 202238877 A TW202238877 A TW 202238877A TW 110128996 A TW110128996 A TW 110128996A TW 110128996 A TW110128996 A TW 110128996A TW 202238877 A TW202238877 A TW 202238877A
Authority
TW
Taiwan
Prior art keywords
heat dissipation
dissipation layer
semiconductor package
substrate
layer
Prior art date
Application number
TW110128996A
Other languages
English (en)
Other versions
TWI790702B (zh
Inventor
高金福
陳承先
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202238877A publication Critical patent/TW202238877A/zh
Application granted granted Critical
Publication of TWI790702B publication Critical patent/TWI790702B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3675Cooling facilitated by shape of device characterised by the shape of the housing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4871Bases, plates or heatsinks
    • H01L21/4882Assembly of heatsink parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/54Providing fillings in containers, e.g. gas fillings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/16Fillings or auxiliary members in containers or encapsulations, e.g. centering rings
    • H01L23/18Fillings characterised by the material, its physical or chemical properties, or its arrangement within the complete device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3736Metallic materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3737Organic materials with or without a thermoconductive filler
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/42Fillings or auxiliary members in containers or encapsulations selected or arranged to facilitate heating or cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1431Logic devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/161Cap
    • H01L2924/1615Shape
    • H01L2924/16152Cap comprising a cavity for hosting the device, e.g. U-shaped cap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/161Cap
    • H01L2924/162Disposition
    • H01L2924/16251Connecting to an item not being a semiconductor or solid-state body, e.g. cap-to-substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/182Disposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Geometry (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Cooling Or The Like Of Semiconductors Or Solid State Devices (AREA)
  • Control And Other Processes For Unpacking Of Materials (AREA)

Abstract

一種半導體封裝包括基板、封裝結構、蓋結構及散熱層。所述封裝結構設置在所述基板上,其中所述封裝結構包括多個裝置晶粒及填充所述多個裝置晶粒中的相鄰兩者之間的間隙的填充材料。所述蓋結構設置在所述基板上方並覆蓋所述封裝結構。所述散熱層設置在所述蓋結構與所述封裝結構之間,其中所述散熱層具有在對應於所述間隙的間隙區處厚度不連續的輪廓。

Description

半導體封裝及製造半導體封裝的方法
半導體裝置用於例如個人電腦、手機、數位照相機及其他電子設備等各種電子應用中。半導體裝置通常通過在半導體基板上方依序沉積絕緣層或介電層、導電層及半導體材料層、並使用微影將所述各種材料層圖案化以在其上面形成電路元件及元件來製作。許多積體電路通常製造在單個半導體晶圓上。晶圓的晶粒可在晶圓級被處理及封裝,並且已研發了用於晶圓級封裝的各種技術。
在以下揭露內容提供用於實施所提供主題的不同特徵的許多不同實施例或實例。以下闡述元件及佈置的具體實例以簡化本揭露。當然,這些僅為實例且不旨在進行限制。舉例來說,以下說明中將第一特徵形成在第二特徵「上方」或第二特徵「上」可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且更可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「位於…之下(beneath)」、「位於…下方(below)」、「下部的(lower)」、「位於…上方(above)」、「上部的(upper)」及類似用語等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外更囊括裝置在使用或操作中的不同定向。裝置可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
根據各種示例性實施例,提供半導體封裝及製造半導體封裝的方法。在具體說明所示實施例之前,將概括說明本揭露實施例的某些有利特徵及方面。下面描述的是一種半導體封裝,所述半導體封裝包括在重佈線結構上方具有多個裝置晶粒的封裝結構,並且所述封裝結構被結合到基板。此外,蓋結構通過散熱層(thermal spreader layer)設置在基板及封裝結構上方,其中散熱層具有在對應於裝置晶粒之間的間隙(例如,與所述間隙對準)的區處厚度不連續的輪廓。在一些實施例中,間隙上方的區的厚度實質上薄於散熱層的其他區的厚度。
一般來說,在通常用於蓋結構的材料(例如,金屬)、通常用於半導體裝置的材料(例如,矽)及用於基板的材料(例如,味之素構成膜(Ajinomoto Build-up Film,ABF)、玻璃纖維)之間可能存在熱膨脹係數(coefficient of thermal expansion,CTE)失配。這些材料之間的CTE失配可能在裝置晶粒上導致熱應力,此可能導致裝置晶粒與填充材料之間的晶粒裂紋或分層。對於高功率產品設計,由於金屬的高介電常數性能,將例如金屬熱介面材料(金屬TIM)等較高導熱材料應用於半導體封裝作為散熱層。然而,金屬TIM通常具有較高的楊氏模量(Young's modulus),此將容易引起裝置晶粒與填充材料之間的晶粒破裂或分層。因此,通過佈置具有不連續厚度輪廓的散熱層,裝置晶粒之間的某些熱應力可通過在裝置晶粒之間的間隙上方應用的較薄的散熱層而得以釋放。根據一些實施例示出形成半導體封裝的中間階段。對一些實施例的一些變化進行了論述。在各種視圖及說明性實施例通篇中,相同的參考編號用於指示相同的元件。
圖1到圖13示出根據本揭露一些實施例的半導體封裝製造中的中間階段的剖視圖。在一些實施例中,圖10所示的封裝結構100可設置在基板200上。封裝結構100可包括多個裝置晶粒110,並且填充材料170填充裝置晶粒110中的相鄰兩者之間的至少一個間隙。圖1到圖9示出製造圖10所示封裝結構100的一種可能方法。然而,本揭露不限於此。也可應用其他合適的封裝及元件配置。在所述製程中,封裝結構100可以是晶圓形式(重構晶圓)。封裝結構100的形成可包括以下步驟。
參照圖1,在一些實施例中,在載體101上形成上述重佈線結構120。在一些實施例中,載體101包含例如矽系材料(例如,玻璃或氧化矽)或其他材料(例如,氧化鋁)、這些材料中的任意材料的組合或類似物。載體101是平面的,以便在其上面形成重佈線結構120,並容置裝置晶粒110的貼附(圖1中未示出,但以下參照圖3示出及描述)。在一些實施例中,可將黏合層102放置在載體101上,以輔助上覆結構(例如,重佈線結構120)的黏附。在實施例中,黏合層102可包含紫外線膠,當暴露於紫外線時,所述紫外線膠喪失其黏合性質。然而,也可使用其他類型的黏合劑,例如壓敏黏合劑、可輻射固化黏合劑、環氧樹脂、味之素構成膜(ABF)、這些黏合劑的組合或類似物。黏合層102可以在壓力下容易變形的半液體或凝膠形式放置在載體101上。
根據本揭露的一些實施例,重佈線結構120形成在載體101及黏合層102(如果存在)上方。在一些實施例中,重佈線結構120可通過沉積導電層、圖案化導電層以形成多條重佈線線路(例如,重佈線線路121)來形成。重佈線線路至少部分被介電層(例如,介電層122)覆蓋,且介電層填充重佈線線路與導線之間的間隙。通孔(例如,通孔123)分別位於重佈線結構120的各層上,並延伸貫穿對應的介電層,用於內連不同層處的重佈線線路。重佈線線路的材料可包括金屬或包括鋁、銅、鎢及/或其合金的金屬合金。
詳細來說,可例如通過濺鍍或另一種物理氣相沉積(physical vapor deposition,PVD)製程在載體101上方沉積晶種層(例如,銅、鈦或類似物)。在晶種層上沉積光阻,並通過微影將所述光阻圖案化以暴露出晶種層的一些部分。所述圖案用於重佈線結構120上的金屬化層。例如通過無電鍍覆、電鍍或類似鍍覆將重佈線線路及導線的導電材料(例如,銅、鋁、類似物或其組合)沉積在被暴露出的晶種層上。通過灰化及/或沖洗製程移除光阻。例如通過濕式蝕刻或乾式蝕刻移除被暴露出的晶種層。剩餘的導電材料形成重佈線結構120的金屬化層(例如,重佈線線路)。在金屬化層上方沉積介電層。介電層的材料可包括聚合物,例如聚醯亞胺、聚苯並惡唑(polybenzoxazole,PBO)、苯並環丁烯(benzocyclobutene,BCB)、類似物或其組合。可通過塗布製程、層疊製程、類似製程或其組合來沉積介電層。可使用可接受的微影技術來形成穿過介電層到達金屬化層的通孔。
後續金屬化層及介電層可使用與所論述者相同或相似的製程來形成。可將在後續金屬化層的形成期間沉積的導電材料沉積在先前形成的介電層的開口中,以形成用於電性連接各個金屬化層的通孔。在形成最頂部的介電層之後,貫穿最頂部的介電層形成通孔,用於耦合在重佈線線路與另一半導體裝置、封裝、晶粒及/或另一基板之間的連接件。應注意,可形成任意數量的金屬化層及介電層,並且此實施例中的重佈線結構120被示出作為實例。
現在參照圖2,在一些實施例中,在形成重佈線結構120之後,在重佈線結構120上方設置導電凸塊150。在一些實施例中,導電凸塊150可以是焊料球、金屬柱、受控塌陷晶片連接(controlled collapse chip connection,C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(electroless nickel-electroless palladium-immersion gold technique,ENEPIG)形成的凸塊、其組合(例如,貼合有焊料球的金屬柱)或類似物。在本實施例中,舉例來說,導電凸塊是微凸塊,並且導電凸塊150中的每一者可包括形成在銅晶種層上方的焊料層。可選的鎳層可位於焊料層與銅晶種層之間。銅晶種層及鎳層可充當用於形成焊料層的UBM及阻擋層。焊料層可包含導電焊料材料,例如Sn、Ni、Au、Ag、Cu、Bi、W、Fe、鐵氧體、合金或其組合或任何其他合適的材料。所屬領域中具有通常知識者將認識到,存在許多適合於形成導電凸塊150的材料及層的合適佈置。可用於導電凸塊150的任何合適的材料或材料層都完全旨在包含在當前實施例的範圍內。
現在參照圖3,在一些實施例中,例如通過覆晶結合技術穿過導電凸塊26將至少一個裝置晶粒110結合在重佈線結構120的第一側S1上。在一些實施例中,可使用例如拾取及放置工具將多於一個裝置晶粒110(例如,裝置晶粒110a、110b、110c)放置在導電凸塊150上。在本實施例中,在本文中示出三個裝置晶粒110a、110b、110c,但可將更多或更少的裝置晶粒應用於半導體封裝10。本揭露不限於此。裝置晶粒110以並排的方式設置在載體101上。因此,在任何兩個相鄰的裝置晶粒110之間存在至少一個間隙Gp。在本文中,示出兩個間隙Gp,但根據裝置晶粒110的數量,可應用更多或更少的間隙。在一些實施例中,裝置晶粒110a可以是邏輯晶粒,例如系統晶片(system on chip,SOC)、系統集成晶片(system on integrated chip,SoIC)、應用專用積體電路(application specific integrated circuit,ASIC)或類似物。裝置晶粒110b、110c可以是記憶體晶粒,例如動態隨機存取記憶體(dynamic random access memory,DRAM)晶粒、靜態隨機存取記憶體(static random access memory,SRAM)晶粒或類似物。也可採用其他類型的晶粒,例如電源管理晶粒(例如,電源管理積體電路(power management integrated circuit,PMIC)晶粒)、射頻(radio frequency,RF)晶粒、感測器晶粒、微機電系統(micro-electro-mechanical-system,MEMS)晶粒、信號處理晶粒(例如,數位信號處理(digital signal processing,DSP)晶粒)、前端晶粒(例如,模擬前端(analog front-end,AFE)晶粒)、收發器(transceiver,TRX)晶粒、類似物或其組合。此外,裝置晶粒110a、110b、110c可具有不同的大小(例如,不同的高度及/或表面積),並且在其他實施例中,裝置晶粒110a、110b、110c可具有相同的大小(例如,相同的高度及/或表面積)。在實施例中,通過回焊製程將裝置晶粒110結合到重佈線結構120的第一側S1。在此回焊製程期間,導電凸塊150與裝置晶粒110及重佈線結構120的焊盤(UBM層)接觸,以將裝置晶粒110物理及電耦合到重佈線結構120。
現在參照圖4,提供填充材料170以至少填充裝置晶粒110之間的間隙Gp。在實施例中,將填充材料170分配到裝置晶粒110之間的間隙Gp中並圍繞導電凸塊150。然後,執行熱製程以凝固(固化)填充材料170。在一些實施例中,填充材料170可沿著裝置晶粒110的側壁向上延伸。填充材料170可以是任何可接受的材料,例如聚合物、環氧樹脂、模制底部填料或類似物。在本實施例中,填充材料包括底部填充材料,但本揭露不限於此。填充材料170可在貼合裝置晶粒110之後通過毛細流動製程形成,或者可在貼合裝置晶粒110之前通過合適的沉積方法形成。在此類實施例中,填充材料170填充裝置晶粒110之間的間隙Gp,並且可部分覆蓋或不覆蓋裝置晶粒110的最外側表面,如圖5所示。
參照圖6,根據一些實施例,可視情況將包封材料130設置在重佈線結構120上方,以包封裝置晶粒110。然後,執行熱製程以使包封材料130固化。包封材料130可包括模制化合物、環氧樹脂或樹脂等。在一些實施例中,包封材料130的頂表面可高於裝置晶粒110的背面。即,包封材料130覆蓋裝置晶粒110的背面。
然後,可執行包括研磨製程的薄化製程,以薄化包封材料130(及填充材料170),直到露出裝置晶粒110的背面。所得的結構如圖5所示。由於進行了薄化製程,裝置晶粒110的背面實質上與填充材料170的上表面齊平,並且實質上與包封材料130的上表面齊平,如圖5所示。在整個說明中,如圖5所示的包括裝置晶粒110、填充材料170、包封材料130(可選)及重佈線結構120的所得結構被稱為封裝晶圓PK,其在所述製程中可具有晶圓形式。
現在參照圖5及圖6,現在通過黏合層104將封裝晶圓PK的上側臨時貼合到另一載體103,用於在後續處理期間支撐封裝晶圓PK。在一些實施例中,載體103可以是玻璃、陶瓷、氧化鋁、不銹鋼或在處理期間為封裝晶圓PK提供足夠的臨時支撐的另一種材料。執行拆卸步驟以從重佈線結構120的第二側S2移除載體101。在一些實施例中,通過使黏合層102失去或降低黏合力,將載體101從重佈線結構120的第二側S2分離。隨後與載體101一起移除黏合層102。舉例來說,可將黏合層102暴露於紫外線(ultraviolet,UV)光,使得黏合層102失去或降低黏合力,且因此載體101及黏合層102可被從重佈線結構120的第二側S2移除。應注意,圖中的定向僅用於說明目的,並且所述製程可在所述結構定向在另一方向上的情況下執行。
在圖7中,對封裝晶圓PK的定向進行翻轉,並且將連接件160設置在重佈線結構120的第二側S2上方。同樣,圖中的定向僅用於說明目的,並且所述製程可在所述結構定向在另一方向上的情況下執行。在一些實施例中,連接件160可以是焊料球、金屬柱、受控塌陷晶片連接(C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(ENEPIG)形成的凸塊、其組合(例如,貼合有焊料球的金屬柱)或類似物。連接件160可包含導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物或其組合。在一些實施例中,作為實例,連接件160包含共晶材料,並且可包含焊料凸塊或焊料球。在一些實施例中,可執行回焊製程,從而在一些實施例中給予連接件160部分球形的形狀。作為另外一種選擇,連接件160可包括其他形狀。舉例來說,連接件160也可包括非球形導電連接件。在一些實施例中,連接件160包括通過濺鍍、印刷、電鍍、無電鍍覆、化學氣相沉積(chemical vapor deposition,CVD)或類似技術形成的金屬柱(例如,銅柱),在所述金屬柱上存在或不存在焊料材料。金屬柱可以是無焊料的,並且具有實質上垂直的側壁或錐形側壁。在本實施例中,連接件是C4凸塊,但本揭露不限於此。
現在參照圖8,執行拆卸步驟以從封裝晶圓PK移除載體103。在一些實施例中,通過使黏合層104失去或降低黏合力,將載體103從封裝晶圓PK分離。然後與載體103一起移除黏合層104。舉例來說,可將黏合層104暴露於UV光,使得黏合層104失去或降低黏合力,且因此載體103及黏合層104可被從封裝晶圓PK移除。
參照圖9,然後可將封裝晶圓PK安裝(例如,框架安裝)到切割膠帶106上。隨後,可將封裝晶圓PK單體化或切割(例如,沿著切割線D1),從而形成多個封裝結構100,所述多個封裝結構100中的每一者可與圖10所示的封裝結構100實質上相同。
現在參照圖10,在形成封裝結構100之後,可通過例如拾取及放置技術將封裝結構100設置在基板200上。在一些實施例中,連接件160與基板200的結合焊盤對準並被放置成抵靠所述結合焊盤。可對連接件160進行回焊以在基板200與封裝結構100之間生成結合。基板200可包括封裝基板,例如其中包括芯(core)的構成基板(build-up substrate)、包括多個層疊介電膜的層疊基板(laminate substrate)、印刷電路板(printed circuit board,PCB)或類似物。基板200可包括與元件封裝相對的電連接件(未示出)(例如,焊料球),以允許將基板200安裝到另一裝置。在一些實施例中,表面安裝裝置(surface mount device)210可直接耦合到基板200。表面安裝裝置210可包括一個或多個被動元件,例如電容器、電阻器、電感器、類似物或其組合。在實施例中,表面安裝裝置210本質上由一個或多個被動元件組成,並且不包括例如電晶體等主動元件。在其他實施例中,表面安裝裝置210可包括主動元件。表面安裝裝置210可包括由例如焊料、類似物或其組合等導電材料形成的多個導電連接件。表面安裝裝置210通過導電連接件電耦合到基板200的內連結構。
然後,如圖11所示,可將底部填充材料230分配在封裝結構100與基板200之間,並圍繞連接件160。底部填充材料230可以是任何可接受的材料,例如聚合物、環氧樹脂、模制底部填料或類似物。在一些實施例中,底部填充材料230可以是與填充材料170相同的材料。在其他實施例中,底部填充材料230可以是與填充材料170不同的材料。
參照圖12,可在封裝結構100上方設置散熱層400,以促進封裝結構100的散熱。在一些實施例中,散熱層400可包含熱介面材料(thermal interface material,TIM)。舉例來說,散熱層400包含任何合適的導熱材料,例如具有良好導熱率的聚合物。在一個實施例中,散熱層400可包含可呈薄片形式或凝膠形式的金屬TIM。金屬TIM的組成可包括銦(In)、鎵(Ga)、錫(Sn)、銀(Ag)、金(Au)、銅(Cu)、鉍(Bi)、鋅(Zn)等。本揭露不限於此。在散熱層400是金屬TIM的實施例中,金屬TIM的熱導性及楊氏模量兩者都高於常規TIM,此意指金屬TIM可進一步提高散熱效率,但另一方面,由於缺乏彈性及韌性(resiliency)特性,可能容易引發晶粒破裂及/或分層。在一些實施例中,金屬TIM的楊氏模量實質上小於10 8psi,並且實質上大於1 psi。
因此,在一些實施例中,散熱層400具有在對應於裝置晶粒110之間的間隙Gp(例如,與所述間隙Gp對準)的間隙區R1處厚度不連續的輪廓。換句話說,散熱層400可包括至少一個間隙區R1(示出兩個間隙區R1,但不限於此),並且散熱層400在間隙區R1處的厚度不同於散熱層400在其他區(例如,與裝置晶粒110接觸的晶粒區)處的厚度T1。在一些實施例中,散熱層400在間隙區R1處的厚度實質上薄於散熱層400在其他區處的厚度T1。因此,通過減小散熱層400在間隙區R1處的厚度,改善了散熱層400在間隙區周圍的彈性及韌性,因此可釋放半導體封裝中的某些熱應力。此外,利用此種佈置,散熱層400與楊氏模量通常較低的填充材料170分離(例如,不與其接觸),因此裝置晶粒110之間的熱應力可進一步減小。填充材料170的楊氏模量實質上小於散熱層400的楊氏模量。舉例來說,填充材料170的楊氏模量實質上小於10 6psi,並且實質上大於0.1 psi。
此外,由散熱層400的不連續厚度輪廓界定出至少一個凹部C1,所述凹部C1提供空氣通道並且可減少散熱層400中的空隙形成。凹部C1分別對應於間隙Gp(例如,分別與間隙Gp對準)。在一些實施例中,凹部C1分別面向間隙Gp,並且沿著散熱層400的厚度方向延伸。在一些實施例中,凹部C1的深度實質上大於散熱層400的最大厚度T1(接觸裝置晶粒110的晶粒區處的厚度)的10%,並且可實質上等於或小於散熱層400的最大厚度T1。在圖12所示的實施例中,散熱層400在間隙區R1處的厚度實質上等於零。換句話說,散熱層400是不連續的層(即,分段層),其暴露下面的填充材料170。也就是說,凹部C1延伸貫穿散熱層400,並且凹部C1可被填充以空氣。在此類實施例中,散熱層400可呈片材形式,並且散熱片材(thermal spreader sheet)被切割成期望的大小,以貼合到裝置晶粒110的背面,並且暴露出下面的填充材料170。在其他實施例中,散熱層400可呈凝膠形式(如圖13B所示),並且散熱凝膠(thermal spreader gel)被以期望的圖案分配以覆蓋裝置晶粒110的背面並暴露出填充材料170。本揭露不限於此。
參照圖12A,在一些實施例中,凹部C1的深度可實質上小於最大厚度T1,但大於零。也就是說,凹部C1從間隙Gp延伸,但不像圖12A所示般一直延伸貫穿散熱層400。在此類實施例中,凹部C1可被填充以空氣。散熱層400可以是單層或複合層(例如,散熱凝膠、散熱片材或其組合的多個層疊層)。本揭露不限於此。
參照圖13,在一些實施例中,然後將蓋結構500設置在基板200上方,並覆蓋封裝結構100的裝置晶粒110。為盡可能低地降低裝置晶粒110與蓋結構500之間的介面熱阻並穩定地結合裝置晶粒110及蓋結構500,可將散熱層400設置在蓋結構500與裝置晶粒110之間並與其接觸,以促進裝置晶粒110的散熱。為增強半導體封裝10的結構強度,可使用例如焊料合金等固定元件510來結合基板200及蓋結構500。在其他實施例中,基板200及蓋結構500的結合可通過固化焊料膏或使用螺釘來實現。此時,實質上形成了半導體封裝10。在一些實施例中,蓋結構500的材料可包括具有高導熱性的金屬、陶瓷或鋁系複合材料。在例如通常用於蓋結構500的材料(例如,金屬)與用於基板的材料(例如,味之素構成膜(ABF),玻璃纖維)之間存在熱膨脹係數(CTE)失配。蓋結構500的CTE通常比基板200的CTE小得多。蓋結構500與基板200之間的此種CTE失配在裝置晶粒110上導致熱應力。因此,通過佈置具有不連續厚度輪廓的散熱層400,裝置晶粒110之間的熱應力可得以釋放。
參照圖13A,在一些實施例中,根據產品設計或由於製造公差,凹部C1可分別對應於間隙Gp,但不完全與間隙Gp對準。換句話說,凹部C1可從間隙Gp偏移距離OS。更具體來說,凹部C1的內壁可從裝置晶粒110的對應側表面偏移距離OS。
圖14到圖16示出根據本揭露一些實施例的半導體封裝製造中的中間階段的剖視圖。應注意,圖14到圖16所示的製造方法及半導體封裝含有許多與在先前實施例中揭露的製造方法及半導體封裝相同或類似的特徵。為清楚及簡潔起見,可省略對相同或類似特徵的詳細描述,並且相同或類似的參考編號指示相同或類似的元件。
如圖14到圖16所示,以下製程可在圖11所示製程之後執行。參照圖14,在一些實施例中,在將封裝結構100設置在基板200上之後,將至少一個壩(dam)結構172(示出兩個壩結構172,但不限於此)設置在填充材料170上。在一些實施例中,用於壩結構172的材料可從與晶圓級處理及封裝相容的各種材料中選擇,只要其具有高黏合性質即可。在實施例中,壩結構172由例如聚醯亞胺(PI)等聚合物材料形成。舉例來說,也可使用分配的(dispensed)液體材料,例如環氧樹脂或樹脂及類似物。壩結構172可以是任何可接受的材料,例如聚合物、環氧樹脂、底部填料或類似物。壩結構172的材料可與填充材料170的材料相同。在其他實施例中,壩結構172的材料可不同於填充材料170的材料。可執行固化步驟來固化壩結構172。在一些實施例中,壩結構172與填充材料170對準並與其接觸,並且壩結構172及填充材料170分別在不同的製程中固化,因此在壩結構172與填充材料170之間存在介面。在一些實施例中,壩結構172可被視為“應力釋放元件”,其具有較低的楊氏模量(低於散熱層400),並且被配置成釋放裝置晶粒110之間的熱應力。如圖14所示,壩結構172具有圓形頂表面(例如,圓頂),但也可應用任何其他合適的形狀。
參照圖15,在一些實施例中,將散熱層400a設置在封裝結構100上方。在實施例中,散熱層400a呈凝膠形式,並且被分配在裝置晶粒110的背面上,並且包封壩結構172。散熱層400a包含任何合適的導熱材料,例如具有良好導熱率的聚合物。在一個實施例中,散熱層400a可以是金屬TIM,其可呈片材形式或凝膠形式。金屬TIM的組成可包括銦(In)、鎵(Ga)、錫(Sn)、銀(Ag)、金(Au)、銅(Cu)、鉍(Bi)、鋅(Zn)等。在本實施例中,散熱層400a包含金屬TIM,但本揭露不限於此。壩結構172可由例如分配器(dispenser)、原位(in-situ)紫外線印表機等提供。散熱層400a的最大厚度T1大於或實質上等於壩結構172的厚度T2。舉例來說,壩結構172的厚度T2實質上大於散熱層400a的最大厚度T1的10%。散熱層400a的上表面實質上是平坦的,並且壩結構172使得在散熱層400a中存在對應的凹部C1。因此,散熱層400a具有不連續的厚度輪廓,其中散熱層400a在間隙區R1處的厚度T3實質上薄於散熱層在其他區處的厚度T1。從所得結構的視角來看,壩結構172填充由散熱層400a在間隙區R1處的厚度不連續性所界定出的空間(例如,凹部C1)。
利用此種佈置,通過經由壩結構172減小散熱層400a在間隙區R1處的厚度,改善了散熱層400a在間隙區R1周圍的彈性及韌性,因此可釋放半導體封裝中的熱應力。此外,在本實施例中,散熱層400(具有高楊氏模量的結構)與壩結構172(具有較低楊氏模量的結構)之間的介面遠離晶粒區並且與填充材料170分離(例如,不與填充材料170接觸),因此可進一步降低裝置晶粒110周圍的熱應力。壩結構172的楊氏模量實質上小於散熱層400a的楊氏模量。舉例來說,壩結構172的楊氏模量實質上小於10 6psi,並且實質上大於0.1 psi,而散熱層400a的楊氏模量實質上小於10 8psi,並且實質上大於1 psi。
參照圖16,在一些實施例中,然後將蓋結構500設置在基板200上方,並覆蓋封裝結構100的裝置晶粒110。為盡可能低地降低裝置晶粒110與蓋結構500之間的介面熱阻並穩定地結合裝置晶粒110及蓋結構500,可將散熱層400a設置在蓋結構500與裝置晶粒110之間並與其接觸,以促進裝置晶粒110的散熱。為增強半導體封裝10a的結構強度,可使用例如焊料合金等固定元件510來結合基板200及蓋結構500。在其他實施例中,基板200及蓋結構500的結合可通過固化焊料膏或使用螺釘來實現。此時,實質上形成了半導體封裝10a。在一些實施例中,蓋結構500的材料可包括具有高導熱性的金屬、陶瓷或鋁系複合材料。
圖17到圖19示出根據本揭露一些實施例的半導體封裝製造中的中間階段的剖視圖。應注意,圖17到圖19所示的製造方法及半導體封裝包含許多與前述實施例中揭露的製造方法及半導體封裝相同或類似的特徵。為清楚及簡單起見,可省略對相同或類似特徵的詳細描述,並且相同或類似的參考編號表示相同或類似的元件。
如圖17到圖19所示,以下製程可在圖11所示製程之後執行。參照圖17,在一些實施例中,在將封裝結構100設置在基板200上之後,對裝置晶粒110的背側執行薄化製程,使得填充材料從裝置晶粒110’的薄化背面BS突出。在一些實施例中,通過部分移除(例如,薄化)裝置晶粒110’的基板的背部來實現薄化製程。舉例來說,可通過例如濕式蝕刻製程、乾式蝕刻製程或其組合等蝕刻製程來移除裝置晶粒110’的基板的背部。蝕刻製程可在基板與其他相鄰材料(例如,填充材料170等)之間具有高蝕刻選擇性比。在執行薄化(例如,通過蝕刻)製程之後,裝置晶粒110’的背面BS低於填充材料170的頂表面。換句話說,填充材料170包括從裝置晶粒110’的背面BS突出的突出部分174。在一個實施例中,突出部分174的頂表面可以是實質上平坦的表面,因為在移除裝置晶粒110的背部之前執行了研磨製程(圖5所示的製程)。在此類實施例中,包括從裝置晶粒110’的背面BS突出的突出部分174的填充材料170一體成型。也就是說,在從裝置晶粒110突出的突出部分174與填充間隙Gp的填充材料170的其他部分之間不存在介面。
參照圖18,在一些實施例中,將散熱層400b設置在封裝結構100上方。在實施例中,散熱層400b呈凝膠形式,並且被分配在裝置晶粒110’的背面BS上,並且包封填充材料170的突出部分174。散熱層400b包含任何合適的導熱材料,例如具有良好導熱率的聚合物。在一個實施例中,散熱層400b可以是金屬TIM,其可呈片材形式或凝膠形式。金屬TIM的組成可包括銦(In)、鎵(Ga)、錫(Sn)、銀(Ag)、金(Au)、銅(Cu)、鉍(Bi)、鋅(Zn)等。在本實施例中,散熱層400b包含金屬TIM,但本揭露不限於此。散熱層400b的最大厚度T1大於或實質上等於突出部分174的厚度T2。在實施例中,突出部分174的頂表面與散熱層400b的頂表面共面。即,突出部分174延伸貫穿散熱層400b。舉例來說,突出部分174的厚度T2實質上大於散熱層400b的最大厚度T1的10%。散熱層400b的上表面實質上是平坦的,並且突出部分174使得在散熱層400b中存在對應的凹部C1。因此,散熱層400b具有不連續的厚度輪廓,其中散熱層400b在間隙區R1處的厚度T3實質上薄於散熱層在其他區處的厚度T1。從所得結構的角度來看,突出部分174填充由散熱層400b在間隙區R1處的厚度不連續性所界定出的空間(例如,凹部C1)。
參照圖18A,在一個實施例中,散熱層400b的最大厚度T1實質上等於突出部分174的厚度T2。在此類實施例中,突出部分174的頂表面與散熱層400b的頂表面共面。也就是說,突出部分174(及凹部C1)延伸貫穿散熱層400b。
利用此種佈置,通過經由填充材料170的突出部分174減小散熱層400b在間隙區R1處的厚度,改善了散熱層400b在間隙區R1周圍的彈性及韌性,因此可釋放半導體封裝中的熱應力。此外,在本實施例中,散熱層400b(具有高楊氏模量的結構)與突出部分174(具有較低楊氏模量的結構)之間的介面遠離間隙區,因此可進一步減小裝置晶粒110’之間的熱應力。
參照圖19,在一些實施例中,然後將蓋結構500設置在基板200上方,並覆蓋封裝結構100的裝置晶粒110’。為盡可能低地降低裝置晶粒110’與蓋結構500之間的介面熱阻並穩定地結合裝置晶粒110’及蓋結構500,可將散熱層400b設置在蓋結構500與裝置晶粒110’之間並與其接觸,以促進裝置晶粒110’的散熱。為增強半導體封裝10b的結構強度,可使用例如焊料合金等固定元件510來結合基板200及蓋結構500。在其他實施例中,基板200及蓋結構500的結合可通過固化焊料膏或使用螺釘來實現。此時,實質上形成了半導體封裝10b。在一些實施例中,蓋結構500的材料可包括具有高導熱性的金屬、陶瓷或鋁系複合材料。
圖20到圖23示出根據本揭露一些實施例的半導體封裝製造中的中間階段的剖視圖。應注意,圖20到圖23所示的製造方法及半導體封裝包含許多與前述實施例中揭露的製造方法及半導體封裝相同或類似的特徵。為清楚及簡單起見,可省略對相同或類似特徵的詳細描述,並且相同或類似的參考編號表示相同或類似的元件。
圖20到圖23示出製造可設置在基板200上的封裝結構100’的另一種可能方法。在本實施例中,封裝結構100’是集成扇出型(Integrated Fan-Out,InFO)封裝。然而,本揭露不限於此。也可應用其他合適的封裝及元件配置。在所述製程中,封裝結構100’可呈晶圓形式(重構晶圓)。封裝結構100’的形成可包括以下步驟。
現在參照圖20,可在載體101上方設置多個裝置晶粒110。在一些實施例中,可將黏合層102設置在載體101上,並且載體101可包括例如矽系材料(例如,玻璃、陶瓷或氧化矽)或者其他材料(例如,氧化鋁、這些材料的任意組合或類似物)。載體101是平坦的,以便容置裝置晶粒110(在本文中示出三個裝置晶粒110a、110b、110c,但可應用更多或更少的裝置晶粒)。可將黏合層102放置在載體101上,以幫助裝置晶粒110的黏附。在實施例中,黏合層102可包含紫外線膠,當暴露於紫外線光時,所述紫外線膠降低或失去其黏合性質。然而,也可使用其他類型的黏合劑,例如壓敏黏合劑、可輻射固化黏合劑、光熱轉換釋放塗層(light to heat conversion release coating,LTHC)、環氧樹脂、這些的組合或類似物。黏合層102可以在壓力下容易變形的半液體或凝膠形式放置在載體101上。
在一些實施例中,以並排的方式將裝置晶粒110設置在載體101上。因此,在任何兩個相鄰的裝置晶粒110之間存在至少一個間隙Gp。在本文中,示出兩個間隙Gp,但根據裝置晶粒110的數量,可應用更多或更少的間隙。在實施例中,裝置晶粒110a、110b、110c通過例如重佈線結構120(在圖20中未示出,但以下參照圖22示出及論述)電性連接,並可一起使用以便向終端使用者提供期望的功能。在一些實施例中,例如可通過晶粒貼合膜將裝置晶粒110a、110b、110c貼合到載體101,儘管作為另外一種選擇可利用任何合適的貼合方法。利用此種佈置,可同時形成多個封裝結構用於批量生產。為簡潔及清楚起見,以下製造製程是關於封裝結構中的一者來描述的。
在一些實施例中,裝置晶粒110的結構與先前實施例中的裝置晶粒110相同或至少類似。舉例來說,裝置晶粒110中的每一者可包括基板111、多個主動元件(未示出)、多個接觸焊盤113、至少一個介電層116及多個導通孔114。導通孔114(例如,銅通孔)可形成在裝置晶粒110的主動表面(例如,頂表面)上並電耦合到基板111上的接觸焊盤113。基板111可包含經摻雜或未經摻雜的塊狀矽或者絕緣體上矽(silicon-on-insulator,SOI)基板的主動層。一般來說,SOI基板包括半導體材料(例如,矽、鍺、矽鍺、SOI、絕緣體上矽鍺(silicon germanium on insulator,SGOI)或其組合)層。可使用的其他基板包括多層式基板、梯度基板或混合定向基板。主動元件包括可用於產生裝置晶粒110的設計的所需結構及功能要求的各種各樣的主動元件及被動元件,例如電容器、電阻器、電感器及類似物。主動元件可使用任何合適的方法在基板111內或基板111上形成。
在一些實施例中,介電層116可形成在裝置晶粒110的主動表面上,並且可覆蓋導通孔114的頂表面。在其他實施例中,介電層116的頂表面可實質上與導通孔114的頂表面齊平。作為另外一種選擇,可省略介電層116,並且導通孔114從裝置晶粒110的主動表面突出。介電層116可由一種或多種合適的介電材料(例如,氧化矽、氮化矽、低介電常數介電質(例如碳摻雜氧化物)、極低介電常數介電質(例如多孔碳摻雜二氧化矽)、這些的組合或類似物)製成。介電層116可通過例如化學氣相沉積(CVD)等製程形成,但可利用任何合適的製程。
在一些實施例中,裝置晶粒中的至少一者(例如,第一裝置晶粒110a)可包括一或多個邏輯晶粒(例如,中央處理器、圖形處理單元、系統晶片、現場可程式設計閘陣列(field-programmable gate array,FPGA)、微控制器或類似物)、記憶體晶粒(例如,動態隨機存取記憶體(DRAM)晶粒、靜態隨機存取記憶體(SRAM)晶粒或類似物)、電源管理晶粒(例如,電源管理積體電路(PMIC)晶粒)、射頻(RF)晶粒、感測器晶粒、微機電系統(MEMS)晶粒、信號處理晶粒(例如,數位信號處理(DSP)晶粒)、前端晶粒(例如,模擬前端(AFE)晶粒)、類似物或其組合。在一些實施例中,裝置晶粒中的至少一者(例如,第二裝置晶粒110b及第三裝置晶粒110c)包括一個或多個記憶體晶粒,例如記憶體晶粒(例如,DRAM晶粒、SRAM晶粒、高頻寬記憶體(High-Bandwidth Memory,HBM)晶粒、混合記憶體立方體(Hybrid Memory Cubes,HMC)晶粒或類似物)的堆疊。在記憶體晶粒堆疊的實施例中,裝置晶粒中的每一者(例如,第二裝置晶粒110b及第三裝置晶粒110c)可包括記憶體晶粒及記憶體控制器兩者,例如四個或八個記憶體晶粒與記憶體控制器的堆疊。此外,在一些實施例中,裝置晶粒110、110b、110c可以是不同的大小(例如,不同的高度及/或表面積),並且在其他實施例中,裝置晶粒110、110b、110c可以是相同的大小(例如,相同的高度及/或表面積)。
參照圖21,在一些實施例中,設置填充材料170以至少填充裝置晶粒110之間的間隙Gp。在實施例中,填充材料170包括底部填充材料,其被分配到裝置晶粒110之間的間隙Gp中。底部填充材料120可沿著裝置晶粒110的側壁向上延伸。底部填充材料120可以是任何可接受的材料,例如聚合物、環氧樹脂、模制底部填料或類似物。在此類實施例中,填充材料170填充裝置晶粒110之間的間隙Gp,並且可不覆蓋(包封)裝置晶粒110的最外側表面。
在本實施例中,填充材料170包含包封材料,所述包封材料形成在載體101上方以包封裝置晶粒110。填充材料170可包含任何合適的模制化合物樹脂,例如聚醯亞胺、聚苯硫醚(polyphenylene sulfite,PPS)、聚醚醚酮(polyether-ether-ketone,PEEK)、聚醚碸(polyether sulfone,PES)、耐熱晶體樹脂、這些材料的組合或類似物,並且可通過壓縮模制、轉移模制或類似模制來施加。執行固化步驟以固化包封材料,例如熱固化、紫外線(UV)固化或類似固化。在一些實施例中,裝置晶粒110被掩埋在填充材料170中,並且在填充材料170固化之後,可執行例如機械研磨或化學機械拋光(chemical mechanical polishing,CMP)製程等平坦化步驟,以移除填充材料170的多餘部分,所述多餘部分位於裝置晶粒110的頂表面上方。因此,裝置晶粒110的頂表面被露出,並且與填充材料170的頂表面齊平。在此類實施例中,填充材料170不僅填充裝置晶粒110之間的間隙Gp,而且更可包封裝置晶粒110的每個側表面(包括最外側表面),如圖21所示。
現在參照圖22,在裝置晶粒110及填充材料170上方形成重佈線結構120。重佈線結構120電性連接到裝置晶粒110。重佈線結構120可通過例如沉積導電層、圖案化導電層以形成重佈線電路、部分覆蓋重佈線電路並用介電層填充重佈線電路之間的間隙等來形成。重佈線電路的材料可包含金屬或包含鋁、銅、鎢及/或其合金的金屬合金。介電層可由介電材料(例如,氧化物、氮化物、碳化物、碳氮化物、其組合及/或其多層)形成。重佈線電路形成在介電層中,並電性連接到裝置晶粒110。
現在參照圖23,將多個導電凸塊150設置在重佈線結構120上。在一些實施例中,可通過濺鍍、蒸鍍或無電鍍覆等在重佈線結構120上形成凸塊下金屬(Under Bump Metallurgy,UBM)層,並且導電凸塊150可設置在UBM層上。在一些實施例中,根據一些示例性實施例,至少一個集成被動元件(Integrated Passive Device,IPD)也可設置在重佈線結構120上。導電凸塊150的形成可包括將焊料球放置在重佈線結構120上,且然後對焊料球進行回焊。在替代實施例中,導電凸塊150的形成可包括執行鍍覆製程以在UBM層上(或在第一重佈線結構120上)形成焊料區,且然後對焊料區進行回焊。IPD可使用例如薄膜及微影處理等標準晶圓製作技術製作而成,並且可通過例如覆晶結合或打線結合等來安裝在重佈線結構120上。在整個描述中,如圖4所示的包括重佈線結構120、裝置晶粒110、填充材料170及導電凸塊150的所得結構被稱為封裝晶圓,所述封裝晶圓在製程中可具有晶圓形式。
然後,執行拆卸步驟以從封裝晶圓移除載體101。在一些實施例中,通過使黏合層102失去或降低黏合力,將載體101從封裝晶圓分離。隨後與載體101一起移除黏合層102。舉例來說,可將黏合層102暴露於UV光,使得黏合層102失去或降低黏合力,且因此載體101及黏合層102可從封裝晶圓移除。然後,可對封裝晶圓進行單體化或切割(例如,沿著切割線),從而形成多個封裝結構100’,所述封裝結構中的每一者可與圖23所示的封裝結構100’實質上相同。然後可將封裝結構100’中的一者設置在基板200上。
圖24到圖31示出根據本揭露一些實施例的半導體封裝製造中的中間階段的剖視圖。應注意,圖24到圖31所示的製造方法及半導體封裝包含許多與前述實施例中揭露的製造方法及半導體封裝相同或類似的特徵。為清楚及簡單起見,可省略對相同或類似特徵的詳細描述,並且相同或類似的參考編號表示相同或類似的元件。
圖24到圖31示出製造半導體封裝10c的另一種可能的方法。在本實施例中,半導體封裝10c是基板上晶圓上晶片(Chip on Wafer on Substrate,CoWoS ®)封裝。然而,本揭露不限於此。也可應用其他合適的封裝及元件配置。在所述製程中,封裝結構100’可呈晶圓形式(重構晶圓)。封裝結構100’的形成可包括以下步驟。
參照圖24,在一些實施例中,設置重佈線結構600’。在本實施例中,重佈線結構600’是中介層,但本揭露不限於此。在此類實施例中,中介層600’可呈晶圓形式,並且中介層600’的基板610可包括塊狀半導體基板、SOI基板、多層式半導體基板或類似物。基板610的半導體材料可以是:矽;鍺;化合物半導體,包括矽鍺、碳化矽、鎵砷、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或其組合。也可使用其他基板,例如多層式基板或梯度(gradient)基板。基板610可以是經摻雜或未經摻雜的。例如電晶體、電容器、電阻器、二極體及類似物等裝置可形成在基板610的主動表面中及/或所述主動表面上。
根據本揭露的一些實施例,形成多個從基板610的主動表面延伸到基板610中的穿孔620。當基板610是矽基板時,穿孔620有時也被稱為基板穿孔或矽穿孔。穿孔620可通過例如蝕刻、銑削、雷射技術、其組合及/或類似技術在基板610中形成凹陷來形成。可例如通過使用氧化技術而在凹陷中形成薄介電材料。可例如通過CVD、ALD、PVD、熱氧化、其組合及/或類似技術在基板610的正面上方及開口中共形地沉積薄阻擋層(barrier layer)。阻擋層可包括氮化物或氮氧化物,例如氮化鈦、氮氧化鈦、氮化鉭、氮氧化鉭、氮化鎢、其組合及/或類似物。可在薄阻擋層上方及開口中沉積導電材料。導電材料可通過電化學鍍覆製程、CVD、ALD、PVD、其組合及/或類似技術形成。導電材料的實例是銅、鎢、鋁、銀、金、其組合及/或類似物。通過例如CMP從基板610的前側移除多餘的導電材料及阻擋層。因此,穿孔620可包含導電材料及位於導電材料與基板610之間的薄阻擋層。
根據本揭露的一些實施例,在基板610的主動表面上方形成重佈線結構630,並且使用所述重佈線結構630將積體電路裝置(如果存在)及/或穿孔620電性連接在一起及/或電性連接到外部裝置。重佈線結構630可包括一個或多個介電層及位於介電層中的相應金屬化圖案。金屬化圖案可包括通孔及/或跡線,以將任何裝置及/或穿孔620內連在一起及/或內連到外部裝置。金屬化圖案有時被稱為重佈線線路(Redistribution Line,RDL)。介電層可包括氧化矽、氮化矽、碳化矽、氮氧化矽、低介電常數介電材料,例如磷矽酸鹽玻璃(Phospho Silicate Glass,PSG)、摻雜硼的磷矽酸鹽玻璃(Boron-Doped Phospho Silicate Glass,BPSG)、摻雜氟的矽酸鹽玻璃(Fluorine-Doped Silicate Glass,FSG)、SiOxCy、旋塗玻璃、旋塗聚合物、矽碳材料、其化合物、其複合物、其組合或類似物。介電層可通過所屬領域中已知的任何合適的方法(例如,旋轉、CVD、等離子體增強化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition,PECVD)、高密度等離子體化學氣相沉積(High Density Plasma-Chemical Vapor Deposition,HDP-CVD)或類似方法)沉積。可例如通過使用微影技術在介電層上沉積及圖案化光阻材料以暴露出介電層的將成為金屬化圖案的部分而在介電層中形成金屬化圖案。可使用例如各向異性乾式蝕刻製程等蝕刻製程在介電層中生成對應於介電層的被暴露出的部分的凹陷及/或開口。凹陷及/或開口可襯有擴散阻擋層並填充有導電材料。擴散阻擋層可包括通過ALD或類似技術沉積的TaN、Ta、TiN、Ti、CoW或類似物的一個或多個層,並且導電材料可包括通過CVD、PVD或類似技術沉積的銅、鋁、鎢、銀及其組合或類似物。可例如通過使用CMP移除介電層上的任何多餘的擴散阻擋層及/或導電材料。
在一些實施例中,在導電焊盤上的重佈線結構630的頂表面處形成多個電連接件640、642。在一些實施例中,導電墊可包括UBM。作為形成焊盤的實例,至少在重佈線結構630的介電層中的開口中形成晶種層(未示出)。在一些實施例中,晶種層是金屬層,所述金屬層可以是單層或包括由不同材料形成的多個子層的複合層。在一些實施例中,晶種層包括鈦層及位於鈦層上方的銅層。晶種層可使用例如PVD或類似技術形成。然後在晶種層上形成光阻並將所述光阻圖案化。光阻可通過旋轉塗布或類似技術形成,並且可被暴露至光以進行圖案化。光阻的圖案對應於焊盤。圖案化形成穿過光阻的開口,以暴露出晶種層。在光阻的開口中及晶種層的被暴露出的部分上形成導電材料。導電材料可通過例如電鍍或無電鍍覆或類似鍍覆等鍍覆形成。導電材料可包括金屬,如銅、鈦、鎢、鋁或類似物。然後,移除光阻及晶種層的上面沒有形成導電材料的部分。可例如使用氧等離子體或類似物通過可接受的灰化或剝離製程移除光阻。一旦移除了光阻,便例如通過使用可接受的蝕刻製程(例如通過濕式蝕刻或乾式蝕刻)移除晶種層的被暴露出的部分。晶種層的剩餘部分及導電材料形成焊盤。在以不同方式形成焊盤的實施例中,可利用更多的光阻及圖案化步驟。
在一些實施例中,電連接件640、642包括金屬柱642以及位於金屬柱642上方的金屬蓋層640,所述金屬蓋層640可以是焊料蓋。包括柱642及蓋層640的電連接件有時被稱為微凸塊。在一些實施例中,金屬柱642包含導電材料,例如銅、鋁、金、鎳、鈀、類似物或其組合,並且可通過濺鍍、印刷、電鍍、無電鍍覆、CVD或類似技術形成。金屬柱642可以是無焊料的,並且具有實質上垂直的側壁。在一些實施例中,金屬蓋層640形成在金屬柱642的頂部上。金屬蓋層640可包括鎳、錫、錫-鉛、金、銅、銀、鈀、銦、鎳-鈀-金、鎳-金、類似物或其組合,並且可通過鍍覆製程形成。
在另一實施例中,電連接件640、642不包括金屬柱,並且是焊料球及/或凸塊,例如受控塌陷晶片連接(C4)、無電鍍鎳浸金(electroless nickel immersion Gold,ENIG)、無電鍍鎳鈀浸金技術(ENEPIG)形成的凸塊或類似物。在此實施例中,電連接件640、642可包含導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物或其組合。在此實施例中,電連接件640、642通過利用例如蒸鍍、電鍍、印刷、焊料轉移、球放置或類似方法等此類常用方法首先形成焊料層而形成。一旦已在結構上形成了焊料層,便可執行回焊,以便將材料成形為期望的凸塊形狀。
現在參照圖25,在一些實施例中,例如通過覆晶結合將多個裝置晶粒110貼合到中介層600’。可使用例如拾取及放置工具將裝置晶粒110放置在電連接件640、642上。裝置晶粒110與中介層600’之間的結合可以是焊料結合或直接金屬對金屬(例如,銅對銅或錫對錫)結合。在實施例中,通過回焊製程將裝置晶粒110結合到中介層600’。在一些實施例中,裝置晶粒110可通過與如上在先前實施例中所述的類似處理來形成。至少一個裝置晶粒(例如,裝置晶粒110a)可包括一個或多個邏輯晶粒(例如,中央處理器、圖形處理單元、系統晶片、現場可程式設計閘陣列(FPGA)、微控制器或類似物)、記憶體晶粒(例如,動態隨機存取記憶體(DRAM)晶粒、靜態隨機存取記憶體(SRAM)晶粒或類似物)、電源管理晶粒(例如,電源管理積體電路(PMIC)晶粒)、射頻(RF)晶粒、感測器晶粒、微機電系統(MEMS)晶粒、信號處理晶粒(例如,數位信號處理(DSP)晶粒)、前端晶粒(例如,模擬前端(AFE)晶粒)、類似物或其組合。在一些實施例中,裝置晶粒中的至少一者(例如,裝置晶粒110b、110c)可包括一個或多個記憶體晶粒,例如記憶體晶粒(例如,DRAM晶粒、SRAM晶粒、高頻寬記憶體(HBM)晶粒、混合記憶體立方體(HMC)晶粒或類似物)的堆疊。在記憶體晶粒堆疊的實施例中,裝置晶粒可包括記憶體晶粒及記憶體控制器兩者,例如四個或八個記憶體晶粒與記憶體控制器的堆疊。此外,在一些實施例中,裝置晶粒110a、110b、110c可以是不同的大小(例如,不同的高度及/或表面積),並且在其他實施例中,裝置晶粒110a、110b、110c可以是相同的大小(例如,相同的高度及/或表面積)。
如圖26所示,提供填充材料170以至少填充裝置晶粒110之間的間隙Gp。在實施例中,將填充材料170分配到裝置晶粒110之間的間隙Gp以及裝置晶粒110與中介層600’之間的空間中。然後,執行熱製程以凝固(固化)填充材料170。在一些實施例中,填充材料170可沿著裝置晶粒110的側壁向上延伸。填充材料170可以是任何可接受的材料,例如聚合物、環氧樹脂、模制底部填料或類似物。在本實施例中,填充材料包括底部填充材料,但本揭露不限於此。填充材料170可在貼合裝置晶粒110之後通過毛細流動製程形成,或者可在貼合裝置晶粒110之前通過合適的沉積方法形成。在此類實施例中,填充材料170填充裝置晶粒110之間的間隙Gp,並且可部分覆蓋或不覆蓋裝置晶粒110的最外側表面,如圖26所示。
在一些實施例中,可根據一些實施例視情況在中介層600’上方設置包封材料,以包封裝置晶粒110。然後,執行熱製程以固化所述包封材料。包封材料可包括模制化合物、環氧樹脂或樹脂等。在一些實施例中,包封材料可覆蓋裝置晶粒110的背面。然後,可執行包括研磨製程的薄化製程,以薄化填充材料170、包封材料(如果存在),直到露出裝置晶粒110的背面。最終的結構如圖26所示。由於進行了薄化製程,裝置晶粒110的背面實質上與填充材料170的上表面齊平,並且可實質上與包封材料(如果存在)的上表面齊平,如圖26所示。
參照圖27,在一些實施例中,對基板610的背側執行薄化製程,以薄化基板610,直到暴露出穿孔620。薄化製程可包括蝕刻製程、研磨製程、類似製程或其組合。
參照圖28,然後,在基板610的背面上形成重佈線結構650,並使用所述重佈線結構650將穿孔620電性連接在一起及/或電性連接到外部裝置。重佈線結構包括一個或多個介電層652及位於所述一個或多個介電層652中的金屬化圖案654。金屬化圖案654可包括通孔及/或跡線,以將穿孔620內連在一起及/或內連到外部裝置。金屬化圖案654有時被稱為重佈線線路(RDL)。介電層652可包含氧化矽、氮化矽、碳化矽、氮氧化矽、低介電常數介電材料,例如PSG、BPSG、FSG、SiOxCy、旋塗玻璃、旋塗聚合物、矽碳材料、其化合物、其複合物、其組合或類似物。介電層652可通過所屬領域中已知的任何合適的方法(例如,旋轉、CVD、PECVD、HDP-CVD或類似方法)沉積。可例如通過使用微影技術在介電層652上沉積及圖案化光阻材料以暴露出介電層652的將成為金屬化圖案654的部分而在介電層652中形成金屬化圖案654。可使用例如各向異性乾式蝕刻製程等蝕刻製程在介電層652中生成對應於介電層652的被暴露出的部分的凹陷及/或開口。凹陷及/或開口可襯有擴散阻擋層並填充有導電材料。擴散阻擋層可包括通過ALD或類似技術沉積的TaN、Ta、TiN、Ti、CoW或類似物的一個或多個層,並且導電材料可包括通過CVD、PVD、鍍覆或類似技術沉積的銅、鋁、鎢、銀及其組合或類似物。可例如通過使用CMP移除介電層上的任何多餘的擴散阻擋層及/或導電材料。
然後,在一些實施例中,更可在金屬化圖案654上形成多個電連接件660,並且所述多個電連接件660電耦合到穿孔620。電連接件660形成在金屬化圖案654上的重佈線結構的頂表面處。在一些實施例中,金屬化圖案654包括UBM。在所示實施例中,焊盤形成在重佈線結構的介電層652的開口中。在另一實施例中,焊盤(UBM)可延伸貫穿重佈線結構的介電層652的開口,並且更延伸穿過重佈線結構的頂表面。
在一些實施例中,電連接件660是焊料球及/或凸塊,例如球柵陣列(ball grid array,BGA)球、C4微凸塊、ENIG形成的凸塊、ENEPIG形成的凸塊或類似物。電連接件660可包含導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物或其組合。在一些實施例中,電連接件660通過利用例如蒸鍍、電鍍、印刷、焊料轉移、球放置或類似方法等此類常用方法首先形成焊料層而形成。一旦已在結構上形成了焊料層,便可執行回焊,以便將材料成形為期望的凸塊形狀。在另一實施例中,電連接件660是通過濺鍍、印刷、電鍍、無電鍍覆、CVD或類似技術形成的金屬柱(例如,銅柱)。金屬柱可以是無焊料的,並且具有實質上垂直的側壁。在一些實施例中,在金屬柱連接件660的頂部上形成金屬蓋層(未示出)。金屬蓋層可包含鎳、錫、錫-鉛、金、銀、鈀、銦、鎳-鈀-金、鎳-金、類似物或其組合,並且可通過鍍覆製程形成。電連接件660可用於結合到額外的電元件,所述額外的電性元件可以是半導體基板、封裝基板、印刷電路板(PCB)或類似物。
現在參照圖29,然後,沿著切割道將中介層600及包封材料(如果存在)單體化以形成封裝結構100c。封裝結構100c包括裝置晶粒110、填充材料170及中介層600以及其他事物。可通過鋸切、切割或類似技術來執行單體化。
現在參照圖30,在形成封裝結構100c之後,可通過例如拾取及放置技術將封裝結構100c設置在基板200上。在一些實施例中,連接件660與基板200的結合焊盤對準並被放置成抵靠所述結合焊盤。可對連接件660進行回焊以在基板200與封裝結構100c之間生成結合。基板200可包括封裝基板,例如其中包括芯的構成基板、包括多個層疊介電膜的層疊基板、PCB或類似物。基板200可包括與元件封裝相對的電連接件(未示出)(例如,焊料球),以允許將基板200安裝到另一裝置。
在一些實施例中,可將底部填充材料(未示出)分配在封裝結構100c與基板200之間並圍繞連接件660。底部填充材料可以是任何可接受的材料,例如聚合物、環氧樹脂、模制底部填料或類似物。在一些實施例中,底部填充材料可以是與填充材料170相同的材料。在其他實施例中,底部填充材料可以是與填充材料170不同的材料。
然後,可在封裝結構100c上方設置散熱層400,以促進封裝結構100c的散熱。在一些實施例中,散熱層400c可包含熱介面材料(TIM)。舉例來說,散熱層400包含任何合適的導熱材料,例如具有良好導熱率的聚合物。在一個實施例中,散熱層400可包含可呈片材形式或凝膠形式的金屬TIM。金屬TIM的組成可包括銦(In)、鎵(Ga)、錫(Sn)、銀(Ag)、金(Au)、銅(Cu)、鉍(Bi)、鋅(Zn)等。本揭露不限於此。在一些實施例中,金屬TIM的楊氏模量實質上小於10 8psi,並且實質上大於1 psi。
因此,在一些實施例中,散熱層400在間隙區R1處具有厚度不連續的輪廓,所述間隙區R1與裝置晶粒110之間的間隙Gp對準。換句話說,散熱層400可包括至少一個間隙區R1(示出兩個間隙區R1,但不限於此),並且散熱層400在間隙區R1處的厚度不同於散熱層400在其他區(例如,與裝置晶粒110接觸的晶粒區)處的厚度T1。在一些實施例中,散熱層400在間隙區R1處的厚度實質上薄於散熱層400在其他區處的厚度T1。因此,通過減小散熱層400在間隙區R1處的厚度,改善了散熱層400在間隙區周圍的彈性及韌性,因此可釋放半導體封裝中的某些熱應力。此外,利用此種佈置,散熱層400與楊氏模量通常較低的填充材料170分離(例如,不與其接觸),因此裝置晶粒110之間的熱應力可進一步減小。填充材料170的楊氏模量實質上小於散熱層400的楊氏模量。舉例來說,填充材料170的楊氏模量實質上小於10 6psi,並且實質上大於0.1 psi。
此外,由散熱層400的不連續厚度輪廓界定至少一個凹部C1,所述凹部C1提供空氣通道並且減少散熱層400中的空隙形成。凹部C1分別與間隙Gp對準。在一些實施例中,凹部C1分別面向間隙Gp,並且沿著散熱層400的厚度方向延伸。在一些實施例中,凹部C1的深度實質上大於散熱層400的最大厚度T1(接觸裝置晶粒110的晶粒區處的厚度)的10%,並且可實質上等於或小於散熱層400的最大厚度T1。在圖7所示的實施例中,散熱層400在間隙區R1處的厚度實質上等於零。換句話說,散熱層400是不連續的層(即,分段層),其露出下面的填充材料170。也就是說,凹部C1延伸貫穿散熱層400。在此類實施例中,散熱層400可呈片材形式,並且散熱片材被切割成期望的大小,以貼合到裝置晶粒110的背面,並且暴露出填充材料170。在其他實施例中,散熱層400可呈凝膠形式,並且散熱凝膠被以期望的圖案分配以覆蓋裝置晶粒110的背面並暴露出填充材料170。本揭露不限於此。應注意,儘管在本文中示出了分段散熱層400,但也可將其他形式的散熱層(例如,先前實施例中的散熱層400a、400b,或者具有厚度變化的任何其他合適的散熱層)應用於半導體封裝。本揭露不限於此。
參照圖31,在一些實施例中,然後將蓋結構500設置在基板200上方,並覆蓋封裝結構100c的裝置晶粒110。為盡可能低地降低裝置晶粒110與蓋結構500之間的介面熱阻並穩定地結合裝置晶粒110及蓋結構500,可將散熱層400設置在蓋結構500與裝置晶粒110之間並與其接觸,以促進裝置晶粒110的散熱。為增強半導體封裝10的結構強度,可使用例如焊料合金等固定元件510來結合基板200及蓋結構500。在其他實施例中,基板200及蓋結構500的結合可通過固化焊料膏或使用螺釘來實現。此時,實質上形成了半導體封裝10c。在一些實施例中,蓋結構500的材料可包括具有高導熱性的金屬、陶瓷或鋁系複合材料。在例如通常用於蓋結構500的材料(例如,金屬)與用於基板的材料(例如,味之素構成膜(ABF),玻璃纖維)之間存在熱膨脹係數(CTE)失配。蓋結構500的CTE通常比基板200的CTE小得多。蓋結構500與基板200之間的此種CTE失配在裝置晶粒110上導致熱應力。因此,通過佈置具有不連續厚度輪廓的散熱層400,裝置晶粒110之間的熱應力可得以釋放。
基於以上論述,可看出本揭露提供了各種優點。然而,應理解,在本文中未必論述所有的優點,並且其他實施例可提供不同的優點,並且並非所有的實施例都需要特定的優點。
也可包括其他特徵及製程。舉例來說,可包括測試結構,以説明對三維(three-dimensional,3D)封裝或三維積體電路(3D integrated circuit,3DIC)裝置進行驗證測試。所述測試結構可例如包括在重佈線層中或在基板上形成的測試焊盤(test pad),以便能夠對3D封裝或3DIC進行測試、對探針及/或探針卡(probe card)進行使用及進行類似操作。可對中間結構以及最終結構執行驗證測試。另外,可將本文中所揭露的結構及方法與包括對已知良好晶粒進行中間驗證的測試方法結合使用,以提高良率並降低成本。
根據本揭露的一些實施例,一種半導體封裝包括基板、封裝結構、蓋結構及散熱層。所述封裝結構設置在所述基板上。所述封裝結構包括多個裝置晶粒及填充所述多個裝置晶粒中的相鄰兩者之間的間隙的填充材料。所述蓋結構設置在所述基板上方並覆蓋所述封裝結構。所述散熱層設置在所述蓋結構與所述封裝結構之間。所述散熱層具有在與所述間隙對準的間隙區處厚度不連續的輪廓。在實施例中,所述散熱層在所述間隙區處的厚度實質上薄於所述散熱層在其他區處的厚度。在實施例中,所述散熱層在所述間隙區處的厚度實質上等於零。在實施例中,所述散熱層的楊氏模量實質上大於所述填充材料的楊氏模量。在實施例中,所述填充材料包括從所述多個裝置晶粒的背面突出的突出部分,並且填充由所述散熱層在所述間隙區處的厚度不連續性界定的空間。在實施例中,所述半導體封裝更包括設置在所述填充材料上並填充由所述散熱層在所述間隙區處的厚度不連續性界定的空間的壩結構。在實施例中,所述散熱層的楊氏模量實質上大於所述壩結構的楊氏模量。在實施例中,所述壩結構的厚度實質上大於所述散熱層的最大厚度的10%。在實施例中,所述散熱層包含金屬熱介面材料。
根據本揭露的一些實施例,一種半導體封裝包括:重佈線結構;多個裝置晶粒,位於所述重佈線結構上方;填充材料,填充所述多個裝置晶粒中相鄰兩者之間的間隙;蓋結構,覆蓋所述多個裝置晶粒;以及散熱層,設置在所述蓋結構與所述多個裝置晶粒之間。所述散熱層包括與所述間隙對準的凹部。在實施例中,所述凹部面向所述間隙並且沿著所述散熱層的厚度方向延伸。在實施例中,所述凹部延伸貫穿所述散熱層。在實施例中,所述填充材料包括從背面突出的突出部分,並填充所述凹部。在實施例中,所述半導體封裝更包括設置在所述填充材料上並填充所述凹部的壩結構。在實施例中,所述凹部的深度實質上大於所述散熱層的最大厚度的10%。在實施例中,所述半導體封裝更包括基板,其中所述重佈線結構及所述蓋結構結合到所述基板上。
根據本揭露的一些實施例,一種半導體封裝的製造方法包括以下步驟。在基板上方設置封裝結構,其中所述封裝結構包括多個裝置晶粒及填充所述多個裝置晶粒中相鄰兩者之間的間隙的填充材料。在所述封裝結構上方設置散熱層,其中所述散熱層具有在與所述間隙對準的間隙區處厚度不連續的輪廓。在所述基板上方設置蓋結構,且使所述蓋結構與所述散熱層接觸。在實施例中,所述半導體封裝的製造方法更包括:在所述封裝結構上方設置所述散熱層之前,對所述多個裝置晶粒執行薄化製程,使得所述填充材料從所述多個裝置晶粒的背面突出。在實施例中,所述薄化製程包括蝕刻製程。在實施例中,所述半導體封裝的製造方法更包括:在所述封裝結構上方設置所述散熱層之前,在所述填充材料上形成壩結構,其中所述散熱層包封所述壩結構。
10:裝置晶粒/半導體封裝 10a、10b、10c:半導體封裝 20、630:重佈線結構 150:導電凸塊 100、100’、100c:封裝結構 101、103:載體 102、104:黏合層 106:切割膠帶 110、110’:裝置晶粒 110a:第一裝置晶粒/裝置晶粒 110b:第二裝置晶粒/裝置晶粒 110c:第三裝置晶粒/裝置晶粒 111、200、610:基板 113:接觸焊盤 114:導通孔 116、122、652:介電層 120:重佈線結構/底部填充材料 121:重佈線線路 123:通孔 130:包封材料 160:連接件 170:填充材料 172:壩結構 174:突出部分 210:表面安裝裝置 230:底部填充材料 400、400a、400b:散熱層 500:蓋結構 510:固定元件 600:中介層 600’:中介層/重佈線結構 620:穿孔 640:電連接件/金屬蓋層/蓋層 642:電連接件/金屬柱/柱 654:金屬化圖案 660:電連接件/連接件/金屬柱連接件 BS:背面 C1:凹部 Gp:間隙 OS:距離 PK:封裝晶圓 R1:間隙區 S1:第一側 S2:第二側 T1:最大厚度/厚度 T2、T3:厚度
結合附圖閱讀以下詳細說明,會最好地理解本揭露的各方面。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1到圖13示出根據本揭露一些實施例的半導體封裝製造中的中間階段的剖視圖。 圖12A示出根據本揭露一些實施例的半導體封裝的中間階段的剖視圖。 圖13A及圖13B示出根據本揭露一些實施例的半導體封裝的剖視圖。 圖14到圖16示出根據本揭露一些實施例的半導體封裝製造中的中間階段的剖視圖。 圖17到圖19示出根據本揭露一些實施例的半導體封裝製造中的中間階段的剖視圖。 圖20到圖23示出根據本揭露一些實施例的半導體封裝製造中的中間階段的剖視圖。 圖24到圖31示出根據本揭露一些實施例的半導體封裝製造中的中間階段的剖視圖。
110:裝置晶粒
120:重佈線結構
200:基板
160:連接件
170:填充材料
210:表面安裝裝置
230:底部填充材料
400:散熱層
C1:凹部
Gp:間隙
R1:間隙區
T1:最大厚度/厚度

Claims (20)

  1. 一種半導體封裝,包括: 基板; 封裝結構,設置在所述基板上,其中所述封裝結構包括多個裝置晶粒及填充所述多個裝置晶粒中的相鄰兩者之間的間隙的填充材料; 蓋結構,設置在所述基板上方並覆蓋所述封裝結構;以及 散熱層,設置在所述蓋結構與所述封裝結構之間,其中所述散熱層具有在對應於所述間隙的間隙區處厚度不連續的輪廓。
  2. 如請求項1所述的半導體封裝,其中所述散熱層在所述間隙區處的厚度薄於所述散熱層在其他區處的厚度。
  3. 如請求項1所述的半導體封裝,其中所述散熱層在所述間隙區處的厚度實質上等於零。
  4. 如請求項1所述的半導體封裝,其中所述散熱層的楊氏模量實質上大於所述填充材料的楊氏模量。
  5. 如請求項1所述的半導體封裝,其中所述填充材料包括從所述多個裝置晶粒的背面突出的突出部分,並且填充由所述散熱層在所述間隙區處的厚度不連續性所界定出的空間。
  6. 如請求項1所述的半導體封裝,更包括設置在所述填充材料上並填充由所述散熱層在所述間隙區處的厚度不連續性所界定出的空間的壩結構。
  7. 如請求項6所述的半導體封裝,其中所述散熱層的楊氏模量實質上大於所述壩結構的楊氏模量。
  8. 如請求項7所述的半導體封裝,其中所述壩結構的厚度實質上大於所述散熱層的最大厚度的10%。
  9. 如請求項1所述的半導體封裝,其中所述散熱層包含金屬熱介面材料。
  10. 一種半導體封裝,包括: 重佈線結構; 多個裝置晶粒,位於所述重佈線結構上方; 填充材料,填充所述多個裝置晶粒中相鄰兩者之間的間隙; 蓋結構,覆蓋所述多個裝置晶粒;以及 散熱層,設置在所述蓋結構與所述多個裝置晶粒之間,其中所述散熱層包括對應於所述間隙的凹部。
  11. 如請求項10所述的半導體封裝,其中所述凹部面向所述間隙並且沿著所述散熱層的厚度方向延伸。
  12. 如請求項10所述的半導體封裝,其中所述凹部延伸貫穿所述散熱層。
  13. 如請求項10所述的半導體封裝,其中所述填充材料包括從背面突出的突出部分,並填充所述凹部。
  14. 如請求項10所述的半導體封裝,更包括設置在所述填充材料上並填充所述凹部的壩結構。
  15. 如請求項14所述的半導體封裝,其中所述凹部的深度實質上大於所述散熱層的最大厚度的10%。
  16. 如請求項10所述的半導體封裝,更包括基板,其中所述重佈線結構及所述蓋結構結合到所述基板上。
  17. 一種半導體封裝的製造方法,包括: 在基板上方設置封裝結構,其中所述封裝結構包括多個裝置晶粒及填充所述多個裝置晶粒中相鄰兩者之間的間隙的填充材料; 在所述封裝結構上方設置散熱層,其中所述散熱層具有在對應於所述間隙的間隙區處厚度不連續的輪廓;以及 在所述基板上方設置蓋結構,且使所述蓋結構與所述散熱層接觸。
  18. 如請求項17所述的半導體封裝的製造方法,更包括: 在所述封裝結構上方設置所述散熱層之前,對所述多個裝置晶粒執行薄化製程,使得所述填充材料從所述多個裝置晶粒的背面突出。
  19. 如請求項18所述的半導體封裝的製造方法,其中所述薄化製程包括蝕刻製程。
  20. 如請求項17所述的半導體封裝的製造方法,更包括: 在所述封裝結構上方設置所述散熱層之前,在所述填充材料上形成壩結構,其中所述散熱層包封所述壩結構。
TW110128996A 2021-03-19 2021-08-05 半導體封裝及製造半導體封裝的方法 TWI790702B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163163064P 2021-03-19 2021-03-19
US63/163,064 2021-03-19
US17/353,798 US20220301970A1 (en) 2021-03-19 2021-06-21 Semiconductor package and method of manufacturing semiconductor package
US17/353,798 2021-06-21

Publications (2)

Publication Number Publication Date
TW202238877A true TW202238877A (zh) 2022-10-01
TWI790702B TWI790702B (zh) 2023-01-21

Family

ID=82234346

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110128996A TWI790702B (zh) 2021-03-19 2021-08-05 半導體封裝及製造半導體封裝的方法

Country Status (3)

Country Link
US (1) US20220301970A1 (zh)
CN (1) CN114725037A (zh)
TW (1) TWI790702B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11978697B2 (en) * 2021-07-16 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10770405B2 (en) * 2017-05-31 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal interface material having different thicknesses in packages
KR20190013341A (ko) * 2017-08-01 2019-02-11 삼성전자주식회사 열 방출 효율을 향상시킬 수 있는 반도체 패키지
US11682599B2 (en) * 2018-06-27 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US11062971B2 (en) * 2019-01-08 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method and equipment for forming the same
US11721607B2 (en) * 2020-01-23 2023-08-08 Intel Corporation Integrated circuit assemblies having metal foam structures

Also Published As

Publication number Publication date
US20220301970A1 (en) 2022-09-22
CN114725037A (zh) 2022-07-08
TWI790702B (zh) 2023-01-21

Similar Documents

Publication Publication Date Title
US10720409B2 (en) Semiconductor packages with thermal-electrical-mechanical chips and methods of forming the same
CN110034026B (zh) 封装件结构和方法
US11621205B2 (en) Underfill structure for semiconductor packages and methods of forming the same
TWI642157B (zh) 半導體封裝件及其形成方法
KR102585621B1 (ko) 집적 회로 패키지 및 방법
TWI803310B (zh) 積體電路元件和其形成方法
CN111261608B (zh) 半导体器件及其形成方法
KR102524244B1 (ko) 반도체 패키지들에서의 방열 및 그 형성 방법
KR20240005646A (ko) 집적 회로 패키지 및 방법
TWI790702B (zh) 半導體封裝及製造半導體封裝的方法
CN112582389A (zh) 半导体封装件、封装件及其形成方法
US20220384355A1 (en) Semiconductor Devices and Methods of Manufacture
US20230402403A1 (en) Semiconductor package and manufacturing method of semiconductor package
TWI758129B (zh) 半導體封裝
TW202347662A (zh) 積體電路封裝及其形成方法