TW202236709A - 記憶體元件 - Google Patents

記憶體元件 Download PDF

Info

Publication number
TW202236709A
TW202236709A TW110134310A TW110134310A TW202236709A TW 202236709 A TW202236709 A TW 202236709A TW 110134310 A TW110134310 A TW 110134310A TW 110134310 A TW110134310 A TW 110134310A TW 202236709 A TW202236709 A TW 202236709A
Authority
TW
Taiwan
Prior art keywords
layer
sot
selector
transistor
electrically coupled
Prior art date
Application number
TW110134310A
Other languages
English (en)
Inventor
李乾銘
宋明遠
黃彥霖
林世杰
李東穎
新宇 鮑
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202236709A publication Critical patent/TW202236709A/zh

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • G11C11/161Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect details concerning the memory cell structure, e.g. the layers of the ferromagnetic memory cell
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • G11C11/165Auxiliary circuits
    • G11C11/1673Reading or sensing circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • G11C11/165Auxiliary circuits
    • G11C11/1675Writing or programming circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/18Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using Hall-effect devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/10Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having two electrodes, e.g. diodes or MIM elements
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N52/00Hall-effect devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N52/00Hall-effect devices
    • H10N52/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N52/00Hall-effect devices
    • H10N52/80Constructional details

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)

Abstract

提供一種記憶體元件及其形成方法。記憶體元件包括:選擇器;磁性穿隧接面(MTJ)結構,設置在選擇器上;自旋軌道扭矩(SOT)層,設置在選擇器與MTJ結構之間,其中SOT層具有與選擇器的側壁對準的側壁;電晶體,其中電晶體具有電耦合到MTJ結構的汲極;字元線,電耦合到電晶體的閘極;位元線,電耦合到SOT層;第一源極線,電耦合到電晶體的源極;以及第二源極線,電耦合到選擇器,其中電晶體被配置成控制在位元線與第二源極線之間流動的寫入信號,且控制在位元線與第一源極線之間流動的讀取信號

Description

記憶體元件
本發明實施例是有關於一種記憶體元件。
許多現代電子元件包含電子記憶體。電子記憶體可為揮發性記憶體或非揮發性記憶體。非揮發性記憶體能夠在不存在電力的情況下儲存資料,而揮發性記憶體則不能。下一代電子記憶體的一些實例包括電阻式隨機存取記憶體(resistive random-access memory,RRAM)、相變隨機存取記憶體(phase-change random-access memory,PCRAM)、磁阻式隨機存取記憶體(magnetoresistive random-access memory,MRAM)等。MRAM提供與揮發性靜態隨機存取記憶體(static random-access memory,SRAM)相當的性能,以及與揮發性動態隨機存取記憶體(dynamic random-access memory,DRAM)相當的密度及更低的功耗。另外,MRAM的製程可與現有的互補金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)製程整合。在這種情況下,MRAM可被視為有望成為下一代嵌入式記憶體元件的候選項。
本發明實施例提供一種記憶體元件,包括:選擇器;磁性穿隧接面結構,設置在所述選擇器上;自旋軌道扭矩層,設置在所述選擇器與所述磁性穿隧接面結構之間,其中所述自旋軌道扭矩層具有與所述選擇器的側壁對準的側壁;電晶體,其中所述電晶體具有電耦合到所述磁性穿隧接面結構的汲極;字元線,電耦合到所述電晶體的閘極;位元線,電耦合到所述自旋軌道扭矩層;第一源極線,電耦合到所述電晶體的源極;以及第二源極線,電耦合到所述選擇器,其中所述電晶體被配置成控制在所述位元線與所述第二源極線之間流動的寫入信號,且控制在所述位元線與所述第一源極線之間流動的讀取信號。
以下公開提供用於實施所提供主題的不同特徵的許多不同的實施例或實例。為簡化本公開,以下闡述元件及佈置的具體實例。當然,這些僅為實例,而非旨在進行限制。舉例來說,以下說明中將第一特徵形成在第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成有附加特徵從而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本公開可能在各種實例中重複使用元件標號和/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身指示所論述的各種實施例和/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「在...之下(beneath)」、「在...下方(below)」、「下部的(lower)」、「在...上方(above)」、「上部的(upper)」等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外還囊括元件在使用或操作中的不同取向。設備可具有其他取向(旋轉90度或處於其他取向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
磁性穿隧接面(magnetic tunnel junction,MTJ)包括通過薄的非磁阻障層而隔開的第一鐵磁膜與第二鐵磁膜,所述非磁阻障層通常是量子機械穿隧阻障層(被稱為「穿隧阻障層」)。鐵磁膜中的一者(常常被稱為「參考層」)具有固定磁化方向,而另一鐵磁膜(常常被稱為「自由層」)具有可變磁化方向,以最穩定地指向兩個相反方向中的一者。如果參考層的磁化方向與自由層的磁化方向呈平行(parallel,P)取向,則電子將相對更容易隧穿穿隧阻障層,此意味著MTJ處於低電阻狀態。相反,如果參考層的磁化方向與自由層的磁化方向呈反向平行(antiparallel,AP)取向,則電子將更難以隧穿穿隧阻障層,此意味著MTJ處於高電阻狀態。通過逆轉自由層的磁化方向,MTJ可在兩種電阻狀態之間切換。
可使自由層的狀態切換的一種常見機制包括自旋轉移扭矩(spin-transfer torque,STT),其中如在所謂的「STT-MRAM」中一樣,電子隧穿穿隧阻障層。在STT-MRAM元件中,讀取電流及寫入電流二者施加在MTJ兩端。此可能造成大量挑戰,所述挑戰包括由於寫入電流行進穿過MTJ而導致STT-MRAM元件的耐久性降低和/或功耗增加。可用於切換自由層的狀態的另一種機制包括自旋軌道扭矩(spin orbit torque,SOT),其中如在所謂的「SOT-MRAM」中一樣,面內電流(in-plane current)施加跨過相鄰的SOT層。此繼而有利於在不橫跨MTJ兩端施加電流的條件下切換自由層的狀態,從而增加SOT-MRAM元件的耐久性且降低SOT-MRAM的功耗。
SOT-MRAM元件通常被配置成二電晶體一電阻器(two-transistor one-resistor,2T1R)配置。在此種實施例中,第一電晶體電耦合到負責寫入操作的SOT層的一個端部,第二電晶體電耦合到與用於讀取操作的SOT層相對的MTJ側。然而,所述兩個電晶體佔據SOT-MRAM元件的大部分面積,此不利於當前半導體記憶體元件日益小型化的趨勢。根據一些實施例,提供包括一選擇器一電晶體一電阻器(one-selector one-transistor one-resistor,1S1T1R)配置的記憶體元件來取代傳統的2T1R配置,以減小記憶體元件的胞元尺寸,從而提高記憶體元件的性能且增加商業競爭力。另外,可使用交叉點操作方法來操作包括1S1T1R配置的記憶陣列,從而簡化記憶陣列程式設計。
圖1A是根據第一實施例的記憶胞元的剖視圖。
參照圖1A,記憶胞元100包括選擇器102、自旋軌道扭矩(SOT)層104及磁性穿隧接面(MTJ)結構110。具體來說,MTJ結構110可設置在選擇器102上,且SOT層104可設置在選擇器102與MTJ結構110之間。在此種實施例中,記憶胞元100被稱為一選擇器一電阻器(1S1R)配置。
在一些實施例中,選擇器102可為雙向閾值開關(ovonic threshold switch,OTS),和/或可具有介於約5 nm到30 nm的範圍內(例如15 nm)的厚度。OTS可為雙端對稱電壓敏感開關元件且可在其用於電路元件之前被表徵。OTS機制包括當獲得特定電壓時在低電場下的高電阻狀態(關斷狀態)與低電阻狀態(導通狀態)之間的開關。舉例來說,當對選擇器102施加小於閾值電壓的所施加電壓時,選擇器102保持在「關斷」狀態,例如不導電狀態。作為另外一種選擇,回應於選擇器102兩端的大於閾值電壓的所施加電壓,選擇器102進入「導通」狀態,例如導電狀態。也就是說,選擇器102被稱為用於確定導通或關斷記憶胞元100的開關。這樣一來,OTS可使得能夠雙向切換且可容易地整合為用於記憶胞元100的選擇元件。應注意,選擇器102可取代寫入電晶體來減小記憶胞元100的胞元尺寸,從而增加每單位面積的記憶體元件的數量。此外,基於相同的佔用面積,選擇器102可提供比寫入電晶體高的驅動電流,從而提高記憶胞元100的性能。
在一些實施例中,SOT層104可例如為或包含重金屬,例如鉑、鈀、鎢、鉭、金、前述材料的合金(例如鈀與鉑的合金(例如,Pd 0.25Pt 0.75)、金與鉑的合金(例如,Au 0.25Pt 0.75))或拓撲絕緣體(例如Bi 2Se 3、WTe 2等),和/或可具有介於約5 nm到15 nm的範圍內(例如10 nm)的厚度。在一些實施例中,SOT層104也被稱為自旋霍爾電極(spin-hall electrode,SHE),所述自旋霍爾電極被配置成使得當寫入電流沿著SOT層104通過時所述寫入電流可通過SOT層104的頂部附近的自旋霍爾效應產生自旋累積,以用於切換MTJ結構110的自由層112的磁矩,從而驅動MTJ結構110的電阻值的改變。在此種實施例中,所產生的自旋累積可通過向自由層磁化提供扭矩來設定MTJ結構110的電阻值。
在一些實施例中,MTJ結構110包括自由層112、阻障層114、釘紮參考層116及反鐵磁(anti-ferromagnetic,AFM)層118。自由層112可設置在SOT層104上且與SOT層104接觸。釘紮參考層116可設置在自由層112之上。阻障層114可設置在自由層112與釘紮參考層116之間。AFM層118可設置在釘紮參考層116上,使得釘紮參考層116設置在阻障層114與AFM層118之間。
在一些實施例中,自由層112可例如為或包含鐵、鈷、鎳、前述材料的合金、鈷鐵硼等,和/或具有介於約0.5 nm到3.0 nm的範圍內(例如2.0 nm)的厚度。在一些實施例中,自由層112的厚度可取決於對於穩定的磁狀態是期望垂直還是平面內的優選方向。在一些實施例中,穿隧阻障層114可例如為或包含氧化鎂(MgO)、氧化鋁(例如,Al 2O 3)、氧化鎳等,和/或具有介於約0.5 nm到1.5 nm的範圍內(例如1.0 nm)的厚度。在一些實施例中,釘紮參考層116可例如為由兩個鐵磁層構成的合成反鐵磁(anti-ferromagnetic,SAF)結構,所述兩個鐵磁層由一個魯德曼–基特爾–由勝谷–良田(Ruderman–Kittel–Kasuya–Yosida,RKKY)耦合間隔件隔開。鐵磁層包含鐵、鈷、鎳、前述材料的合金、鈷鐵硼、鐵硼等,和/或具有介於約1.0 nm到3.0 nm的範圍內(例如2.0 nm)的厚度。RKKY間隔件通常包含釕、銥等,和/或具有介於約0.3 nm到1.0 nm的範圍內(例如0.8 nm)的厚度。在一些實施例中,AFM層118可例如為或包含鉑錳(PtMn)、銥錳(IrMn)、銠錳(RhMn)及鐵錳(FeMn)、其組合等,和/或具有介於約10 nm到30 nm的範圍內(例如20 nm)的厚度。
記憶胞元100被配置成基於MTJ結構110的電阻值來儲存資料狀態。舉例來說,MTJ結構110將儲存以下中的任一者:在MTJ結構110具有低電阻狀態的情況下的第一資料狀態(例如,邏輯「0」);或在MTJ結構110具有高電阻狀態的情況下的第二資料狀態(例如,邏輯「1」)。在一些實施例中,在操作期間,MTJ結構110可通過從SOT層104注入極化自旋電子而在低電阻狀態與高電阻狀態之間改變。在此種實施例中,具有記憶胞元100的記憶體元件被稱為SOT-MRAM元件。
具體來說,釘紮參考層116可具有指向第一方向的固定的或「釘紮」磁取向。自由層112可具有可變的或「自由」磁取向,此可在兩個或更多個不同的磁極性之間切換,所述兩個或更多個不同的磁極性各自代表不同的資料狀態,例如不同的二進位狀態。在一些實施例中,如果釘紮參考層116的磁化方向與自由層112的磁化方向處於平行的相對取向,則電荷載流子(例如,電子)將更可能隧穿穿隧阻障層114,使得MTJ結構110處於低電阻狀態。相反,在一些實施例中,如果釘紮參考層116的磁化方向與自由層112的磁化方向處於反平行取向,則電荷載流子(例如,電子)將不太可能隧穿穿隧阻障層114,使得MTJ結構110處於高電阻狀態。在正常操作條件下,MTJ結構110可基於(在側向上)跨過SOT層104施加的寫入信號(例如,電流和/或電壓)而在低電阻狀態與高電阻狀態之間切換。在一些實施例中,當MTJ結構110是面內MTJ結構時,可包括AFM層118以釘紮或固定參考層116的磁化方向。在一些替代實施例中,當MTJ結構110是垂直的MTJ結構時,可省略AFM層118。
如圖1A中所示,記憶胞元100還包括第一電氣端子122、第二電氣端子124及第三電氣端子128。在一些實施例中,第一電氣端子122與第二電氣端子124設置在SOT層104的相對的側上,且第三電氣端子128與第二電氣端子124設置在SOT層104的同一側上。舉例來說,第二電氣端子124設置在SOT層104的頂表面104a上。第一電氣端子122設置在SOT層104的與頂表面104a相對的底表面104b上且與選擇器102接觸。第三電氣端子128設置在MTJ結構110的頂表面110a上且與所述頂表面110a接觸。第二電氣端子124相對於第三電氣端子128在側向上偏移開非零距離。在本實施例中,第一電氣端子122與選擇器102之間的接觸面積A1小於第二電氣端子124與SOT層104之間的接觸面積A2,以降低漏電流。然而,本公開的實施例並不限於此,在其他實施例中,當第一電氣端子122的直徑介於約40 nm到80 nm的範圍內時,接觸面積A1可相同於或大於接觸面積A2。
在一些實施例中,在記憶胞元100的寫入操作期間,在第一電氣端子122與第二電氣端子124之間施加寫入信號(例如,電流和/或電壓),使得寫入信號行進跨過SOT層104。也就是說,寫入信號可從第一電氣端子122行進到第二電氣端子124,或者反之亦然(即,寫入信號的路徑是雙向的)。沿著寫入信號的路徑的電脈衝會影響設置在記憶胞元100的MTJ結構110內的自由層112的磁化方向。另外,在第二電氣端子124與第三電氣端子128之間施加讀取信號(例如,電流和/或電壓),使得讀取信號行進穿過SOT層104及MTJ結構110。也就是說,讀取信號可從第二電氣端子124行進到第三電氣端子128。
圖1B是根據第二實施例的記憶胞元的剖視圖。
參照圖1B,第二實施例的記憶胞元200類似於第一實施例的記憶胞元100。也就是說,記憶胞元200的結構、材料及功能類似於記憶胞元100的結構、材料及功能,且因此本文中省略細節。記憶胞元200與記憶胞元100之間的主要區別在於,記憶胞元200具有第二電氣端子124a,第二電氣端子124a與第一電氣端子122設置在SOT層104的同一側上。也就是說,第二電氣端子124a及第一電氣端子122二者設置在SOT層104的底表面104b上且彼此在側向上偏移開非零距離。第一電氣端子122可與選擇器102接觸,且第二電氣端子124a可與SOT層104的底表面104b接觸。
圖2是根據一些實施例的單位胞元的剖視圖。
參照圖2,本實施例的單位胞元300類似於第一實施例的記憶胞元100。也就是說,單位胞元300的結構、材料及功能類似於記憶胞元100的結構、材料及功能,且因此本文中省略細節。單位胞元300與記憶胞元100之間的主要區別在於,單位胞元300還包括電晶體150。在此種實施例中,單位胞元300被稱為一選擇器一電晶體一電阻器(1S1T1R)配置。
具體來說,電晶體150可具有閘極、源極及汲極。如圖2中所示,電晶體150的閘極電耦合到字元線WL。電晶體150的源極電耦合到第一源極線SL1。電晶體150的汲極通過第三電氣端子128電耦合到MTJ結構110。另外,位元線BL通過第二電氣端子124電耦合到SOT層104。第二源極線SL2通過第一電氣端子122電耦合到選擇器102。在本實施例中,第一源極線SL1與第二源極線SL2電連接到彼此以形成共用源極線SL。
在一些實施例中,在單位胞元300的寫入操作期間,在位元線BL與共用源極線SL之間施加寫入信號(例如,電流和/或電壓),使得寫入信號行進跨過SOT層104。也就是說,寫入信號可從位元線BL行進到共用源極線SL,或者反之亦然(即,寫入信號的路徑是雙向的)。沿著寫入信號的路徑的電脈衝會影響設置在單位胞元300的MTJ結構110內的自由層112的磁化方向。另一方面,在單位胞元300的讀取操作期間,在位元線BL與共用源極線SL之間施加讀取信號(例如,電流和/或電壓),使得讀取信號行進穿過SOT層104及MTJ結構110。也就是說,當讀取儲存在MTJ結構110中的資料時,字元線WL被斷言(asserted)導通電晶體150。根據MTJ結構110的導電狀態,可判斷位元線BL所提供的電流是否可通過MTJ結構110及電晶體150,且然後流到共用源極線SL,從而讀取儲存在MTJ結構110中的資料。
圖3A是根據第一實施例的記憶體元件的透視圖。
參照圖3A,記憶體元件400A包括半導體基底402、多個電氣元件410、內連線結構420及多個記憶胞元100。在一些實施例中,在半導體製造的前段(front-end-of-line,FEOL)處理中,在半導體基底402的元件區中形成電氣元件410(例如電晶體、電阻器、電容器、電感器、二極體等)。舉例來說,電晶體可包括鰭式場效電晶體(fin field effect transistor,FinFET)、奈米結構電晶體、全環繞閘極電晶體(例如,奈米線、奈米片等)、平面電晶體等。可通過先閘極製程(gate-first process)或後閘極(gate-last process)製程來形成電晶體。在下文中,電氣組件410可被稱為電晶體410,且圖3A中所示的電晶體410以平面電晶體為實例進行例示。半導體基底402可為塊狀基底(例如經摻雜或未經摻雜的矽基底)或者絕緣體上半導體(semiconductor-on-insulator,SOI)基底的主動層。半導體基底402可包含:其他半導體材料,例如鍺;化合物半導體,包括碳化矽、鎵砷、磷化鎵、氮化鎵、磷化銦、砷化銦和/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或者其組合。也可使用其他基底,例如多層基底或梯度基底。可使用半導體製造中已知或使用的任何合適的形成方法而在半導體基底402中/上形成電晶體410。
在一些實施例中,電晶體410各自包括源極區412、汲極區414、閘極介電層416及閘極電極418。如圖3A中所示,閘極介電層416及閘極電極418可設置在半導體基底402上,且閘極介電層416可設置在閘極電極418與半導體基底402之間。源極區412與汲極區414可設置在閘極電極418的相對的側處的半導體基底402中。在一些實施例中,閘極電極418可例如為或包含經摻雜的多晶矽或金屬,例如鋁、銅、前述材料的組合等。在一些實施例中,閘極介電層416可例如為或包含氧化物,例如二氧化矽、高介電常數(high dielectric constant,high-k)介電材料等。在一些實施例中,半導體基底402可包括第一摻雜類型(例如,p型)且源極/汲極區412及414可包括與第一摻雜類型相反的第二摻雜類型(例如,n型)。
如圖3A中所示,內連線結構420可上覆在半導體基底402及電晶體410上,其中記憶胞元100嵌置在內連線結構420內。在一些實施例中,內連線結構420包括介電結構(未示出)、多個導通孔424及多條導電線426,其中所述多個導通孔424與所述多條導電線426在方向D3上堆疊且可在半導體製造的後段(back-end-of-line,BEOL)處理中形成。在一些實施例中,導通孔424及導電線426可例如各自為或包含鋁、銅、鎢、鈦、前述材料的組合等。在又一些實施例中,介電結構可包括多個介電層,例如層間介電(inter-level dielectric,ILD)層(例如,包含氧化物、低k介電材料等)和/或蝕刻停止層(例如,包含碳化矽、氮化矽等)。
在一些實施例中,所述多條導電線426中的一條導電線電耦合到源極線(source line,SL)。此外,電晶體410的閘極電極418電耦合到字元線(word line,WL)。所述多條導電線426中的上導電線426U電耦合到位元線(bit line,BL)。在下文中,上導電線426U可被稱為位元線BL。內連線結構420被配置成將電晶體410中的一者或多者電耦合到記憶胞元100(例如,通過導通孔424及導電線426)。
記憶胞元100設置在內連線結構420內。具體來說,第一導通孔424A位於記憶胞元100之下且與選擇器102接觸。在此種實施例中,第一導通孔424A可被稱為底部電極通孔(bottom electrode via,BEVA)或第一電氣端子122(圖1A)。第二導通孔424B設置在SOT層104上且與SOT層104接觸。在此種實施例中,第二導通孔424B可被稱為第二電氣端子124(圖1A)。第三導通孔424C設置在MTJ結構110上且與MTJ結構110接觸。在此種實施例中,第三導通孔424C可被稱為頂部電極通孔(top electrode via,TEVA)或第三電氣端子128(圖1A)。在一些實施例中,在記憶體元件400A的寫入操作期間,在第一導通孔424A與第二導通孔424B之間施加寫入信號(例如,電流和/或電壓),使得寫入信號行進跨過SOT層104。在一些實施例中,寫入信號的方向可由位元線(BL)的電勢及源極線(SL)的電勢確定(即,寫入信號的路徑是雙向的)。沿著寫入信號的路徑的電脈衝會影響設置在記憶胞元100的MTJ結構110內的自由層的磁化方向。
如圖3A中所示,位元線BL可沿著第一方向D1延伸,且字元線WL(或源極線SL)可沿著與第一方向D1不同的第二方向D2延伸。在一些實施例中,第一方向D1與第二方向D2實質上垂直。SOT層104可具有沿著第一方向D1的寬度W1及沿著第二方向D2的長度L1,其中長度L1大於寬度W1。在此種實施例中,長度L1與MTJ結構110的長軸110L平行,如圖3A中所示。在一些實施例中,當MTJ結構110是面內MTJ結構時,MTJ結構110的形狀是橢圓形(如圖3A中所示)。然而,本公開的實施例並不限於此,在其他實施例中,當MTJ結構110是垂直的MTJ結構時,MTJ結構110的形狀是圓形(未示出)。SOT層104的長度L1可取決於半導體製造的BEOL處理的製程能力。也就是說,當第二導通孔424B與第三導通孔424C之間的節距減小時,SOT層104的長度L1可減小,且甚至整個記憶胞元100的長度也可減小,從而增加每單位面積的記憶體元件的數量。
在一些實施例中,在記憶體元件400A的寫入操作期間,在第一導通孔424A與第二導通孔424B之間施加寫入信號(例如,電流和/或電壓),使得寫入信號行進跨過SOT層104。也就是說,寫入路徑可沿著與字元線WL或源極線SL的延伸方向相同的第二方向D2行進。在一些實施例中,位元線BL跨過相鄰的兩個記憶胞元100且電耦合到所述相鄰的兩個記憶胞元100。換句話說,所述相鄰的兩個記憶胞元100可共用同一位元線BL。
應注意,記憶體元件400A可具有直接位於源極區412之上的記憶胞元100。換句話說,記憶胞元100可與下方的源極區412完全或局部交疊。在此種實施例中,記憶胞元100可被界定在電晶體410的範圍內,以便減小記憶體元件400A的胞元尺寸,從而增加每單位面積的記憶體元件的數量。與傳統的雙電晶體一電阻器(2T1R)配置相比,記憶體元件400A(即,1S1T1R配置)的佔用面積可能會減少34%到50%或者更多。
儘管圖3A所示電晶體410被繪示為平面電晶體配置,但本公開並不限於此。舉例來說,在其他實施例中,電晶體410可具有如圖3B中所示的FinFET配置。
參照圖3B,記憶體元件400B可包括多個主動區域404、多個隔離結構405及多個閘極電極418。在一些實施例中,主動區域404形成在半導體基底402中,其中主動區域404沿著第一方向D1延伸且沿著第二方向D2交替地排列。在一些實施例中,本公開中論述的用語「主動區域」也被稱為「OD」(氧化物擴散區域)。另外,隔離結構405形成在主動區域404之間,以將相鄰的主動區域404彼此電隔離。在一些實施例中,隔離結構405可為氧化物(例如,氧化矽)、氮化物(例如,氮化矽)、類似材料或其組合,例如淺溝槽隔離(shallow trench isolation,STI)結構。儘管圖3B中所示的主動區域404與相鄰的隔離結構405隔開,但本公開的實施例並不限於此。在其他實施例中,主動區域404連接到相鄰的隔離結構405。此外,閘極電極418可形成在半導體基底上且跨過主動區域404及隔離結構405,其中閘極電極418沿著第二方向D2延伸且沿著第一方向D1交替地排列。
在一些實施例中,包括電晶體410及記憶胞元100的單位胞元10在圖3B中以虛線框示出。源極線SL及記憶胞元100(包括選擇器、SOT層104及MTJ結構110)垂直地堆疊在電晶體410的源極區414上。單位胞元10的長度L2可取決於SOT層104的長度L1。在此種實施例中,單位胞元10的長度L2大約介於兩個相鄰的主動區域404(例如,2OD)的範圍內。另一方面,單位胞元10的寬度W2可取決於電晶體410的尺寸。在此種實施例中,單位胞元10的寬度W2大約介於兩個相鄰的閘極電極418(例如,2PO)的範圍內。在一些實施例中,本公開中論述的用語「閘極」被稱為「PO」。儘管在圖3B所示俯視圖中示出MTJ結構110是橢圓形形狀,但本公開的實施例並不限於此。在其他實施例中,俯視圖中的MTJ結構110的形狀可為圓形形狀或另一種合適的形狀。
圖3C是根據第二實施例的記憶體元件的透視圖。
參照圖3C,第二實施例的記憶體元件500類似於第一實施例的記憶體元件400A。也就是說,記憶體元件500的結構、材料及功能類似於記憶體元件400A的結構、材料及功能,且因此本文中省略細節。記憶體元件500與記憶體元件400A之間的主要區別在於,記憶體元件500的MTJ結構110的長軸110L’與SOT層104的長度L1正交。在此種實施例中,記憶體元件500的MTJ結構110的長軸110L’可與從源極線SL到位元線BL的寫入路徑正交。
圖4A是根據第三實施例的記憶體元件的透視圖。
參照圖4A,第三實施例的記憶體元件600A類似於第一實施例的記憶體元件400A。也就是說,記憶體元件600A的結構、材料及功能類似於記憶體元件400A的結構、材料及功能,且因此本文中省略細節。記憶體元件600A與記憶體元件400A之間的主要區別在於,記憶體元件600A具有沿著第一方向D1延伸的選擇器102及SOT層104。具體來說,SOT層104可具有沿著第一方向D1的長度L1及沿著第二方向D2的寬度W1,其中長度L1大於寬度W1。在此種實施例中,長度L1平行於MTJ結構110的長軸110L,如圖4A中所示。
儘管圖4A所示電晶體410被繪示成平面電晶體配置,但本公開並不限於此。舉例來說,在其他實施例中,電晶體410可具有如圖4B中所示的FinFET配置。
參照圖4B,記憶體元件600B可包括單位胞元20,單位胞元20包括電晶體410及記憶胞元100,且單位胞元20示出為虛線框。源極線SL及記憶胞元100(包括選擇器、SOT層104及MTJ結構110)垂直地堆疊在電晶體410的源極區414上。單位胞元20的寬度W3可取決於電晶體410的尺寸及SOT層104的長度L1。具體來說,SOT層104的長度L1可從一個電晶體410延伸到上覆在另一電晶體410(如圖4A中所示)上。在這種情況下,單位胞元20的寬度W3大約介於三個相鄰的閘極電極418(例如,3PO)的範圍內。另一方面,由於寬度W1小於SOT層104的長度L1,因此單位胞元20的長度L3可減小到小於所述兩個相鄰的主動區域404(例如,2OD)的範圍。換句話說,在本實施例中,單位胞元的長度及寬度能夠根據設計要求進行調整,從而增加BEOL結構中的佈局的靈活性。
圖4C是根據第四實施例的記憶體元件的透視圖。
參照圖4C,第四實施例的記憶體元件700類似於第三實施例的記憶體元件600A。也就是說,記憶體元件700的結構、材料及功能類似於記憶體元件600A的結構、材料及功能,且因此本文中省略細節。記憶體元件700與記憶體元件600A之間的主要區別在於,記憶體元件700的MTJ結構110的長軸110L’與SOT層104的長度L1正交。在此種實施例中,記憶體元件700的MTJ結構110的長軸110L’可與從源極線SL到位元線BL的寫入路徑正交。
圖5A是根據一些實施例的記憶陣列的電路圖。圖5B是圖5A中所示的記憶陣列的動作表。
參照圖5A,記憶陣列800包括佈置為具有多個列及多個行的陣列的多個單位胞元30。在本文中,為了清楚起見,在圖5中僅示出一個虛線框,以代表所選擇的單位胞元30。在一些實施例中,單位胞元30各自包括電耦合到彼此的記憶胞元100與電晶體150。另外,記憶陣列800還包括多條位元線BLm、BLm+1、多條字元線WLn、WLn+1及多條源極線SLn、SLn+1,其中源極線SLn及SLn+1設置在字元線WLn與WLn+1之間。具體來說,電晶體150被佈置為具有多個列及多個行的陣列。在一些實施例中,電晶體150各自包括源極、汲極及閘極。每一電晶體150的閘極電耦合到相應的字元線(例如,WLn或WLn+1),每一電晶體150的汲極電耦合到記憶胞元100的相應的MTJ結構110,且每一電晶體150的源極電耦合到相應的源極線(例如,SLn或SLn+1)。此外,每一位元線BLm或BLm+1可電耦合到記憶胞元100的相應的SOT層104,且每一源極線SLn或SLn+1可電耦合到相應的選擇器102及電晶體150的相應的源極。在此種實施例中,同一水平列中的單位胞元30可共享共用字元線及共用源極線,而同一垂直行中的單位胞元30可共享共用位元線。在本實施例中,包括1S1T1R配置的記憶陣列800能夠通過交叉點(cross-point)操作方法進行操作,從而簡化記憶陣列程式設計,詳細操作如下所示。
在一些實施例中,在所選擇的單位胞元30(在圖5A中由虛線框代表)的寫入操作期間,在位元線BLm與源極線SLn之間施加寫入信號(例如,電流和/或電壓),使得寫入信號行進跨過SOT層104。具體來說,根據圖5B中所示的動作表,當將1個單位的OTS閾值電壓(例如,1 Vth)施加到所選擇的位元線BLm,將0.5個單位的閾值電壓(例如,0.5 Vth)施加到其它未選擇的位元線(例如BLm+1),將0個單位的閾值電壓(例如,0 Vth)施加到所選擇的源極線SLn,將0.5個單位的閾值電壓(例如,0.5 Vth)施加到其他未選擇的源極線(例如SLn+1),且使全部字元線WLn及WLn+1等電浮置時,在所選擇的單位胞元30的MTJ結構110中儲存資料狀態(例如,邏輯「1」)。另一方面,根據圖5B中所示的動作表,當將0個單位的閾值電壓(例如,0 Vth)施加到所選擇的位元線BLm,將0.5個單位的閾值電壓(例如,0.5 Vth)施加到其它未選擇的位元線(例如BLm+1),將1個單位的閾值電壓(例如,1 Vth)施加到所選擇的源極線SLn,將0.5個單位的閾值電壓(例如,0.5 Vth)施加到其它未選擇的源極線(例如SLn+1),且使全部字元線WLn及WLn+1電浮置時,在所選擇的單位胞元30的MTJ結構110中儲存另一資料狀態(例如,邏輯「0」)。
此外,在所選擇的單位胞元30的讀取操作期間,在位元線BLm與源極線SLn之間施加讀取信號(例如,電流和/或電壓),使得讀取信號行進穿過SOT層104及MTJ結構110。也就是說,當讀取儲存在MTJ結構110中的資料時,字元線WL被斷言導通電晶體150。根據MTJ結構110的導電狀態,可判斷位元線BLm所提供的電流是否可通過MTJ結構110及電晶體150,且然後流到源極線SLn,從而讀取儲存在MTJ結構110中的資料。舉例來說,根據圖5B中所示的動作表,將小於0.5個單位的閾值電壓(例如,0.1 Vth)施加到所選擇的位元線BLm,使其它未選擇的位元線(例如BLm+1)電浮置,將0個單位的閾值電壓(例如,0 Vth)施加到所選擇的源極線SLn,使例如SLn+1等其他未選擇的源極線電浮置,所選擇的字元線WLn被斷言導通相應的電晶體150,且使例如WLn+1等其他未選擇的字元線電浮置,讀取儲存在所選擇的單位胞元30的MTJ結構110中的資料狀態。
圖6示出根據一些實施例的形成記憶體元件的方法的流程圖1000。儘管本文中將所公開的方法1000示出及闡述為一系列動作或事件,然而應理解,這些動作或事件的示出次序不應被解釋為具有限制性意義。舉例來說,某些動作可以不同的次序發生,和/或可與除本文中所示和/或所闡述的動作或事件之外的其他動作或事件同時發生。另外,在實施本文說明的一個或多個方面或實施例時可能並非需要全部所示動作。此外,本文中所繪示的動作中的一個或多個動作可在一個或多個單獨的動作和/或階段中施行。圖6可示出由圖7到圖15公開的方法的一些實施例。
參照圖6,在方塊1010處,在基底上形成電晶體。圖7示出對應於與方框1010對應的一些實施例的剖視圖。首先,提供基底402。在一些實施例中,基底402是半導體基底,例如塊狀半導體、絕緣體上半導體(SOI)基底等。基底402可為經摻雜的(例如,以p型或n型摻雜劑)或未經摻雜。基底402可為晶片,例如矽晶片。一般來說,SOI基底是形成在絕緣體層上的半導體材料的層。絕緣體層是例如埋入式氧化物(buried oxide,BOX)層、氧化矽層等。絕緣體層設置在基底(通常是矽或玻璃基底)上。也可使用其他基底,例如多層基底或梯度基底。在一些實施例中,基底402包含:元素半導體,例如矽或鍺;化合物半導體,例如碳化矽、鎵砷、磷化鎵、磷化銦、砷化銦及銻化銦;合金半導體,例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及GaInAsP或其組合。
如圖7中所示,在基底402上形成多個電晶體410。詳細來說,電晶體410各自包括源極區412、汲極區414、閘極介電層416及閘極電極418。閘極介電層416及閘極電極418可設置在半導體基底402上,且閘極介電層416可設置在閘極電極418與半導體基底402之間。源極區412與汲極區414可設置在閘極電極418的相對的側處的半導體基底402中。在一些實施例中,閘極介電層416可為具有大於約7的k值的高k介電材料,且可包含Hf、Al、Zr、La、Mg、Ba、Ti、Pb或其組合的金屬氧化物或矽酸鹽。閘極介電層416的形成方法可包括分子束沉積(Molecular-Beam Deposition,MBD)、原子層沉積(atomic layer deposition,ALD)、電漿增強型化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)等。在一些實施例中,閘極電極418可包含多晶矽、含金屬材料(例如TiN、TaN、TaC、Co、Ru、Al)、其組合或其多層。儘管圖7中所示的閘極電極418是單層結構,但本公開的實施例並不限於此。在其他實施例中,可在閘極介電層416與閘極電極418之間形成任意數量的功函數調諧層。另外,可沿著閘極介電層416的側壁及閘極電極418的側壁形成閘極間隔件(未示出)。
在一些實施例中,在閘極電極418的兩個側處的基底402中和/或基底402上形成源極/汲極(source/drain,S/D)區412及414。在一些實施例中,S/D區412及414可為形成在基底402中的摻雜區。基底402可包括第一摻雜類型(例如,p型)且S/D區412及414可包括與第一摻雜類型相反的第二摻雜類型(例如,n型)。在FinFET實例中,S/D區412及414形成在閘極電極418的兩個側處的半導體鰭(例如,圖3B中所示的OD空間區)之上。在一些實施例中,S/D區412及414可為應變材料,應變材料包括任何可接受的材料(例如適用於p型FinFET或n型FinFET)。舉例來說,對於p型FinFET,應變材料可包括SiGe、SiGeB、Ge、SeSn等。作為另外一種選擇,對於n型FinFET,應變材料可包括矽、SiC、SiCP、SiP等。由於應變材料的晶格常數不同於半導體鰭,因此半導體鰭的溝道區發生應變或受到應力,以實現元件的載流子遷移率且增強元件性能。
在形成電晶體410之後,在基底402之上形成介電層422。在本文中,介電層422可被稱為層間介電(ILD)層。在一些實施例中,可通過化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(ALD)或另一種合適的沉積製程來沉積介電層422。在又一些實施例中,介電層422可例如為或包含氧化物,例如二氧化矽、低k介電材料或另一種合適的介電材料。可在介電層422中形成導通孔424及導電線426。在一些實施例中,可例如通過單鑲嵌製程或雙鑲嵌製程來形成導通孔424和/或導電線426。
參照圖6,在方塊1020處,在第一介電層中形成底部電極通孔(BEVA)。圖8及圖9示出對應於與對方塊1020對應的一些實施例的剖視圖。如圖8中所示,在介電層422及導電線426之上形成第一介電層428a。在本文中,第一介電層428a可被稱為金屬間介電(inter-metal dielectric,IMD)層。在一些實施例中,可例如通過CVD、PVD、ALD或另一種合適的沉積製程來沉積第一介電層428a。在一些實施例中,第一介電層428a可例如為或包含二氧化矽、極低k介電材料、氮化矽等。此外,在形成第一介電層428a之後,可對第一介電層428a執行圖案化製程,以在第一介電層428a中形成至少一個開口429。在一些實施例中,圖案化製程可暴露出導電線426的上表面。在又一些實施例中,圖案化製程可包括:在第一介電層428a之上形成罩幕層(未示出);將第一介電層428a的未掩蔽區暴露於一種或多種蝕刻劑,從而形成開口429;以及執行移除製程以移除罩幕層。
如圖9中所示,然後在開口429中形成BEVA 424A。在一些實施例中,形成BEVA 424A包括以下步驟:在第一介電層428a之上形成導電材料且將導電材料填充在開口429中;對導電材料執行平坦化製程(例如,化學機械平坦化(chemical mechanical planarization,CMP)製程),直到到達第一介電層428a的頂表面,從而形成BEVA 424A。在此種實施例中,BEVA 424A具有與第一介電層428a的頂表面齊平(level)或共面的頂表面。在一些實施例中,導電材料可例如為或包含銅、鋁、鎢、前述材料的組合等。此外,在一些實施例中,可例如通過CVD、ALD、電鍍、PVD、另一種合適的沉積或生長製程、或者沉積或生長製程的組合來沉積導電材料。
參照圖6,在方塊1030處,在第一介電層上依序形成選擇器材料、自旋軌道扭矩(SOT)材料、磁性穿隧接面(MTJ)堆疊及頂蓋材料。圖10示出對應於與方塊1030對應的一些實施例的剖視圖。如圖10中所示,在第一介電層428a上依序形成選擇器材料902、SOT材料904、MTJ堆疊910及頂蓋材料920。在一些實施例中,選擇器材料902可包括雙向閾值開關(OTS)材料、基於氧化物的電阻材料、或其組合等,且可通過CVD、PVD、ALD或另一種合適的沉積製程來沉積。OTS材料可包括硫族化物材料,此回應於選擇器兩端施加的電壓。在一些實施例中,SOT材料904可為或包含重金屬,例如鉑、鈀、鎢、鉭、金、前述材料的合金(例如鈀與鉑的合金(例如,Pd 0.25Pt 0.75)、金與鉑的合金(例如,Au 0.25Pt 0.75))或拓撲絕緣體(例如Bi 2Se 3、WTe 2等),且可通過CVD、PVD、ALD或另一種合適的沉積製程來沉積。
在一些實施例中,MTJ堆疊910至少包括從下到上依次堆疊的自由材料、阻擋材料、釘紮參考材料及反鐵磁(AFM)材料。自由材料可為或包括鐵、鈷、鎳、前述的合金、鈷鐵硼等,且可通過CVD、PVD、ALD或另一種合適的沉積製程來沉積。阻擋材料可為或包括氧化鎂(MgO)、氧化鋁(例如,Al2O3)、氧化鎳等,且可通過CVD、PVD、ALD或另一種合適的沉積製程來沉積。釘紮參考材料可為或包括鐵、鈷、鎳、前述材料的合金、鈷、鐵硼等,且可通過CVD、PVD、ALD或另一種合適的沉積製程來沉積。AFM材料可為或包括鉑錳(PtMn)、銥錳(IrMn)、銠錳(RhMn)及鐵錳(FeMn)、其組合等,且可通過CVD、PVD、ALD或另一種合適的沉積製程來沉積。另外,頂蓋材料920可通過CVD、PVD、無電鍍覆、電鍍或另一種合適的生長或沉積製程來形成上覆在MTJ堆疊910上。在一些實施例中,頂蓋材料920可包括單層結構、雙層結構或多層結構。頂蓋材料920可為或包含導電材料,例如鉑、釕、鉭、鎢、鉬或其組合等。
參照圖6,在方塊1040處,將MTJ堆疊及頂蓋材料圖案化以形成MTJ結構及頂蓋層。圖11示出對應於與方塊1040對應的一些實施例的剖視圖。如圖11中所示,將MTJ堆疊910及頂蓋材料920圖案化以形成MTJ結構110及頂蓋層120。在一些實施例中,用於將MTJ堆疊910及頂蓋材料920圖案化的方法可包括:在頂蓋材料920之上形成罩幕層(未示出);將MTJ堆疊910的未掩蔽區及頂蓋材料920的未掩蔽區暴露於一種或多種蝕刻劑,從而移除MTJ堆疊910的未掩蔽區及頂蓋材料920的未掩蔽區;以及執行移除製程以移除罩幕層。在一些實施例中,在MTJ結構110的圖案化製程期間,SOT材料904可充當蝕刻停止層。在此種實施例中,頂蓋層120與MTJ結構110由同一罩幕層圖案化或界定,因此,所形成的頂蓋層120的側壁與MTJ結構110的側壁可彼此對準。在形成MTJ結構110及頂蓋層120之後,可形成包封材料905以共形地覆蓋MTJ結構110的表面、頂蓋層120的表面及SOT材料904的表面,從而防止MTJ結構110被外部環境及濕氣損壞或氧化。
參照圖6,在方塊1050處,將SOT材料及選擇器材料圖案化,以在BEVA與MTJ結構之間形成選擇器及SOT層。圖12示出對應於與方塊1050對應的一些實施例的剖視圖。如圖12中所示,將SOT材料904及選擇器材料902圖案化,以在BEVA 424A與MTJ結構110之間形成選擇器102及SOT層104。在將SOT材料904及選擇器材料902圖案化期間,也將包封材料905圖案化以形成上覆在頂蓋層120、MTJ結構110及SOT層104上的包封層105。在一些實施例中,用於將SOT材料904及選擇器材料902圖案化的方法可包括:在包封材料905之上形成罩幕層(未示出),以上覆在頂蓋層120、MTJ結構110及SOT材料904的一部分上;將包封材料905的未掩蔽區、SOT材料904的未掩蔽區及選擇器材料902的未掩蔽區暴露於一種或多種蝕刻劑,從而移除包封材料905的未掩蔽區、SOT材料904的未掩蔽區及選擇器材料902的未掩蔽區;以及執行移除製程以移除罩幕層。在此種實施例中,SOT材料904與選擇器材料902由同一罩幕層圖案化或界定,因此,所形成的選擇器102具有與SOT層104的側壁104s對準的側壁102s。也就是說,選擇器102的側壁102s與SOT層104的側壁104s在與第一介電層428a的頂表面實質上垂直的的同一平面上延伸。在一些實施例中,選擇器102的側壁102s與SOT層104的側壁104s可垂直地重合,即,可位於包括選擇器102的側壁102s及SOT層104的側壁104s的垂直平面內。從另一方面來看,選擇器102的尺寸可與SOT層104的尺寸相同。在本文中,用語「尺寸」是指長度、寬度或面積。舉例來說,如圖12中所示,選擇器102的寬度與SOT層104的寬度相同。
在一些實施例中,第一介電層428a可在選擇器102及SOT層104的圖案化期間充當蝕刻停止層。
參照圖6,在方塊1060處,在第一介電層上形成第二介電層,且在第二介電層中形成頂部電極通孔(TEVA)。圖13及圖14示出對應於與方塊1060對應的一些實施例的剖視圖。如圖13中所示,在第一介電層428a之上形成第二介電層428b,其中第二介電層428b覆蓋包封層105、選擇器102、SOT層104、MTJ結構110及頂蓋層120。換句話說,包封層105、選擇器102、SOT層104、MTJ結構110及頂蓋層120可嵌置在第二介電層428b中。在本文中,第二介電層428b可被稱為金屬間介電(IMD)層。在一些實施例中,第二介電層428b與第一介電層428a可具有相同的介電材料或不同的介電材料。
如圖14中所示,在第二介電層428b中形成多個導通孔424及多條導電線426,以電耦合MTJ結構110、SOT層104及形成在介電層422中的下方的導電線426。在一些實施例中,可通過執行雙鑲嵌製程來形成導通孔424及導電線426。在本文中,形成在SOT層104上且可與SOT層104接觸的導通孔424B電耦合到將形成的位元線BL(如圖15中所示)。形成在頂蓋層120上且可與頂蓋層120接觸的導通孔424C被稱為TEVA 424C。在一些實施例中,上覆在MTJ結構110上的TEVA 424C可穿透包封層105以在實體上接觸頂蓋層120,其中頂蓋層120可被稱為頂部電極。
參照圖6,在方塊1070處,在第二介電層上形成第三介電層,且在第三介電層中形成位元線。圖15示出對應於與方塊1070對應的一些實施例的剖視圖。如圖15中所示,在第二介電層428b之上形成第三介電層428c,其中第三介電層428c覆蓋第二介電層428b中的導電線426。在本文中,第三介電層428c可被稱為金屬間介電(IMD)層,且第一介電層428a、第二介電層428b及第三介電層428c可被統稱為介電結構428。在一些實施例中,第三介電層428c與第一介電層428a可具有相同的介電材料或不同的介電材料。
如圖15中所示,在第三介電層428c中形成上導通孔424U及上導電線426U,以通過導通孔424B電耦合SOT層104。在一些實施例中,可通過執行雙鑲嵌製程來形成上導通孔424U及上導電線426U。在本文中,上導電線426U可被稱為位元線BL。
在一些實施例中,下導電線426L被稱為金屬n-2(Mn-2),中間導電線426M被稱為金屬n-1(Mn-1),且上導電線426U被稱為金屬n(Mn)。記憶胞元100可設置在Mn-1與Mn-2之間。也就是說,記憶胞元100可設置在後段(BEOL)結構中的任意兩個相鄰的導電線或層之間。舉例來說,記憶胞元100設置在M2與M3之間、M3與M4之間等。作為另外一種選擇,記憶胞元100可跨過導電線的一個或多個層,使得記憶胞元100可設置在M2與M4之間、M3與M5之間等,從而增加BEOL結構中的佈局的靈活性。在此種實施例中,記憶胞元的製作製程可與半導體元件的BEOL製程相容,從而簡化製程步驟且高效地提高積集密度。在一些替代實施例中,記憶胞元100與Mn-1處於同一水平處。另外,一個或多個導電線或層可進一步設置在Mn-2與電晶體410之間、下導電線426L與BEVA 424A之間、或者Mn與Mn-1之間。
本公開考慮以上實例的許多變化。應理解,不同的實施例可具有不同的優點,且並非全部實施例都必須具有特定的優點。
根據一些實施例,一種記憶體元件包括選擇器、磁性穿隧接面(MTJ)結構、自旋軌道扭矩(SOT)層、電晶體、字元線、位元線、第一源極線以及第二源極線。所述MTJ結構設置在所述選擇器上。SOT層設置在所述選擇器與所述MTJ結構之間。所述SOT層具有與所述選擇器的側壁對準的側壁。所述電晶體具有電耦合到所述MTJ結構的汲極。所述字元線電耦合到所述電晶體的閘極。所述位元線電耦合到所述SOT層。所述第一源極線電耦合到所述電晶體的源極。所述第二源極線電耦合到所述選擇器。所述電晶體被配置成控制在所述位元線與所述第二源極線之間流動的寫入信號,且控制在所述位元線與所述第一源極線之間流動的讀取信號。在一些實施例中,所述記憶體元件還包括:第一電氣端子,電耦合到所述選擇器及所述第二源極線;第二電氣端子,電耦合到所述SOT層及所述位元線,其中所述第一電氣端子與所述選擇器之間的接觸面積小於所述第二電氣端子與所述SOT層之間的接觸面積;以及第三電氣端子,設置在所述MTJ結構上且電耦合到所述MTJ結構及所述電晶體。在一些實施例中,所述寫入信號垂直地穿過所述選擇器且在側向上行進跨過所述SOT層,而所述讀取信號垂直地穿過所述MTJ結構且在側向上行進跨過所述SOT層。在一些實施例中,所述第一源極線與所述第二源極線彼此電連接以形成共用源極線。在一些實施例中,所述位元線沿著第一方向延伸,且所述字元線沿著與所述第一方向不同的第二方向延伸。在一些實施例中,所述SOT層包括沿著所述第一方向的寬度及沿著所述第二方向的長度,所述長度大於所述寬度,且所述長度與所述MTJ結構的長軸平行。在一些實施例中,所述SOT層包括沿著所述第一方向的寬度及沿著所述第二方向的長度,所述長度大於所述寬度,且所述長度與所述MTJ結構的長軸正交。在一些實施例中,所述SOT層包括沿著所述第一方向的長度及沿著所述第二方向的寬度,所述長度大於所述寬度,且所述長度與所述MTJ結構的長軸平行。在一些實施例中,所述SOT層包括沿著所述第一方向的長度及沿著所述第二方向的寬度,所述長度大於所述寬度,且所述長度與所述MTJ結構的長軸正交。在一些實施例中,所述MTJ結構至少包括:自由層,與所述SOT層接觸;釘紮參考層,設置在所述自由層上;以及阻障層,設置在所述自由層與所述釘紮參考層之間。
根據一些實施例,一種形成記憶體元件的方法包括:在基底上形成電晶體;在所述電晶體上形成內連線結構;以及在所述內連線結構中形成記憶胞元,其中所述記憶胞元包括:選擇器,電耦合到所述電晶體的源極;磁性穿隧接面(MTJ)結構,形成在所述選擇器上且電耦合到所述電晶體的汲極;以及自旋軌道扭矩(SOT)層,形成在所述選擇器與所述MTJ結構之間。所述SOT層具有與所述選擇器的側壁對準的側壁。所述電晶體被配置成控制行進跨過所述SOT層及所述選擇器的寫入信號,且控制行進跨過所述SOT層及所述MTJ結構的讀取信號。在一些實施例中,所述形成所述記憶胞元包括:在第一介電層中形成底部電極通孔(BEVA);在所述第一介電層上形成選擇器材料;在所述選擇器材料上形成SOT材料;在所述SOT材料上形成MTJ堆疊及頂蓋材料;將所述MTJ堆疊及所述頂蓋材料圖案化以形成所述MTJ結構及頂蓋層;通過同一罩幕層將所述SOT材料與所述選擇器材料圖案化,以在所述BEVA與所述MTJ結構之間形成所述選擇器及所述SOT層;在所述第一介電層上形成第二介電層,其中所述第二介電層覆蓋所述MTJ結構、所述頂蓋層、所述SOT層及所述選擇器;在所述第二介電層中形成頂部電極通孔(TEVA),其中所述TEVA著陸在所述頂蓋層上,以電耦合到所述MTJ結構;在所述第二介電層上形成第三介電層;以及在所述第三介電層中形成位元線,其中所述位元線電耦合到所述SOT層。在一些實施例中,所述MTJ結構至少包括:自由層,與所述SOT層接觸;釘紮參考層,形成在所述自由層上;以及阻障層,形成在所述自由層與所述釘紮參考層之間。在一些實施例中,所述位元線沿著第一方向延伸,且所述電晶體的閘極沿著與所述第一方向不同的第二方向延伸。在一些實施例中,所述SOT層包括沿著第一方向的寬度及沿著第二方向的長度,所述長度大於所述寬度,且所述長度與所述MTJ結構的長軸平行。在一些實施例中,所述SOT層包括沿著第一方向的寬度及沿著第二方向的長度,所述長度大於所述寬度,且所述長度與所述MTJ結構的長軸正交。
根據一些實施例中,一種記憶陣列包括:多個記憶胞元,其中每一記憶胞元包括:選擇器、磁性穿隧接面(MTJ)結構及設置在所述選擇器與所述MTJ結構之間的自旋軌道扭矩(SOT)層;多個電晶體,被佈置為具有多個列及多個行的陣列,其中所述多個電晶體具有多個汲極,以分別電耦合到所述多個記憶胞元的多個MTJ結構;多條位元線,分別電耦合到所述多個記憶胞元的多個SOT層;多條字元線,分別電耦合到所述多個電晶體的多個閘極;以及多條源極線,分別電耦合到所述多個電晶體的多個源極及所述多個記憶胞元的多個選擇器。所述多個電晶體中的一者被配置成控制相應的記憶胞元的寫入信號及讀取信號。在一些實施例中,所述多條位元線沿著第一方向延伸,且所述多條字元線沿著與所述第一方向不同的第二方向延伸。在一些實施例中,所述多條源極線分別設置在所述多條字元線之間,且沿著所述第二方向延伸。在一些實施例中,每一MTJ結構至少包括:自由層,與相應的SOT層接觸;釘紮參考層,設置在所述自由層上;以及阻障層,設置在所述自由層與所述釘紮參考層之間。
以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本公開的方面。所屬領域中的技術人員應理解,其可易於使用本公開作為設計或修改其他製程及結構的基礎來施行與本文中所介紹實施例相同的目的和/或實現與本文中所介紹實施例相同的優點。所屬領域中的技術人員還應認識到,此種等效構造並不背離本公開的精神及範圍,且他們可在不背離本公開的精神及範圍的條件下對其作出各種改變、取代及變更。
10、20、30、300:單位胞元 100、200:記憶胞元 102:選擇器 102s、104s:側壁 104:自旋軌道扭矩(SOT)層 104a、110a:頂表面 104b:底表面 105:包封層 110:磁性穿隧接面(MTJ)結構 110L、110L’:長軸 112:自由層 114:穿隧阻障層 116:釘紮參考層 118:反鐵磁(AFM)層 120:頂蓋層 122:第一電氣端子 124、124a:第二電氣端子 128:第三電氣端子 150:電晶體 400A、400B、500、600A、600B、700:記憶體元件 402:基底 404:主動區域 405:隔離結構 408、418:閘極電極 410:電氣組件/電晶體 412、414:源極/汲極(S/D)區 416:閘極介電層 420:內連線結構 422:介電層/層間介電(ILD)層 424:導通孔 424A:第一導通孔/BEVA 424B:第二導通孔 424C:第三導通孔/TEVA 424U:上導通孔 426:導電線 426L:下導電線 426M:中間導電線 426U:上導電線 428:介電結構 428a:第一介電層 428b:第二介電層 428c:第三介電層 429:開口 800:記憶陣列 902:選擇器材料 904:SOT材料 905:包封材料 910:MTJ堆疊 920:頂蓋材料 1000:流程圖/方法 1010、1020、1030、1040、1050、1060、1070:步驟 A1、A2:接觸面積 BEOL:後端 BL、BLm、BLm+1:位元線 D1:第一方向 D2:第二方向 D3:方向 L1、L2、L3:長度 OD:主動區域/氧化物擴散區域 PO:閘極 SL:共用源極線 SL1:第一源極線 SL2:第二源極線 SLn、SLn+1:源極線 W1、W2、W3:寬度 WL、WLn、WLn+1:字元線
結合附圖閱讀以下詳細說明,能最好地理解本公開的各個方面。注意,根據本行業中的標準慣例,各種特徵未按比例繪製。事實上,為使論述清晰起見,可任意地增大或減小各種特徵的尺寸。 圖1A是根據第一實施例的記憶胞元的剖視圖。 圖1B是根據第二實施例的記憶胞元的剖視圖。 圖2是根據一些實施例的單位胞元(unit cell)的剖視圖。 圖3A是根據第一實施例的記憶體元件的透視圖。 圖3B是根據另一實施例的記憶體元件的俯視圖。 圖3C是根據第二實施例的記憶體元件的透視圖。 圖4A是根據第三實施例的記憶體元件的透視圖。 圖4B是根據另一實施例的記憶體元件的俯視圖。 圖4C是根據第四實施例的記憶體元件的透視圖。 圖5A是根據一些實施例的記憶陣列的電路圖。 圖5B是圖5A中所示的記憶陣列的動作表。 圖6示出根據一些實施例的形成記憶體元件的方法的流程圖。 圖7到圖15是根據一些實施例的形成記憶體元件的方法的剖視圖。
100:記憶胞元
102:選擇器
104:自旋軌道扭矩(SOT)層
110:磁性穿隧接面(MTJ)結構
112:自由層
114:穿隧阻障層
116:釘紮參考層
118:反鐵磁(AFM)層
122:第一電氣端子
124:第二電氣端子
128:第三電氣端子
150:電晶體
300:單位胞元
BL:位元線
SL:共用源極線
SL1:第一源極線
SL2:第二源極線
WL:字元線

Claims (1)

  1. 一種記憶體元件,包括: 選擇器; 磁性穿隧接面結構,設置在所述選擇器上; 自旋軌道扭矩層,設置在所述選擇器與所述磁性穿隧接面結構之間,其中所述自旋軌道扭矩層具有與所述選擇器的側壁對準的側壁; 電晶體,其中所述電晶體具有電耦合到所述磁性穿隧接面結構的汲極; 字元線,電耦合到所述電晶體的閘極; 位元線,電耦合到所述自旋軌道扭矩層; 第一源極線,電耦合到所述電晶體的源極;以及 第二源極線,電耦合到所述選擇器,其中所述電晶體被配置成控制在所述位元線與所述第二源極線之間流動的寫入信號,且控制在所述位元線與所述第一源極線之間流動的讀取信號。
TW110134310A 2021-03-05 2021-09-15 記憶體元件 TW202236709A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163156956P 2021-03-05 2021-03-05
US63/156,956 2021-03-05
US17/362,979 2021-06-29
US17/362,979 US11538858B2 (en) 2021-03-05 2021-06-29 Memory device, method of forming the same, and memory array

Publications (1)

Publication Number Publication Date
TW202236709A true TW202236709A (zh) 2022-09-16

Family

ID=82026030

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110134310A TW202236709A (zh) 2021-03-05 2021-09-15 記憶體元件

Country Status (3)

Country Link
US (2) US11538858B2 (zh)
CN (1) CN114664879A (zh)
TW (1) TW202236709A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11723218B2 (en) * 2020-06-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for forming the same
US11538858B2 (en) * 2021-03-05 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, method of forming the same, and memory array

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100923298B1 (ko) * 2003-01-18 2009-10-23 삼성전자주식회사 단위 셀이 한 개의 트랜지스터와 두 개의 mtj로 구성된mram 및 그 제조방법
WO2010047068A1 (ja) * 2008-10-21 2010-04-29 パナソニック株式会社 不揮発性記憶装置及びそのメモリセルへの書き込み方法
WO2010070895A1 (ja) * 2008-12-18 2010-06-24 パナソニック株式会社 不揮発性記憶装置及びその書き込み方法
US8750032B2 (en) * 2010-04-28 2014-06-10 Hitachi, Ltd. Semiconductor recording device
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
CN103238214B (zh) * 2011-12-02 2015-10-21 松下电器产业株式会社 交叉点型电阻变化非易失性存储装置
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9275713B2 (en) * 2013-01-17 2016-03-01 Yimin Guo Magnetoresistive element and method of manufacturing the same
US20140252439A1 (en) * 2013-03-08 2014-09-11 T3Memory, Inc. Mram having spin hall effect writing and method of making the same
US9236416B2 (en) * 2013-05-30 2016-01-12 Alexander Mikhailovich Shukh High density nonvolatile memory
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US20160118404A1 (en) * 2014-10-09 2016-04-28 Haibing Peng Three-dimensional non-volatile ferroelectric random access memory
KR102324627B1 (ko) * 2014-10-31 2021-11-10 삼성전자주식회사 자기 저항 소자를 포함하는 반도체 소자
CN107112049A (zh) * 2014-12-23 2017-08-29 3B技术公司 采用薄膜晶体管的三维集成电路
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10950301B2 (en) * 2016-09-30 2021-03-16 Intel Corporation Two transistor, one resistor non-volatile gain cell memory and storage element
US10923648B2 (en) * 2017-01-17 2021-02-16 Agency For Science, Technology And Research Memory cell, memory array, method of forming and operating memory cell
US11417378B2 (en) * 2018-03-30 2022-08-16 Tohoku University Integrated circuit device
WO2019216099A1 (ja) * 2018-05-09 2019-11-14 国立大学法人東北大学 磁気抵抗効果素子、磁気メモリアレイ、磁気メモリ装置及び磁気抵抗効果素子の書き込み方法
US11398596B2 (en) * 2018-06-28 2022-07-26 Intel Corporation Magnetic tunnel junction (MTJ) integration on backside of silicon
US10636962B2 (en) * 2018-08-21 2020-04-28 Qualcomm Incorporated Spin-orbit torque (SOT) magnetic tunnel junction (MTJ) (SOT-MTJ) devices employing perpendicular and in-plane free layer magnetic anisotropy to facilitate perpendicular magnetic orientation switching, suitable for use in memory systems for storing data
US11165012B2 (en) * 2018-10-29 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic device and magnetic random access memory
US11605670B2 (en) * 2018-10-30 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic tunnel junction structures and related methods
US10991406B2 (en) * 2018-11-26 2021-04-27 Arm Limited Method, system and device for magnetic memory
WO2020150451A1 (en) * 2019-01-18 2020-07-23 Everspin Technologies, Inc. Magnetoresistive stack/structure and methods therefor
CN111919304B (zh) * 2019-03-08 2021-11-02 深圳市汇顶科技股份有限公司 1t1r阻变式存储器及其制作方法、晶体管和设备
JP2020155727A (ja) * 2019-03-22 2020-09-24 ソニーセミコンダクタソリューションズ株式会社 半導体装置及びこれを備えた電子機器
US20200328253A1 (en) * 2019-04-12 2020-10-15 Qualcomm Incorporated Metal-insulator-semiconductor (mis) resistive random access memory (rram) (mis rram) devices and mis rram bit cell circuits, and related methods of fabricating
US11289143B2 (en) * 2019-10-30 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. SOT-MRAM with shared selector
EP3839955A1 (en) * 2019-12-18 2021-06-23 Imec VZW Dual stack sot
US11502241B2 (en) * 2019-12-31 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic device and magnetic random access memory
EP3848933A1 (en) * 2020-01-07 2021-07-14 Antaios Sot mram cell and array comprising a plurality of sot mram cells
US11152383B2 (en) * 2020-03-03 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Non-volatile memory (NVM) cell structure to increase reliability
US11844287B2 (en) * 2020-05-20 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic tunneling junction with synthetic free layer for SOT-MRAM
US11514962B2 (en) * 2020-11-12 2022-11-29 International Business Machines Corporation Two-bit magnetoresistive random-access memory cell
US11342015B1 (en) * 2020-11-24 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and memory circuit
CN114694734A (zh) * 2020-12-28 2022-07-01 中国科学院微电子研究所 反熔丝存储单元及其数据写入方法和读取方法、电子设备
US11538858B2 (en) * 2021-03-05 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, method of forming the same, and memory array
US20230065198A1 (en) * 2021-09-02 2023-03-02 Intel Corporation Differentially programmable magnetic tunnel junction device and system including same

Also Published As

Publication number Publication date
US20230071950A1 (en) 2023-03-09
US11538858B2 (en) 2022-12-27
US20220285435A1 (en) 2022-09-08
CN114664879A (zh) 2022-06-24
US11968844B2 (en) 2024-04-23

Similar Documents

Publication Publication Date Title
CN110875352B (zh) 集成电路、mram单元和用于制造存储器件的方法
TWI705438B (zh) 包含具有用於差分位元操作之降低切換能量障壁之磁性隨機存取記憶體結構的積體電路及其製造方法
US9589616B2 (en) Energy efficient three-terminal voltage controlled memory cell
TWI749377B (zh) 積體晶片、記憶體單元及其操作方法
US10411069B1 (en) Integrated circuits including magnetic random access memory structures and methods for fabricating the same
KR20170024486A (ko) 자기 소자 및 그 제조 방법
US11968844B2 (en) Memory device
CN113450848B (zh) 磁阻式随机存取存储器器件及其形成方法
US10686122B2 (en) Variable resistance memory device
US10381406B1 (en) Integrated circuits including magnetic random access memory structures having reduced switching energy barriers for dual bit operation and methods for fabricating the same
US11581366B2 (en) Memory cell device with thin-film transistor selector and methods for forming the same
US20210408117A1 (en) Multi-gate selector switches for memory cells and methods of forming the same
US20230329005A1 (en) Memory device, semiconductor device, and method of fabricating semiconductor device
TWI739452B (zh) 記憶體元件及半導體晶粒及其製作方法
US20220310906A1 (en) Memory cell with magnetic access selector apparatus
US20220093684A1 (en) Techniques for mram mtj top electrode to via interface
US11189790B2 (en) Spacer-based patterning for tight-pitch and low-variability random access memory (RAM) bit cells and the resulting structures
US20230345740A1 (en) High-density memory device with planar thin film transistor (tft) selector and methods for making the same
US9960207B1 (en) Spin-selective electron relay
US9263665B1 (en) Two-bits per cell structure with spin torque transfer magnetic random access memory and methods for fabricating the same
US20230371400A1 (en) Memory cell, memory device and manufacturing method thereof
US20240016066A1 (en) Memory device and method of fabricating the same
KR20220098692A (ko) 메모리 디바이스 및 그 제조 방법