TW202236001A - 倍縮光罩容器 - Google Patents

倍縮光罩容器 Download PDF

Info

Publication number
TW202236001A
TW202236001A TW110130765A TW110130765A TW202236001A TW 202236001 A TW202236001 A TW 202236001A TW 110130765 A TW110130765 A TW 110130765A TW 110130765 A TW110130765 A TW 110130765A TW 202236001 A TW202236001 A TW 202236001A
Authority
TW
Taiwan
Prior art keywords
reticle
base
container
barriers
cover
Prior art date
Application number
TW110130765A
Other languages
English (en)
Inventor
石志聰
簡聰智
李宗泉
張皓翔
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202236001A publication Critical patent/TW202236001A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67359Closed carriers specially adapted for containing masks, reticles or pellicles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67353Closed carriers specially adapted for a single substrate
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Library & Information Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

一種倍縮光罩容器包含底座,包含第一表面;以及遮蓋,包含第二表面,遮蓋以第一表面朝向第二表面的方式耦合至底座。底座與遮蓋形成包含倍縮光罩的內部空間。此倍縮光罩容器包含限制機構配置於內部空間中,以固定內部空間中的倍縮光罩與鄰設於倍縮光罩的結構。此結構至少局部圍繞倍縮光罩,且限制倍縮光罩容器之外部環境與內部空間之間之污染的通路。此結構包含阻障設於第一表面與第二表面上。在其他例子中,填料設置於阻障與第一表面及第二表面之間的間隙中。在其他例子中,此結構包含牆結構設於第一表面與第二表面上以及限制機構之間。

Description

用於微影系統之倍縮光罩容器
微影裝置從圖案化元件(例如,光罩)投射圖案至提供於半導體基材上的一層輻射敏感材料(光阻)上。當光罩不使用(儲存)或從儲存裝置傳送光罩至微影裝置,例如步進器或掃描儀時,透過將光罩放置於光罩盒(箱)中,來適當地防止光罩受到污染物,例如灰塵或粒子的影響。
需理解的是,以下的揭露提供了許多不同實施方式或例子,以實施揭露之不同特徵。以下描述組件與安排的特定實施方式或例子用以簡化本揭露。當然這些僅為例子,並非用以作為限制。舉例而言,元件的尺寸並不限於所揭露之範圍或數值,而可依照設備的製程條件及/或所需特性來改變。此外,於描述中,第一特徵形成於第二特徵之上方或之上,可能包含第一特徵與第二特徵以直接接觸的方式形成的實施方式,亦可能包含額外特徵可能形成在第一特徵與第二特徵之間的實施方式,如此第一特徵與第二特徵可能不會直接接觸。為了簡單與清楚起見,可按不同比例繪示多個特徵。為了簡單起見,可在附圖中省略一些層/特徵。
此外,可在此使用空間關係的用語,例如「下方(beneath)」、「在…之下(below)」、「低於(lower)」、「在…之上(above)」、「高於(upper)」、以及相似用語,以簡明描述如圖式所繪示之一元件或特徵與另一(另一些)元件或特徵之關係的敘述。空間關係的用語,除了在圖中所描繪的方向外,意欲包含設備在使用上或操作時的不同方向。設備可以其他方式定向(旋轉90度或其他方向),而本文使用的空間關係描述詞也可依此解讀。另外,用語「由…製成」可能指「包含」或「由…組成」。另外,在下列製造製程中,在所述操作之間可能有一或多個額外的操作,且可改變操作的順序。在本揭露中,除非另有解釋,用語「A、B、以及C中之至少一者」係指A、B、C、A+B、A+C、B+C、或A+B+C之一者,而不是指A中之一、B中之一、以及C中之一。
本揭露大致上是關於極紫外線(EUV)微影系統與方法。在此揭露的實施方式是關於一種改良之極紫外線(EUV)光罩盒設計,更精確來說,是關於一種減少裝在極紫外線光罩盒中之光罩(倍縮光罩)暴露於來自盒外的外部微粒物質(即灰塵、污垢等),以及限制因安裝在倍縮光罩上之薄膜破裂所釋放之薄膜片對極紫外線微影系統(例如,曝光機台)的污染的極紫外線光罩盒設計。
在許多領域的應用,包含例如極紫外線微影之半導體製造中的應用中,都需要避免受到微粒物質(即灰塵、污垢等)的污染。微影裝置從圖案化元件(例如,光罩)投射圖案至提供於半導體基材上的一層輻射敏感材料(光阻)上。微影裝置用以投射圖案至基材上之輻射的波長,決定了可形成於基材上之特徵的最小尺寸。相較於傳統的微影裝置(可例如使用波長193nm的電磁波),利用極紫外線輻射,即具有4nm至20nm之波長的電磁波的微影裝置,可用以在基材上形成較小的特徵。
圖案化元件(光罩或倍縮光罩)藉由薄膜來避免受到微粒污染的影響。此薄膜遠離圖案化元件,且位於使用中之微影裝置的焦平面之外。因為此薄膜位於微影裝置的焦平面之外,所以落在此薄膜上的污染微粒都在微影裝置中的焦點外。因此,污染微粒的影像不會被投射至基材上。若此薄膜不存在,那麼落在圖案化元件上的污染微粒將被投射至基材上,並將缺陷引入所投射的圖案中。
當此薄膜限制污染物到達倍縮光罩之印刷或圖案化表面時,這些污染物依然可從極紫外線光罩盒之底座與遮蓋之間的空間進入極紫外線光罩盒。此外,因薄膜破裂所產生的微粒可能會跑出極紫外線光罩盒,且散佈在微影系統中。這些微粒污染了微影系統的環境,且將缺陷引入投射在半導體基材上的圖案中。期望能限制微粒進入極紫外線光罩盒,以及使微粒離開極紫外線光罩盒。應注意的是,雖然在此參考極紫外線微影系統來討論實施方式,這些實施方式並不限於此。可在不偏離本揭露之範圍下,依照在此所討論的實施方式,在其他類型的微影系統(例如,深極紫外線(DUV)微影系統)中使用光罩盒。
圖1A為極紫外線微影系統101的示意與概略圖。極紫外線微影系統101包含產生極紫外光的極紫外線輻射源裝置100、例如掃描儀之曝光機台200、以及激發雷射源裝置300。如圖1A所示,在一些實施方式中,極紫外線輻射源裝置100與曝光機台200安裝在清潔室的主層MF上,而激發源裝置300安裝在主層MF下方之底層BF上。極紫外線輻射源裝置100與曝光機台200各自分別藉由避震器DP1與DP2放置在基座板PP1與PP2之上。極紫外線輻射源裝置100與曝光機台200透過耦合機構彼此耦合,此耦合機構可包含聚焦單元。
此微影系統為極紫外線微影系統,其係設計透過極紫外光(或極紫外線輻射)來曝光光阻層。光阻層是一種對極紫外光敏感的材料。此極紫外線微影系統利用極紫外線輻射源裝置100來產生極紫外光,例如具有約1nm至約100nm之波長的極紫外光。在一特定例子中,極紫外線輻射源100產生波長集中在約13.5nm的極紫外光。在本實施方式中,極紫外線輻射源100利用雷射產生之電漿(LPP)的機制,來產生極紫外線輻射。
曝光機台200包含多個反射光學組件,例如凸面/凹面/平面鏡;包含罩幕平台之罩幕夾持機構;以及晶圓夾持機構。由極紫外線輻射源100所產生的極紫外線輻射EUV藉由反射光學組件導引至固定在罩幕平台上的罩幕上。在一些實施方式中,罩幕平台包含靜電吸盤(e-chuck),以固定罩幕。因為氣體分子會吸收極紫外光,將極紫外線微影圖案化的微影系統保持在真空或低壓環境下,以避免極紫外線輻射強度損失。
圖1B係依照本揭露之一實施方式之曝光機台200的簡化示意圖,其顯示以極紫外光的圖案化光束來曝光塗佈光阻的基材211。曝光機台200為積體電路微影機台,例如步進器、掃描儀曝光機台、步進掃描系統、直寫系統、使用接觸式及/或近接式罩幕的設備等,曝光機台200具有一或多個光學儀器205a與205b,以例如利用極紫外光的光束來照射如倍縮光罩205c之圖案化光學儀器,藉以產生圖樣化光束;以及一或多個縮小投影光學儀器205d與205e,用以投影圖案化光束至基材211上。此一或多個光學儀器205a與205b提供極紫外光的光束所需的剖面形狀與所需的角度分佈。倍縮光罩205c由薄膜保護,此薄膜由薄膜架固定在適當位置。倍縮光罩205c反射與圖案化極紫外光的光束。
請概略地參照圖1C,其係相對詳細地繪示出設置於倍縮光罩205c上之示意性薄膜組件250。薄膜組件250包含薄膜252與薄膜架254。倍縮光罩205c具有圖案化表面256。薄膜架254繞著薄膜252的周邊部分來支撐薄膜252,且可移除地附接於倍縮光罩205c。
薄膜252將污染物,例如污染微粒258與倍縮光罩205c的圖案化表面256保持一距離,使得污染微粒258不會在極紫外線輻射之光束的焦平面中,且因此不會被圖案化至基材211(圖1B)上。
請回到圖1B,從倍縮光罩的反射後,極紫外光的圖案化光束提供至一或多個光學儀器205a與205b,進而投影至由機械組件(例如,基材桌)固定的基材上。在一些實施方式中,此一或多個光學儀器205a與205b對輻射光束施以縮小因子,以形成具有小於倍縮光罩上之對應特徵的影像。機械組件可提供以在基材211與倍縮光罩205c之間產生可控制的相對移動。
極紫外線微影系統可以例如掃描模式使用,其中當給予輻射光束的圖案投影至基材211上(即動態曝光)時,同時掃描吸盤與機械組件(例如,基材桌)。透過曝光機台200之縮小與影像翻轉特性,來決定基材桌相對於吸盤的速度與方向。入射基材211之極紫外線輻射的圖案化光束包含輻射帶。此輻射帶稱為曝光狹縫。在掃描曝光期間,控制基材桌與吸盤的移動,使得曝光狹縫通過基材211的曝光場。如圖1B進一步所示,極紫外線微影機台包含極紫外線輻射源100,極紫外線輻射源100包含在腔室105中之激發區ZE處發射極紫外光的電漿,此極紫外光由聚光器110收集並沿一路徑反射至曝光機台200,以照射基材211。
如在此所使用的,術語「光學儀器」是指廣義地理解為包含但不需限於反射及/或傳送及/或操縱入射光的一或多個組件,且包含但不限於一或多個透鏡、窗口、濾光片、光劈、稜鏡、稜鏡光柵、漸變鏡、傳輸光纖、標準具、漫射器、均質器、偵測器與其他儀器組件、光圈、包含多層鏡之旋轉三稜鏡與鏡子、近正向入射鏡、切線入射鏡、單向反射鏡、漫反射鏡、以及其組合。此外,除非特別提及,如在此所使用的,術語「光學儀器」並非意味限於單獨運作或在一或多個特定波長範圍,例如極紫外線輸出光波長、輻射雷射波長、適合度量衡學的波長、或其他特定波長中具有優勢的組件。
在本揭露中,可互換使用術語罩幕、光罩、以及倍縮光罩。在本實施方式中,罩幕為反射罩幕。罩幕之一例示結構包含基材,基材具有適合的材料,例如低熱膨脹材料或熔融石英。在多個例子中,此材料包含摻雜二氧化鈦的二氧化矽、或其他適合的低熱膨脹材料。罩幕包含沉積於基材上的多個反射多重層。多重層包含複數個薄膜對,例如鉬-矽(Mo/Si)薄膜對(例如,在每個薄膜對中,一層鉬在一層矽的上方或下方)。替代地,多重層可包含鉬-鈹(Mo/Be)薄膜對、或其他可配置以高度反射極紫外光的適合材料。此罩幕可更包含覆蓋層,例如釕(Ru),沉積於多重層上以提供保護。此罩幕更包含吸收層,例如氮硼化鉭(TaBN)層,沉積於多重層之上。圖案化此吸收層,以定義出一層積體電路(IC)。替代地,另一反射層可沉積於多重層之上且經圖案化,以定義出一層積體電路,藉以形成極紫外線相移光罩。
在本實施方式中,半導體基材為半導體晶圓,例如矽晶圓或其他類型的晶圓,以進行圖案化。在本實施方式中,半導體基材塗佈有對極紫外光敏感的光阻層。包含上述組件的多個組件整合在一起,並可操作的進行微影曝光製程。
微影系統可更包含其他模組或與其他模組整合(或耦合)在一起。如圖1A所示,極紫外線輻射源100包含由腔室105所包圍之目標液滴產生器115與雷射產生之電漿聚光器110。目標液滴產生器115產生複數個目標液滴DP。在一些實施方式中,目標液滴DP為錫(Sn)液滴。在一些實施方式中,錫液滴各自具有約30
Figure 02_image001
m的直徑。在一些實施方式中,錫液滴DP以約每秒50液滴的速率產生,並以約每秒70公尺(m/s)的速度引入激發區ZE中。目標液滴亦可使用其他材料,例如含錫的液體材料,如含錫或鋰(Li)的共熔合金。
由激發雷射源裝置300產生的激發雷射LR2為脈衝雷射。在一些實施方式中,激發雷射包含預熱雷射與主雷射。使用預熱雷射脈衝來加熱(或預熱)目標液滴,以產生低密度目標捲流,低密度目標捲流後續透過主雷射的脈衝加熱(或預熱),藉以產生增強放射的極紫外光。
在多個實施方式中,預熱雷射脈衝具有約100
Figure 02_image001
m或更小的光點尺寸,且主雷射脈衝具有約200
Figure 02_image001
m至約300
Figure 02_image001
m的光點尺寸。
雷射脈衝LR2由激發雷射源300產生。雷射源300可包含雷射產生器310、雷射導光鏡320、以及聚焦裝置330。在一些實施方式中,雷射產生器310包含二氧化碳(CO 2)或摻雜釹的釔鋁石榴石(Nd:YAG)雷射源。由雷射產生器310產生的雷射光LR1透過雷射導光鏡320導引,且藉由聚焦裝置330聚焦成激發雷射LR2,並接著導入極紫外線輻射源100中。
雷射光LR2穿過窗口(或透鏡)進入激發區ZE中。此窗口採用對雷射光束實質上透明的適合材料。脈衝雷射之產生與目標液滴之產生同步。當目標液滴移動通過激發區時,預脈衝將目標液滴加熱並轉換成低密度目標捲流。控制預脈衝與主脈衝之間的延遲,以允許目標捲流形成,並擴展成最佳尺寸與幾何形狀。當主脈衝加熱目標捲流時,就產生了高溫電漿。此電漿發射極紫外線輻射EUV,並由聚光器110收集。聚光器110具有反射表面,反射表面反射與聚焦用於微影曝光製程的極紫外線輻射。在一些實施方式中,液滴捕集器120安裝相對於目標液滴產生器115。液滴捕集器120用以捕集過量的目標液滴。舉例而言,雷射脈衝可能故意錯過一些目標液滴。
聚光器110包含適合的塗佈材料與形狀,來作為收集、反射、以及聚焦極紫外線的鏡面。在一些實施方式中,聚光器110設計以具有橢圓形的幾何形狀。在一些實施方式中,聚光器110的塗佈材料類似於極紫外線罩幕的反射多重層。在一些例子中,聚光器110的塗佈材料包含多重層(例如,複數個鉬/矽薄膜對),且可更包含覆蓋層(例如,釕)塗佈於多重層上,以實質反射極紫外線光。在一些實施方式中,聚光器110可更包含光柵結構,以有效地散射雷射光束至聚光器110上。舉例而言,在一些實施方式中,氮化矽層塗佈於聚光器110上,且經圖案化以具有光柵圖案。
在這樣的極紫外線輻射源裝置中,雷射施加所造成的電漿產生了實體碎屑,例如離子、氣體、以及原子的液滴,以及所需之極紫外線輻射。需避免材料累積在聚光器110上,也需避免實體碎屑離開腔室105與進入曝光機台200。
如圖1A所示,在一些實施方式中,第一緩衝氣體供應130透過聚光器110中的開口供應緩衝氣體,脈衝雷射亦透過此開口傳送至錫液滴。在一些實施方式中,此緩衝氣體為氫氣、氦氣、氬氣、氮氣、或另一惰性氣體。在特定實施方式中,可使用作為透過離子化緩衝氣體產生之氫基的氫氣來清潔。亦可透過一或多個第二緩衝氣體供應135向聚光器110及/或圍繞聚光器110的邊緣提供緩衝氣體。此外,腔室105包含一或多個氣體出口140,使緩衝氣體可排放至腔室105外。
氫氣對極紫外線輻射具有低吸收率。到達聚光器110之塗佈表面的氫氣與金屬的液滴發生化學反應,以形成氫化物,例如金屬氫化物。當使用錫(Sn)作為液滴時,形成錫烷(SnH 4),錫烷為極紫外線生產製程的氣態副產物。氣態的錫烷接著由出口140排出。然而,很難從腔室排出所有氣態的錫烷以及防止錫烷進入曝光機台200。
為了捕捉錫烷或其他碎屑,在腔室105中使用一或多個碎屑收集機構或元件。如圖1A所示,一或多個碎屑收集機構或元件150沿光軸A1設於激發區ZE與極紫外線輻射源100的輸出埠160之間。
圖2係繪示依照一些實施方式之倍縮光罩處理系統201之不同平台(或站)的佈置。在一些實施方式中,倍縮光罩處理系統201用於極紫外線(EUV)微影系統中。使用倍縮光罩處理系統201結合曝光機台200,來接收與容納倍縮光罩205c,倍縮光罩205c將提供至曝光機台200,以曝光於極紫外線輻射的光束。如圖所示,倍縮光罩處理系統201包含裝載埠202、真空外機械臂(OVR)204、倍縮光罩背側檢查(RBI)平台206、條碼讀取器208、真空中機械臂(IVR)210、真空中元件庫(IVL)212、快速交換元件(RED)214、以及倍縮光罩平台(RS)216(例如,包含倍縮光罩205c)。裝載埠202與真空外機械臂(OVR)204位於大氣壓力環境中,而倍縮光罩背側檢查(RBI)平台206、條碼讀取器208、真空中機械臂(IVR)210、真空中元件庫(IVL)212、快速交換元件(RED)214、以及倍縮光罩平台(RS)216位於真空環境中。真空環境與大氣壓力環境之間的通路由負載鎖定室(load-lock chamber)218控制。舉例而言,負載鎖定室218位於真空外機械臂(OVR)204與真空中元件庫(IVL)212之間。
裝載埠202作為倍縮光罩處理系統201的起始點,裝載埠202將倍縮光罩(例如,圖1B或圖1C中的倍縮光罩205c)引入倍縮光罩處理系統201中。在一實施方式中,包含薄膜252之倍縮光罩205c裝在清潔過濾盒(CFP)中,並進一步裝在倍縮光罩載具中,亦稱為倍縮光罩標準製造介面(SMIF)盒、或RSP。倍縮光罩標準製造介面盒允許在倍縮光罩處理系統201之外,例如在大氣環境中進行倍縮光罩205c的處理與輸送。倍縮光罩標準製造介面盒經塑形與縮放(或其他配置),以被接收至裝載埠202中。
在一些實施方式中,倍縮光罩標準製造介面盒元件庫鄰接裝載埠202。在一些實施方式中,倍縮光罩標準製造介面盒透過裝載埠202放置於倍縮光罩標準製造介面盒元件庫中。倍縮光罩標準製造介面盒元件庫為多個倍縮光罩製造介面盒的儲存庫或儲存器,這些倍縮光罩製造介面盒各自包含一個倍縮光罩。當需要特定的倍縮光罩時,從倍縮光罩標準製造介面盒元件庫取出裝有所需之倍縮光罩的倍縮光罩製造介面盒。
在一些實施方式中,真空外機械臂(OVR)204取出裝有所需之倍縮光罩的倍縮光罩製造介面盒,以提供倍縮光罩給負載鎖定室218。在一些實施方式中,真空外機械臂(OVR)204亦配置以打開倍縮光罩製造介面盒,並移除包含倍縮光罩的清潔過濾盒。真空泵連接負載鎖定室218,並於負載鎖定室218中形成真空環境。負載鎖定室218夾在控制負載鎖定室218之壓力的二閥門之間。負載鎖定室218提供大氣壓力下之倍縮光罩處理系統201的平台與真空中之倍縮光罩處理系統201的平台之間的介面,使得極紫外線微影罩幕可在大氣壓力環境與真空環境之間轉移。
倍縮光罩背側檢查(EBI)平台206位於負載鎖定室218附近,且包含測量與清潔倍縮光罩205c之背側的裝置,藉以限制倍縮光罩205c之背側上的微粒。在一實施方式中,倍縮光罩背側檢查(EBI)平台206包含一或多個偵測倍縮光罩205c之背側上的微粒的光學系統、測量微粒大小的測量系統、清潔倍縮光罩205c之背側的清潔系統、以及檢查倍縮光罩205c之背側的檢查系統。當附接於夾具時,倍縮光罩205c必須保持非常平坦。因此,防止相對大的微粒(例如,1
Figure 02_image001
m)、或較小微粒的層遷移至倍縮光罩205c的背側,即接觸夾具之倍縮光罩205c的表面,也很重要。在背側上的微粒可使倍縮光罩205c失真,並造成聚焦與重疊錯誤。背側微粒亦可在曝光期間遷移至固定倍縮光罩205c的支撐結構,並對由支撐結構處理之所有後續的倍縮光罩造成類似的問題。條碼讀取器208辨識倍縮光罩205c與形成於其上的圖案。
真空中機械臂(IVR)210位於負載鎖定室218附近,且運作以從負載鎖定室218輸送倍縮光罩205c(或視情況可為包含清潔過濾盒的倍縮光罩標準製造介面盒、或由真空外機械臂204所移出之清潔過濾盒)至真空中元件庫(IVL)212,以在使用倍縮光罩205c前暫時儲存倍縮光罩205c。在一些實施方式中,當需要特定的倍縮光罩時,真空中機械臂(IVR)從真空中元件庫(IVL)212取出所需之倍縮光罩,並提供倍縮光罩給快速交換元件(RED)214。在一些其他實施方式中,快速交換元件(RED)214從真空中元件庫(IVL)212取出所需之倍縮光罩。
在使用微影裝置之積體電路的製造期間,使用不同的倍縮光罩來產生不同的電路圖案,以形成不同的電路圖案於積體電路中的不同層上。因此,在製造積體電路的不同層期間,必須更換不同的倍縮光罩。在微影製程期間,使用快速交換元件(RED)214(亦稱為倍縮光罩交換元件)盡可能在最少的時間內更換倍縮光罩。
倍縮光罩平台216包含支撐結構,例如夾具,以在微影製程期間將倍縮光罩205c固定在適當位置。薄膜252位於倍縮光罩205c之上,以保護倍縮光罩205c不受微粒、灰塵、損壞、及/或污染影響。
圖3係繪示倍縮光罩盒350的示意圖。透過倍縮光罩盒350來儲存、輸送、以及裝載倍縮光罩至微影系統中。倍縮光罩盒350為包含二個盒子的容器,其中一個盒子在另一個盒子中,此二個盒子保護倍縮光罩不受污染影響。倍縮光罩盒350具有包含內光罩盒的外光罩盒。內光罩盒直接支承倍縮光罩。將包含倍縮光罩的雙重盒提供給倍縮光罩處理系統201(圖2)。接收到雙重盒時,倍縮光罩處理系統201首先打開外光罩盒,以取出包含倍縮光罩的內光罩盒。暫時地存放包含倍縮光罩的內光罩盒,直到需要使用倍縮光罩。
如所示般,倍縮光罩205c存放在內光罩盒354中。內光罩盒354包含內底座356與內遮蓋355。倍縮光罩205c面朝下存放在內光罩盒354中。更精確地說,倍縮光罩205c之印刷或圖案化表面365(亦稱為正面)面對內底座356,而倍縮光罩205c的背側面對內遮蓋355。為了清楚表示,並未顯示薄膜設於圖案化表面365上。然而,應理解的是,薄膜(例如,薄膜252)設於一或多個限制機構361中的倍縮光罩205c上。內底座356包含一或多個限制機構361,以減少倍縮光罩205c的滑動或移動。替代地或額外地,內遮蓋355包含一或多個限制機構361,以將倍縮光罩205c固定在適當位置。舉例而言,限制機構361包含夾子、溝槽、銷、固定塊、以及彈簧。內遮蓋355耦合至內底座356,以定義出內部空間311。倍縮光罩205c位於內底座356與內遮蓋355之間的內部空間311中。在一實施方式,內底座356與內遮蓋355之間沒有金屬接觸。
內光罩盒354牢固地裝在外光罩盒351中。在一些實施方式中,外光罩盒351為倍縮光罩標準製造介面(SMIF)盒(RSP)。外光罩盒351包含外底座353與外遮蓋352。類似於內遮蓋355與內底座356,外底座353耦合至外遮蓋352,以有效地將內光罩盒354夾在外底座353與外遮蓋352之間。內光罩盒354牢固地置於為外底座353上,並以外底座353封閉外遮蓋352。
內光罩盒354與外光罩盒351以有利於他們打開、關閉、以及一般操作的方式設計。舉例而言,內光罩盒354與外光罩盒351設計以包含機械處理凸緣、閂銷孔、銷、輸送軌、末端效應器排除體積、次要與主要側機械處理凸緣、倍縮光罩對準與資料矩陣辨識的特徵、定位銷特徵、與動力耦合銷配對的特徵、以及類似者。
圖4A係依照一些實施方式之圖3中之包含倍縮光罩205c之內光罩盒354的平面圖。圖4B係圖4A中之內光罩盒354的剖面圖。為了清楚表示,並未顯示薄膜設於圖案化表面365上。如所示般,複數個牆或阻障371a、371b、371c、以及371d(統稱為阻障371)圍設於(或圍繞)倍縮光罩205c與限制機構361。雖然繪示四個阻障371,但可減少或增加阻障371的數量。為了清楚說明,限制機構361為類似銷或柱的結構,且分別從內遮蓋355的內表面383與內底座356的內表面381延伸(Z方向),以固定其間的倍縮光罩205c。繪示了四個限制機構361,並以2x2矩陣組態配置。然而,可依所需之方式配置四個以上的銷。為了清楚表示,阻障371在圖4A的平面圖中並未表示為隱藏結構(以虛線表示)。在一些實施方式中,底面或正面上之一組限制機構361固定倍縮光罩205c的角落。
複數個阻障371以同心方式彼此間隔配置,並交替設於內遮蓋355與內底座356上。舉例而言,當阻障371d是最內阻障時,阻障371a是最外阻障。阻障371a與371c設於內底座356的內表面381上,而阻障371b與371d設於內遮蓋355的內表面383上。阻障371a與371c接觸內表面381,且從內表面381朝內表面383延伸(或凸出)一特定距離(Z方向)。然而,阻障371a與371c並未接觸內表面383,且定義出位於阻障371a及371c與內表面383之間的間隙385。阻障371b與371d接觸內表面383,且從內表面383朝內表面381延伸(或凸出)一特定距離。然而,阻障371b與371d並未接觸內表面381,且定義出位於阻障371b及371d與內表面381之間的間隙385。如所示般,阻障371沿X方向並未存在超過內底座356。在一些實施方式中,相鄰的阻障之間的間距為約1mm至約100mm。在實施方式中,阻障延伸的距離(Z方向)為約50mm至約300mm。在一些實施方式中,阻障由包含金屬或塑膠的材料所組成。
阻障371限制了內部空間311與內光罩盒354的外部環境之間之污染的通路。如圖4A所見,交替的阻障371互鎖,且相鄰的阻障371之間的間隙(間隔)387、以及阻障371與內表面381及383之間的間隙385形成曲折路徑375,以對進入內光罩盒354之外部微粒產生阻礙,並限制倍縮光罩205c暴露於這些微粒。類似地,曲折路徑375對產生於內光罩盒354中的微粒產生阻礙,並限制微粒離開內光罩盒354。阻障371因此限制了倍縮光罩205c與曝光機台200的污染。
圖5A係依照一些實施方式之圖3中之包含倍縮光罩205c之內光罩盒354的平面圖。圖5B係圖5A中之內光罩盒354的剖面圖。圖5A與圖5B中之內光罩盒354包含牆結構373,牆結構373設於內底座356上,且在每一限制機構361之間延伸。為了說明之目的,限制機構361為類似銷或柱的結構,分別從內遮蓋355的內表面383與內底座356的內表面381延伸,以固定其間的倍縮光罩205c。雖然在圖5A的平面圖中看不到牆結構373,但為了清楚表示,牆結構373以實線(而不是虛線)表示。如所示般,薄膜252完全位於牆結構373中,且倍縮光罩205c(或其中至少一或多個邊)延伸超過牆結構373。
在一些實施方式中,如圖5B所示,牆結構373僅存在於內底座356上的限制機構361之間。在一些實施方式中,牆結構373的高度(Z方向)實質等於限制機構361的高度,因此牆結構373接觸倍縮光罩205c的圖案化表面365。在其他實施方式中,牆結構373的高度小於限制機構361的高度,因此形成間隔或間隙於牆結構373與倍縮光罩205c的圖案化表面365之間。在這樣的實例中,填料(例如,在此文件其他地方討論的填料377)設於此間隙中。然而,在其他實例中,此間隙為未填充。
在其他的實施方式中,牆結構373只存在於內遮蓋355上的限制機構361之間。在又一些其他的實施方式中,牆結構373存在於內底座356與內遮蓋355二者上的限制機構361之間。在一些實施方式中,牆結構373由金屬或塑膠所組成。
牆結構373對進入內光罩盒354的外部微粒產生阻礙,且限制倍縮光罩205c暴露於這些微粒。類似地,牆結構373對產生於內光罩盒354中的微粒產生阻礙,且限制這些微粒離開內光罩盒354。牆結構373因此限制了倍縮光罩205c與曝光機台200的污染。
圖6A係依照一些實施方式之圖3中之包含倍縮光罩205c之內光罩盒354的平面圖。圖6B係圖6A中之內光罩盒354的剖面圖。為了清楚表示,並未顯示薄膜設於圖案化表面365上。圖6A與圖6B中之內光罩盒354的結構類似於圖4A與圖4B中的結構。此外,在圖6A與圖6B中,內光罩盒354包含填料377(亦稱為吸振器),填料377由軟或順應(彈性)材料所製成,且位於每一個別阻障371與相鄰的內表面381與383之間的間隙385中。在一些實施方式中,填料377比阻障371軟(或有彈性)。除非另有提及,阻障371比填料377硬。在一些實施方式中,填料377具有較低的黏性(或黏著性),而限制填料377黏在內表面381與383上。當內遮蓋355與內底座356彼此分離時,較低的黏性亦限制了留在內表面381與383上的殘留物。舉例而言,如所示般,每一阻障371a及371c與內表面383之間的間隙385包含填料377。類似地,每一阻障371b及371d與內表面381之間的間隙385包含填料377。在一些實施方式中,填料377存在於阻障371與內表面381及383之間之間隙385的至少一者中,而一些其他的間隙385可能不包含填料377。填料377比間隙385的高度稍微厚(Z方向)一點,且因填料377為順應的,當內遮蓋355耦合至內底座356時,填料377被壓縮並佔據了整個間隙385。
除了曲折路徑375外,填料377對進入內光罩盒354之外部微粒提供另一種阻礙,而限制倍縮光罩205c暴露於這些微粒。類似地,填料377與曲折路徑375對產生於內光罩盒354中的微粒產生阻礙,藉以限制這些微粒離開內光罩盒354。
在一些其他實施方式中,內光罩盒354包含二或多個阻障371、牆結構373、以及填料377的組合。舉例而言,除了阻障371與填料377以外,圖6A與圖6B中之內光罩盒354包含牆結構373。類似地,除了牆結構373以外,圖5A與圖5B中之內光罩盒354包含阻障371。
圖7係繪示依照一些實施方式之例示運算元件710的方塊圖,運算元件710用以控制繪示於圖1A、圖1B、以及圖2中的輻射源裝置100、曝光機台200、及/或倍縮光罩處理系統201。在一些實施方式中,使用整合至另一整體或分佈在多個實體中之專用伺服器中之硬體或軟體與硬體的組合,來執行運算元件710。
運算元件710使用無線或有線網路740可通訊連接極紫外線輻射源裝置100、曝光機台200、及/或倍縮光罩處理系統201,以允許其間的資料交換。
運算元件710包含顯示器711、處理器712、記憶體713、輸入/輸出介面714、網路介面715、以及儲存器716,儲存器716儲存了作業系統717、程式或應用718,例如控制極紫外線輻射源裝置100、曝光機台200、及/或倍縮光罩處理系統201的應用。處理器712可為通用微處理器、微控制器、數位訊號處理器(DSP)、特殊應用積體電路(ASIC)、場域可編程邏輯閘陣列(FPGA)、可寫入邏輯元件(PLD)、控制器、狀態機、邏輯閘、離散硬體組件、或可進行計算或其他資訊操作之任意適合的實體。記憶體713可為隨機存取記憶體(RAM)、快閃記憶體、唯讀記憶體(ROM)、可寫入唯讀記憶體(PROM)、可抹除可寫入唯讀記憶體(EPROM)、暫存器、硬碟、可移動碟、光碟片、數位多功能光碟、或任意其他適合的儲存元件,以儲存資訊以及由處理器712執行的指令。處理器712與記憶體713可由專用邏輯電路補充、或可整合至專用邏輯電路中。
網路介面715包含網路介面卡,例如乙太網路卡與數據機。在一些實施方式中,輸入/輸出介面714配置以連接複數個元件,例如輸入元件及/或輸出元件。例示輸入元件包含鍵盤與指向元件,例如滑鼠或軌跡球,使用者可透過輸入元件提供輸入給運算元件710。亦使用其他種類的輸入元件,例如觸覺輸入元件、視覺輸入元件、聲控輸入元件、或腦機介面元件來提供與使用者的互動。舉例而言,提供給使用者的回饋可為任意形式的感官回饋,例如視覺回饋、聽覺回饋、或觸覺回饋;且可以任意形式,包含聲波、語音、觸覺、或腦波輸入,來接收使用者的輸入。例示輸出元件包含顯示器元件,例如LED(發光二極體)、CRT(陰極射線管)、或LCD(液晶顯示器)螢幕,以向使用者顯示資訊。
應用718可包含指令,當運算元件710(或其中的處理器712)執行指令時,使運算元件710(或其中的處理器712)控制極紫外線輻射源裝置100、曝光機台200、及/或倍縮光罩處理系統201,以及執行在本揭露中明確或隱含描述之操作、方法、及/或製程。
數據719可包含含控制操作中之預設參數的數據;例如透過輸入/輸出介面714或透過網路介面715從極紫外線輻射源裝置100、曝光機台200、及/或倍縮光罩處理系統201接收的數據;顯示於顯示器711上的數據;對或從極紫外線輻射源裝置100、曝光機台200、及/或倍縮光罩處理系統201傳送的數據;或在運算元件710的運作期間產生的數據。
本揭露的實施方式是關於減少進入內光罩盒中之污染的通路,藉以限制裝在內光罩盒中之倍縮光罩的污染。本揭露的實施方式是關於限制產生於內光罩盒中的微粒離開內光罩盒,藉以限制曝光機台200及/或倍縮光罩處理系統201的污染。
將理解的是,並非所有優點已必然在此討論,所有實施方式或例子都不需要特別的優點,且其他實施方式或例子可提供不同的優點。
依照本揭露之一些實施方式,一種倍縮光罩容器包含具有第一表面的底座與具有第二表面的遮蓋,遮蓋以第一表面朝向第二表面的方式耦合至底座。底座與遮蓋之間形成內部空間,內部空間包含一倍縮光罩。此倍縮光罩容器更包含複數個限制機構設於內部空間中,限制機構將倍縮光罩固定於內部空間中的適當位置;以及至少二個阻障彼此間隔且同心地設於內部空間中,並至少局部圍繞倍縮光罩。此至少二個阻障配置以限制倍縮光罩容器之內部空間與外部環境之間之污染的通路。在一實施方式中,此至少二個阻障之第一阻障接觸第一表面,並從第一表面朝第二表面延伸,第一阻障與第二表面之間定義出第一間隙,且此至少二個阻障之第二阻障接觸第二表面,並從第二表面朝第一表面延伸,第二阻障與第一表面之間定義出第二間隙。在一實施方式中,第一阻障與第二阻障定義出底座與遮蓋之間的曲折路徑,其中曲折路徑限制污染從外部環境進入內部空間,並限制內部空間中的微粒離開倍縮光罩容器。在一實施方式中,填料設於第一間隙與第二間隙之至少一者中。在一實施方式中,填料包含彈性材料。在一實施方式中,填料的厚度大於第一間隙與第二間隙之至少一者的高度。在一實施方式中,第一阻障與第二阻障比填料硬。在一實施方式中,內部空間中的微粒包含因設於倍縮光罩上之薄膜的破裂而產生的薄膜微粒。
依照本揭露之一些實施方式,一種倍縮光罩容器包含具有第一表面的底座與具有第二表面的遮蓋,遮蓋以第一表面朝向第二表面的方式耦合至底座。底座與遮蓋之間形成內部空間,內部空間包含一倍縮光罩。此倍縮光罩容器亦包含複數個限制機構設於內部空間中,限制機構將倍縮光罩固定於內部空間中的適當位置;以及牆結構延伸於複數個限制機構之間,且接觸複數個限制機構。牆結構設於內部空間中,且至少局部包圍倍縮光罩。在一實施方式中,牆結構設於底座上,且接觸第一表面。在一實施方式中,牆結構設於遮蓋上,且接觸第二表面。在一實施方式中,牆結構設於底座與遮蓋上,且接觸第一表面與第二表面。在一實施方式中,牆結構的高度實質等於複數個限制機構的高度,且牆結構接觸倍縮光罩。在一實施方式中,牆結構的高度小於複數個限制機構的高度,且間隙形成於牆結構與倍縮光罩之間。
依照本揭露之一些實施方式,一種微影系統包含曝光腔室、倍縮光罩平台設於曝光腔室中、以及倍縮光罩元件庫包含至少一倍縮光罩容器。此至少一倍縮光罩容器包含用於倍縮光罩平台的倍縮光罩。此至少一倍縮光罩容器裝有包含倍縮光罩的內倍縮光罩容器。內倍縮光罩容器包含內底座;內遮蓋耦合至內底座,且在其間形成內部空間;倍縮光罩置於內部空間中;複數個限制機構設於內部空間中,且將倍縮光罩固定在適當位置;以及複數個阻障設於內部空間中,且圍繞倍縮光罩。複數個阻障、內底座、以及內遮蓋定義出曲折路徑,曲折路徑配置以限制內倍縮光罩容器之外部環境與內部空間之間之微粒的通路。在一實施方式中,複數個阻障以同心的方式彼此間隔設置,且具有交替的阻障設於內遮蓋與內底座上。在一實施方式中,複數個阻障之交替的阻障與內遮蓋及內底座隔開,而在複數個阻障與內遮蓋及內底座之間定義出間隙。在一實施方式中,填料設於一或多個間隙中。在一實施方式中,填料包含彈性材料。在一實施方式中,將倍縮光罩固定在適當位置時,倍縮光罩與內底座及內遮蓋分離。
上述揭露概述數個實施方式的特徵,使熟習此技藝者可更佳地理解本揭露的態樣。熟習此技藝者應理解,他們可輕易地利用本揭露作為基礎來設計或修飾其他製程及結構,以實現與在此所介紹之實施方式相同的目的及/或達成相同優勢。熟習此技藝者也應了解這種均等的架構並未脫離本揭露之精神與範疇,且他們可在不偏離本揭露之精神與範疇下在此做出各種改變、替換、以及變動。
100:極紫外線輻射源裝置/極紫外線輻射源/輻射源裝置 101:極紫外線微影系統 105:腔室 110:聚光器/雷射產生之電漿聚光器 115:目標液滴產生器 120:液滴捕集器 130:第一緩衝氣體供應 135:第二緩衝氣體供應 140:氣體出口/出口 150:碎屑收集機構或元件 160:輸出埠 200:曝光機台 201:倍縮光罩處理系統 202:裝載埠 204:真空外機械臂 205a:光學儀器 205b:光學儀器 205c:倍縮光罩 205d:縮小投影光學儀器 205e:縮小投影光學儀器 206:倍縮光罩背側檢查平台 208:條碼讀取器 210:真空中機械臂 211:基材 212:真空中元件庫 214:快速交換元件 216:倍縮光罩平台 218:負載鎖定室 250:薄膜組件 252:薄膜 254:薄膜架 256:圖案化表面 258:污染微粒 300:激發雷射源裝置/激發源裝置/激發雷射源/雷射源 310:雷射產生器 311:內部空間 320:雷射導光鏡 330:聚焦裝置 350:倍縮光罩盒 351:外光罩盒 352:外遮蓋 353:外底座 354:內光罩盒 355:內遮蓋 356:內底座 361:限制機構 365:印刷表面/圖案化表面 371:阻障 371a:牆/阻障 371b:牆/阻障 371c:牆/阻障 371d:牆/阻障 373:牆結構 375:曲折路徑 381:內表面 383:內表面 385:間隙 387:間隙 710:運算元件 711:顯示器 712:處理器 713:記憶體 714:輸入/輸出介面 715:網路介面 716:儲存器 717:作業系統 718:程式或應用 719:數據 740:網路 A1:光軸 BF:底層 DP:目標液滴/錫液滴 DP1:避震器 DP2:避震器 EUV:極紫外線輻射 LR1:雷射光 LR2:激發雷射/雷射脈衝/雷射光 MF:主層 PP1:基座板 PP2:基座板 ZE:激發區
下列詳細的描述配合附圖閱讀可使本揭露的各方面獲得最佳的理解。需注意的是,依照業界的標準實務,許多特徵並未按比例繪示。事實上,可任意增加或減少各特徵之尺寸以使討論清楚。 圖1A係依照本揭露之一些實施方式建構之具有雷射產生之電漿(LPP)之極紫外線(EUV)輻射源的極紫外線微影系統的示意圖。 圖1B係依照本揭露之實施方式之極紫外線微影系統曝光機台的示意圖。 圖1C係繪示安裝在放置於圖1A之極紫外線微影系統中之倍縮光罩上的示意性薄膜組件。 圖2係繪示極紫外線微影系統中之不同平台(或工作站)的例示佈置的上視圖。 圖3係繪示極紫外線倍縮光罩盒的剖面示意圖。 圖4A係依照實施方式之圖3中之包含倍縮光罩之極紫外線內光罩盒(EIP)的平面圖。 圖4B係圖4A中之極紫外線內光罩盒(EIP)的剖面圖。 圖5A係依照一些實施方式之圖3中之包含倍縮光罩之極紫外線內光罩盒(EIP)的平面圖。 圖5B係圖5A中之極紫外線內光罩盒(EIP)的剖面圖。 圖6A係圖3中之包含倍縮光罩之極紫外線內光罩盒(EIP)的平面圖。 圖6B係圖6A中之極紫外線內光罩盒(EIP)的剖面圖。 圖7係繪示依照一些實施方式之控制圖1A、圖1B、以及圖2所示之極紫外線源裝置、曝光機台、及/或倍縮光罩處理系統之操作的例示運算裝置的方塊圖。
205c:倍縮光罩
311:內部空間
350:倍縮光罩盒
351:外光罩盒
352:外遮蓋
353:外底座
354:內光罩盒
355:內遮蓋
356:內底座
361:限制機構
365:圖案化表面

Claims (20)

  1. 一種倍縮光罩容器,包含: 一底座,包含一第一表面; 一遮蓋,包含一第二表面,且以該第一表面朝向該第二表面的方式耦合至該底座,其中該底座與該遮蓋在其間形成一內部空間,該內部空間包含一倍縮光罩; 複數個限制機構,設於該內部空間中,且固定該倍縮光罩在該內部空間中就位;以及 至少二阻障,彼此隔開,同心地設置在該內部空間中,且至少局部圍繞該倍縮光罩,該至少二阻障配置以限制該倍縮光罩容器之一外部環境與該內部空間之間之污染的通路。
  2. 如請求項1所述之倍縮光罩容器,其中 該至少二阻障之一第一阻障接觸該第一表面,且從該第一表面朝該第二表面延伸,該第一阻障與該第二表面之間定義出一第一間隙;以及 該至少二阻障之一第二阻障接觸該第二表面,且從該第二表面朝該第一表面延伸,該第二阻障與該第一表面之間定義出一第二間隙。
  3. 如請求項2所述之倍縮光罩容器,其中該第一阻障與該第二阻障在該底座與該遮蓋之間定義出一曲折路徑,且其中該曲折路徑限制污染從該外部環境進入該內部空間,並限制該內部空間中的複數個微粒離開該倍縮光罩容器。
  4. 如請求項2所述之倍縮光罩容器,其中一填料設於該第一間隙與該第二間隙之至少一者中。
  5. 如請求項4所述之倍縮光罩容器,其中該填料包含一彈性材料。
  6. 如請求項4所述之倍縮光罩容器,其中該填料之一厚度大於該第一間隙與該第二間隙之該至少一者之一高度。
  7. 如請求項4所述之倍縮光罩容器,其中該第一阻障與該第二阻障比該填料硬。
  8. 如請求項3所述之倍縮光罩容器,其中該內部空間中之該些微粒包含因設於該倍縮光罩上之一薄膜的破裂所產生的複數個薄膜微粒。
  9. 一種倍縮光罩容器,包含: 一底座,包含一第一表面; 一遮蓋,包含一第二表面,且以該第一表面朝向該第二表面的方式耦合至該底座,其中該底座與該遮蓋在其間形成一內部空間,該內部空間包含一倍縮光罩; 複數個限制機構,設於該內部空間中,且固定該倍縮光罩在該內部空間中就位;以及 複數個牆結構,在該些限制機構之間延伸,且接觸該些限制機構,該些牆結構設於該內部空間中,且至少局部包圍該倍縮光罩。
  10. 如請求項9所述之倍縮光罩容器,其中該些牆結構設於該底座上,且接觸該第一表面。
  11. 如請求項9所述之倍縮光罩容器,其中該些牆結構設於該遮蓋上,且接觸該第二表面。
  12. 如請求項9所述之倍縮光罩容器,其中該些牆結構設於該底座與該遮蓋上,且接觸該第一表面與該第二表面。
  13. 如請求項9所述之倍縮光罩容器,其中該些牆結構之一高度實質等於該些限制機構之一高度,且該些牆結構接觸該倍縮光罩。
  14. 如請求項9所述之倍縮光罩容器,其中該些牆結構之一高度小於該些限制機構之一高度,且一間隙形成於該些牆結構與該倍縮光罩之間。
  15. 一種微影系統,包含: 一曝光腔室; 一倍縮光罩平台,設於該曝光腔室中;以及 一倍縮光罩元件庫,包含至少一倍縮光罩容器,該至少一倍縮光罩容器包含為該倍縮光罩平台所準備之一倍縮光罩,其中該至少一倍縮光罩容器裝有包含該倍縮光罩之一內倍縮光罩容器,該內倍縮光罩容器包含: 一內底座; 一內遮蓋,耦合至該內底座,且在其間形成一內部空間,該倍縮光罩置於該內部空間中; 複數個限制機構,設於該內部空間中,且固定該倍縮光罩就位;以及 複數個阻障,設於該內部空間中,且圍繞該倍縮光罩,該些阻障、該內底座、以及該內遮蓋定義出一曲折路徑,該曲折路徑配置以限制該內倍縮光罩容器之該內部空間與一外部環境之間之複數個微粒的通路。
  16. 如請求項15所述之微影系統,其中該些阻障以一同心方式彼此分隔設置,且該些阻障具有複數個交替阻障設於該內遮蓋與該內底座上。
  17. 如請求項16所述之微影系統,其中該些阻障之該些交替阻障與該內遮蓋及該內底座隔開,而於該些阻障與該內遮蓋及該內底座之間定義出複數個間隙。
  18. 如請求項17所述之微影系統,其中一填料設於該些間隙中之一或多者中。
  19. 如請求項18所述之微影系統,其中該填料包含一彈性材料。
  20. 如請求項15所述之微影系統,其中當該倍縮光罩固定就位時,該倍縮光罩與該內底座及該內遮蓋分離。
TW110130765A 2021-03-05 2021-08-19 倍縮光罩容器 TW202236001A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/193,236 2021-03-05
US17/193,236 US11415879B1 (en) 2021-03-05 2021-03-05 Reticle enclosure for lithography systems

Publications (1)

Publication Number Publication Date
TW202236001A true TW202236001A (zh) 2022-09-16

Family

ID=82136058

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110130765A TW202236001A (zh) 2021-03-05 2021-08-19 倍縮光罩容器

Country Status (3)

Country Link
US (3) US11415879B1 (zh)
CN (1) CN114690545A (zh)
TW (1) TW202236001A (zh)

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7151589B2 (en) * 2004-06-24 2006-12-19 Asml Netherlands B.V. Lithographic apparatus and patterning device transport
US7607543B2 (en) * 2005-02-27 2009-10-27 Entegris, Inc. Reticle pod with isolation system
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9310675B2 (en) 2013-03-15 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US9052595B2 (en) 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9261774B2 (en) 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9377693B2 (en) 2014-03-13 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Collector in an extreme ultraviolet lithography system with optimal air curtain protection
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning

Also Published As

Publication number Publication date
US11415879B1 (en) 2022-08-16
US11940727B2 (en) 2024-03-26
US11614683B2 (en) 2023-03-28
CN114690545A (zh) 2022-07-01
US20220357651A1 (en) 2022-11-10
US20230236498A1 (en) 2023-07-27

Similar Documents

Publication Publication Date Title
US7477358B2 (en) EUV reticle handling system and method
US11789355B2 (en) Extreme ultraviolet mask and method of manufacturing the same
US7233010B2 (en) Radiation system and lithographic apparatus
TWI579650B (zh) 微影裝置及元件製造方法
US11774844B2 (en) Extreme ultraviolet mask and method of manufacturing the same
KR20100061450A (ko) 데브리 방지 시스템 및 리소그래피 장치
KR20120101982A (ko) 리소그래피 장치 및 디바이스 제조 방법
US20200057181A1 (en) Extreme ultraviolet radiation source
US20210256686A1 (en) Reticle backside inspection method
JP2010533973A (ja) デブリ防止システム、放射システム、及びリソグラフィ装置
TW535200B (en) X-ray reflection mask, method for protecting the mask, x-ray exposure system and method for manufacturing semiconductor device
TWI792538B (zh) 微影方法、微影製程與微影系統
TW202236001A (zh) 倍縮光罩容器
TWI792327B (zh) 檢查光罩之方法及其裝置
US11175597B2 (en) Pellicle structure for lithography mask
JP2023530849A (ja) 迅速な計測回復のための精密真空ウィンドウビューポート及びペリクル
TWI831314B (zh) 倍縮光罩外殼及其處置方法
US20230064383A1 (en) Reticle enclosure for lithography systems
TW201816519A (zh) 薄膜總成及微粒捕捉器
JP4335084B2 (ja) 測定装置を有するリトグラフ投影装置
JP2006173245A (ja) 露光装置及びデバイスの製造方法