TW202230559A - 分析裝置及分析方法 - Google Patents

分析裝置及分析方法 Download PDF

Info

Publication number
TW202230559A
TW202230559A TW110147742A TW110147742A TW202230559A TW 202230559 A TW202230559 A TW 202230559A TW 110147742 A TW110147742 A TW 110147742A TW 110147742 A TW110147742 A TW 110147742A TW 202230559 A TW202230559 A TW 202230559A
Authority
TW
Taiwan
Prior art keywords
semiconductor substrate
analysis
defect
defects
container
Prior art date
Application number
TW110147742A
Other languages
English (en)
Inventor
大津暁彦
吉留正洋
河田幸寿
西塔亮
Original Assignee
日商富士軟片股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商富士軟片股份有限公司 filed Critical 日商富士軟片股份有限公司
Publication of TW202230559A publication Critical patent/TW202230559A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/62Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating the ionisation of gases, e.g. aerosols; by investigating electric discharges, e.g. emission of cathode
    • G01N27/626Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating the ionisation of gases, e.g. aerosols; by investigating electric discharges, e.g. emission of cathode using heat to ionise a gas
    • G01N27/628Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating the ionisation of gases, e.g. aerosols; by investigating electric discharges, e.g. emission of cathode using heat to ionise a gas and a beam of energy, e.g. laser enhanced ionisation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N1/00Sampling; Preparing specimens for investigation
    • G01N1/02Devices for withdrawing samples
    • G01N1/04Devices for withdrawing samples in the solid state, e.g. by cutting
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/62Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating the ionisation of gases, e.g. aerosols; by investigating electric discharges, e.g. emission of cathode
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/62Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating the ionisation of gases, e.g. aerosols; by investigating electric discharges, e.g. emission of cathode
    • G01N27/622Ion mobility spectrometry
    • G01N27/623Ion mobility spectrometry combined with mass spectrometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/0004Imaging particle spectrometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/04Arrangements for introducing or extracting samples to be analysed, e.g. vacuum locks; Arrangements for external adjustment of electron- or ion-optical components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/04Arrangements for introducing or extracting samples to be analysed, e.g. vacuum locks; Arrangements for external adjustment of electron- or ion-optical components
    • H01J49/0459Arrangements for introducing or extracting samples to be analysed, e.g. vacuum locks; Arrangements for external adjustment of electron- or ion-optical components for solid samples
    • H01J49/0463Desorption by laser or particle beam, followed by ionisation as a separate step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/10Ion sources; Ion guns
    • H01J49/105Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation, Inductively Coupled Plasma [ICP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Electrochemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Molecular Biology (AREA)
  • Robotics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Sampling And Sample Adjustment (AREA)
  • Other Investigation Or Analysis Of Materials By Electrical Means (AREA)

Abstract

本發明提供一種能夠分析半導體基板的表面上的更微小的缺陷之分析裝置及分析方法。分析裝置具有:表面缺陷測定部,測定半導體基板的表面上的缺陷的有無,關於半導體基板的表面上的缺陷,得到半導體基板的表面上的位置資訊;及分析部,依據缺陷在半導體基板的表面上的位置資訊對半導體基板的表面上的缺陷照射雷射光,將藉由照射而得到之分析試樣利用載體氣體回收並進行感應耦合電漿質譜分析。

Description

分析裝置及分析方法
本發明係關於一種利用雷射剝蝕感應耦合電漿質譜法(LA-ICP-MS)分析半導體基板的表面上的缺陷之分析裝置及分析方法。
目前,使用矽基板等半導體基板來製造各種半導體器件。若在半導體基板的表面存在異物等缺陷,則在製造半導體器件時電晶體的閘極的形成變得不充分,或者配線發生斷線等,從而有時所製造之半導體器件成為不良品。如此,若在半導體基板的表面存在異物等缺陷,則對半導體器件的產率產生影響。
關於半導體基板的缺陷,例如能夠使用專利文獻1中所記載之評價矽晶圓的矽晶體內部的殘留金屬雜質之方法來進行評價。在專利文獻1的評價矽晶圓的矽晶體內部的殘留金屬雜質之方法中,進行熱處理,將矽晶體內部的金屬雜質收集在矽晶圓表面,其後,進行氣相分解法感應耦合電漿質譜分析(VPD-ICP-MS),測定收集在矽晶圓表面之金屬雜質的濃度。矽晶圓的表面缺陷數係使用KLA Corporation製造之SurfScanSP5來測定。
[專利文獻1]日本特開2019-195020號公報 [專利文獻2]日本特開2020-027920號公報
上述專利文獻1的氣相分解法感應耦合電漿質譜分析會熔解掉矽晶圓,無法以非破壞方式評價半導體基板的缺陷。 作為以非破壞方式評價半導體基板的缺陷者,有專利文獻2的晶圓的金屬污染的評價方法。
在專利文獻2的晶圓的金屬污染的評價方法中記載有如下:作為異物檢查裝置,使用藉由利用雷射光掃描晶圓表面並測定來自異物的光散射強度來檢測異物之光散射方式的粒子計數器(例如,KLA Corporation製造之SurfScanSP5等)及藉由檢測來自晶圓表面的反射光之差來檢測異物之共焦光學系統的雷射顯微鏡(例如,Lasertec Corporation製造之MAGICS等)。在專利文獻2中記載有如下:基於在第1步驟中所獲取之座標來進行亮點的SEM(Scanning Electron Microscope:掃描電子顯微鏡)觀察,並依據藉由電子束照射而產生之特性X射線來進行EDX(Energy dispersive X-ray spectroscopy:能量色散X射線光譜學)分析。
在此,如上所述,當在半導體基板的表面存在異物等缺陷時,尤其隨著進行半導體器件的微細化及半導體器件的高積體化,半導體基板的表面上的缺陷使半導體器件產生不良品,從而使產率變差之影響變大。因此,測定半導體基板的表面上的缺陷極為重要,半導體基板的缺陷之中微小異物的測定變得更重要。然而,當在半導體基板的表面上的20nm左右的微小異物的分析中使用專利文獻2中所記載之晶圓的金屬污染的評價方法時,藉由EDX無法進行元素分析之可能性高。目前,期望能夠進行半導體基板的表面上的20nm左右的微小異物的分析者。
本發明的目的在於提供一種能夠分析半導體基板的表面上的更微小的缺陷之分析裝置及分析方法。
為了達成上述目的,本發明的一態樣提供一種分析裝置,其係使用半導體基板的表面上的缺陷的位置資訊之分析裝置,其中該分析裝置具有分析部,該分析部依據缺陷在半導體基板的表面上的位置資訊對半導體基板的表面上的缺陷照射雷射光,將藉由照射而得到之分析試樣利用載體氣體(carrier gas)回收並進行感應耦合電漿質譜分析。 本發明的一態樣提供一種分析裝置,其具有:表面缺陷測定裝置,測定半導體基板的表面上的缺陷的有無,得到半導體基板的表面上的缺陷的位置資訊;及質譜分析裝置,依據由表面缺陷測定裝置得到之缺陷在半導體基板的表面上的位置資訊對半導體基板的表面上的缺陷照射雷射光,將藉由照射而得到之分析試樣利用載體氣體回收並進行感應耦合電漿質譜分析。 表面缺陷測定裝置具有儲存位置資訊之儲存部為較佳。 表面缺陷測定裝置具有:入射部,使入射光入射到半導體基板的表面上;及受光部,接收藉由入射光因半導體基板的表面上的缺陷被反射或散射而放射之放射光為較佳。 本發明的一態樣提供一種分析裝置,其具有:表面缺陷測定部,測定半導體基板的表面上的缺陷的有無,關於半導體基板的表面上的缺陷,得到半導體基板的表面上的位置資訊;及分析部,依據缺陷在半導體基板的表面上的位置資訊對半導體基板的表面上的缺陷照射雷射光,將藉由照射而得到之分析試樣利用載體氣體回收並進行感應耦合電漿質譜分析。
表面缺陷測定部具有儲存位置資訊之儲存部為較佳。 表面缺陷測定部具有:入射部,使入射光入射到半導體基板的表面上;及受光部,接收藉由入射光因半導體基板的表面上的缺陷被反射或散射而放射之放射光為較佳。 具有收納作為測定對象的半導體基板之容器部,在容器部內進行基於分析部之半導體基板的分析為較佳。 具有:清洗氣體(cleaning gas)供給部,向容器部內供給清洗氣體;及流出部,使清洗氣體從容器部內流出為較佳。 具有:導入部,設置有收納有作為測定對象的半導體基板之收納容器;及輸送裝置,從導入部向表面缺陷測定部輸送半導體基板為較佳。
本發明的一態樣提供一種分析方法,其係使用半導體基板的表面上的缺陷的位置資訊之分析方法,其中該分析方法具有如下步驟:依據缺陷在半導體基板的表面上的位置資訊對半導體基板的表面上的缺陷照射雷射光,將藉由照射而得到之分析試樣利用載體氣體回收並進行感應耦合電漿質譜分析。 本發明的一態樣提供一種分析方法,其具有如下步驟:測定半導體基板的表面上的缺陷的有無,關於半導體基板的表面上的缺陷,得到半導體基板的表面上的位置資訊;及依據缺陷在半導體基板的表面上的位置資訊對半導體基板的表面上的缺陷照射雷射光,將藉由照射而得到之分析試樣利用載體氣體回收並進行感應耦合電漿質譜分析。
載體氣體的水分量為0.00001體積ppm以上且0.1體積ppm以下為較佳。 分析步驟在收納作為測定對象的半導體基板之容器部內實施,在分析步驟之前具有使用清洗氣體洗淨容器部內之步驟為較佳。 [發明效果]
依本發明,能夠分析半導體基板的表面上的更微小的缺陷。
以下,依據所附圖式所示之較佳實施形態對本發明的分析裝置及分析方法進行詳細說明。 另外,以下說明之圖係用於說明本發明之例示性者,本發明並不限定於以下所示之圖。 另外,以下表示數值範圍之“~”包含兩側所記載之數值。例如,ε為數值ε a~數值ε b係指ε的範圍為包含數值ε a和數值ε b之範圍,若以數學記號表示,則為ε a≤ε≤ε b。 “以具體的數值表示之角度”、“平行”、“垂直”及“正交”等角度,若沒有特別記載,則包含該技術領域中一般容許之誤差範圍。 又,“相同”係包含該技術領域中一般容許之誤差範圍。又,“全面”等包含該技術領域中一般容許之誤差範圍。
[分析裝置的第1例] 圖1係表示本發明的實施形態的分析裝置的第1例之示意圖,圖2係表示本發明的實施形態的分析裝置的第1例的分析單元的一例之示意圖。 圖1所示之分析裝置10具有在後面詳細說明之表面缺陷測定部20和分析部30。分析裝置10將半導體基板50作為測定對象而實施半導體基板50的表面50a上的缺陷的有無的測定和半導體基板50的表面50a上的缺陷的分析。 分析裝置10具有第1輸送室12a、測定室12b、第2輸送室12c及分析室12d,以第1輸送室12a、測定室12b、第2輸送室12c及分析室12d的順序連續配置。第1輸送室12a、測定室12b、第2輸送室12c及分析室12d分別由壁12h劃分,但設置有門扇(未圖示)等,以便能夠使作為測定對象的半導體基板50移動,可以在使半導體基板50通過時打開門扇。
在分析裝置10中,將半導體基板50從分析裝置10的外部輸送到第1輸送室12a,並從第1輸送室12a輸送到測定室12b,在測定室12b內測定半導體基板50的表面缺陷。接著,測定了表面缺陷之半導體基板50從測定室12b輸送到第2輸送室12c,進一步輸送到分析室12d,藉由分析部30依據表面缺陷測定部20中的半導體基板50的表面50a上的缺陷的有無的測定結果來分析半導體基板50的表面缺陷。 在分析裝置10中,為了防止半導體基板50暴露於外部空氣中,能夠將第1輸送室12a、測定室12b、第2輸送室12c及分析室12d的內部設為特定的環境。例如,可以設置真空泵,將第1輸送室12a、測定室12b、第2輸送室12c及分析室12d內部的氣體進行排氣而設為減壓環境。又,可以向第1輸送室12a、測定室12b、第2輸送室12c及分析室12d的內部供給氮氣等惰性氣體而將內部設為惰性氣體環境。
如上所述,第1輸送室12a將從分析裝置10的外部輸送之半導體基板50輸送到測定室12b。第1輸送室12a在側面設置有導入部12g。收納容器13設置於導入部12g。為了保持與收納容器13的氣密,在導入部12g設置有密封構件(未圖示)。 收納容器13例如在內部以擱板狀配置並收納有複數個半導體基板50。半導體基板50例如為圓板狀的基板。 收納容器13例如為FOUP(Front Opening Unified Pod:前開式晶圓傳送盒)。藉由使用收納容器13,能夠將半導體基板50以不暴露於外部空氣而密閉的狀態輸送到分析裝置10。藉此,能夠抑制半導體基板50的污染。
在第1輸送室12a中,在內部設置有輸送裝置14。輸送裝置14將收納容器13內的半導體基板50從第1輸送室12a輸送到鄰接的測定室12b。 輸送裝置14只要能夠將半導體基板50從收納容器13內取出且輸送到測定室12b的載物台22,則不受特別限定。 圖1所示之輸送裝置14具有夾持半導體基板50的外側的輸送臂15和驅動輸送臂15之驅動部(未圖示)。輸送臂15安裝於安裝部14a,且圍繞旋轉軸C 1旋轉自如。另外,輸送臂15只要能夠保持並輸送半導體基板50,則其構成不特別限定於夾持半導體基板50的外側者,亦能夠適當利用用於半導體晶圓的程序之間的輸送者。 在輸送裝置14中,安裝部14a能夠沿高度方向V移動,輸送臂15能夠沿作為與旋轉軸C 1平行的方向的高度方向V移動。藉由安裝部14a沿高度方向V移動,能夠改變輸送臂15在高度方向V的位置。
(表面缺陷測定部) 如上所述,在測定室12b內測定半導體基板50的表面缺陷。在測定室12b的內部設置有表面缺陷測定部20。 表面缺陷測定部20係測定半導體基板50的表面50a上的缺陷的有無,關於半導體基板50的表面50a上的缺陷,得到半導體基板50的表面50a上的位置資訊者。 表面缺陷測定部20具有載置半導體基板50之載物台22、使入射光Ls入射到半導體基板50的表面50a上之入射部23及使入射光Ls聚光在半導體基板50的表面50a之聚光透鏡24。 載置半導體基板50之載物台22圍繞旋轉軸C 2旋轉自如,並且能夠改變半導體基板50在高度方向V的位置,且能夠改變與高度方向V正交之方向H的位置。 利用載物台22,能夠改變半導體基板50的表面50a上的入射光Ls的照射位置。藉此,能夠將入射光Ls依序照射到半導體基板50的表面50a的特定區域或表面整個區域而進行半導體基板50的表面50a的異物等缺陷的檢測。
入射部23所照射之入射光Ls的波長並不受特別限定。入射光Ls例如為紫外光,但亦可以為可見光或其他光。在此,紫外光係指未達400nm的波長區域的光,可見光係指400~800nm的波長區域的光。 關於入射光Ls的入射角度,將與半導體基板50的表面50a水平的所有方向設為0°,將與半導體基板50的表面50a垂直的方向設為90°。此時,若以最小0°至最大90°規定入射光Ls的入射角度,則入射光Ls的入射角度為0°以上且90°以下,較佳為超過0°且未達90°。
表面缺陷測定部20具有接收藉由入射光Ls被半導體基板50的表面50a反射或散射而放射之放射光之受光部。在圖1所示之表面缺陷測定部20中,例如具有兩個受光部25、26。當放射光被受光部25、26中的任一個接收時,認為具有半導體基板50的表面50a上的缺陷,當未產生放射光時,認為無半導體基板50的表面50a上的缺陷。如此,測定半導體基板50的表面50a上的缺陷的有無。 受光部25配置於半導體基板50的周圍。受光部26配置於半導體基板50的表面50a的上方。在半導體基板50的表面50a與受光部26之間設置有聚光透鏡27。將由入射光Ls產生之放射光利用聚光透鏡27聚光在受光部26。利用聚光透鏡27,能夠使放射光高效率地聚光在受光部26。另外,受光部的數量並不限定於兩個。在表面缺陷測定部20中,可以為受光部25和受光部26中任一個的構成,亦可以為具有3個以上的受光部之構成。 受光部25係在低角度側接收放射光者。低角度側的受光係指,以上述入射角度中的0°以上且80°以下的範圍受光。 受光部26係在高角度側接收放射光者。高角度側的受光係指,以上述入射角度中的超過80°且90°以下的範圍受光。 受光部25及受光部26例如由光電倍增管等光感測器構成。 又,受光部25及受光部26係均能夠接收非偏振光或偏振光者。
表面缺陷測定部20具有運算部28及儲存部29。 運算部28依據受光部25、26所接收到的放射光的資訊來計算出所檢測之缺陷的位置資訊及缺陷的尺寸。缺陷的位置資訊係指半導體基板50的表面50a上的缺陷的位置座標的資訊。位置座標例如係預先設定複數個半導體基板50中共用之基準位置之後將基準位置作為原點而設定者。
由受光部25、26接收藉由入射部23所照射之入射光Ls因半導體基板50的表面50a的缺陷被反射或散射而放射之放射光。在受光部25、26中,放射光被檢測為亮點。在運算部28中,根據包含在受光部25、26中由缺陷引起之放射光的資訊之亮點的尺寸,依據標準粒子的尺寸計算出產生亮點之缺陷的尺寸亦即檢測尺寸。依據標準粒子的尺寸之檢測尺寸的計算藉由市售的表面檢查裝置所具備之運算裝置或公知的運算方法來進行。運算部28從控制部42獲取入射光Ls的照射位置的位置資訊,例如依據在受光部25、26中由缺陷引起之放射光的資訊來得到半導體基板50的表面50a上的缺陷的位置資訊及缺陷的尺寸資訊。所得到之半導體基板50的表面50a上的缺陷的位置資訊及缺陷的尺寸資訊儲存於儲存部29。 儲存部29只要能夠儲存半導體基板50的表面50a的異物等缺陷的位置資訊及尺寸資訊,則不受特別限定,例如能夠使用易失性記憶體、非易失性記憶體、硬碟或SSD(Solid State Drive:固態驅動器)的各種儲存媒體。
在此,在表面缺陷測定部20中,由控制部42控制載物台22及入射部23。又,運算部28亦由控制部42控制。 控制部42獲取入射部23所照射之入射光Ls在半導體基板50的表面50a上的位置資訊。控制部42為了向半導體基板50的表面50a中未照射入射光Ls之區域照射入射光Ls而驅動載物台22並改變半導體基板50的表面50a的照射位置。 在表面缺陷測定部20中,向半導體基板50的表面50a的整個區域照射入射光Ls,例如依據兩個受光部25、26所接收到之放射光的資訊來得到各照射位置處的半導體基板50的表面50a上的缺陷的位置資訊及缺陷的尺寸資訊。藉此,能夠得到半導體基板50的表面50a全面的缺陷的位置資訊及缺陷的尺寸資訊。亦即,可得到半導體基板50的表面50a上的二維缺陷的位置資訊和缺陷的尺寸資訊。 當藉由表面缺陷測定部20進行測定時,測定室12b的環境並不受特別限定,如上所述,可以為減壓環境,亦可以為氮氣環境。 另外,作為表面缺陷測定部20,例如能夠使用表面檢查裝置(SurfScanSP5;KLA Corporation製造)。
在第2輸送室12c中,在內部設置有輸送裝置16。輸送裝置16係在測定室12b內將由表面缺陷測定部20測定了表面缺陷之半導體基板50從測定室12b輸送到分析室12d者。 輸送裝置16能夠使用與上述輸送裝置14相同的構成者。輸送裝置16具有夾持半導體基板50的外側的輸送臂15和驅動輸送臂15之驅動部(未圖示)。輸送臂15安裝於安裝部16a,且圍繞旋轉軸C 1旋轉自如。 在輸送裝置16中,安裝部16a能夠沿高度方向V移動,且能夠沿作為與旋轉軸C 1平行的方向的高度方向V移動。輸送臂15藉由安裝有輸送臂15之安裝部16a沿高度方向V移動來改變高度方向V的位置。
(分析部) 分析室12d在內部設置有分析部30。分析部30使用LA-ICP-MS(Laser Ablation-Inductively Coupled Plasma Mass Spectrometer:雷射剝蝕-感應耦合電漿質譜儀)進行分析。 ICP-MS(Inductively Coupled Plasma Mass Spectrometer:雷射剝蝕-感應耦合電漿質譜儀)係利用藉由感應耦合而生成之約10000℃的氬氣的電漿將液體試樣中的元素離子化來進行質譜分析者。LA-ICP-MS係在雷射剝蝕部(LA部)中將雷射光照射到半導體基板50的表面50a的缺陷51,將藉由照射而得到之分析試樣利用載體氣體導入到ICP-MS部(感應耦合電漿質譜分析部)並進行分析試樣中所包含之元素的定量分析者。
分析部30具有載置半導體基板50之載物台32和收納載置於載物台32之半導體基板50之容器部33。 在容器部33上經由配管39連接有分析單元36。半導體基板50以整體收納於容器部33之狀態進行分析。載置半導體基板50之載物台32圍繞旋轉軸C 3旋轉自如,並且能夠改變半導體基板50在高度方向V的位置,且能夠改變與高度方向V正交之方向H的位置。 載物台32由控制部42控制。控制部42為了向半導體基板50的表面50a的缺陷51照射雷射光La而驅動載物台32並改變半導體基板50的表面50a上的照射位置。
分析部30具有向由表面缺陷測定部20測定之半導體基板50的表面50a上的缺陷51照射雷射光La之光源部34。在光源部34與半導體基板50的表面50a之間設置有將雷射光La聚光在半導體基板50的表面50a上的缺陷51之聚光透鏡35。 光源部34及聚光透鏡35設置於容器部33的外部。在容器部33上設置有雷射光La能夠透射之窗部(未圖示),以使雷射光La向內部透射。 光源部34使用飛秒雷射、奈秒雷射、皮秒雷射或阿秒雷射等。作為飛秒雷射,例如能夠使用Ti:藍寶石(Sapphire)雷射。
分析部30具有將載體氣體供給到容器部33內之載體氣體供給部38。 載體氣體供給部38具有存積載體氣體之儲氣瓶等氣體供給源(未圖示)、連接於氣體供給源之調節器(壓力調整器)及控制載體氣體的供給量之調整閥(未圖示)。例如,調節器和調整閥由軟管連接,調整閥和容器部33由管連接。載體氣體例如使用氦氣或氬氣。 又,分析部30具有將清洗氣體供給到容器部33內之清洗氣體供給部40。清洗氣體供給部40具有存積清洗氣體之儲氣瓶等氣體供給源(未圖示)、連接於氣體供給源之調節器(壓力調整器)及控制清洗氣體的供給量之調整閥(未圖示)。例如,調節器和調整閥由軟管連接,調整閥和容器部33由管連接。清洗氣體例如使用氦氣或氬氣。
又,在容器部33設置有使清洗氣體從容器部33內向外部流出之流出部41。流出部41例如由管和閥構成。藉由打開閥,能夠使清洗氣體從容器部33內向外部流出。 為了進行沖洗處理,在容器部33中可以設置加熱器(未圖示)。藉由在將清洗氣體供給到容器部33內之狀態下利用加熱器對容器部33內進行加熱來去除容器部33內的例如剝蝕的附著物等異物或吸附氣體等。藉此,能夠提高容器部33內的清潔度,並能夠抑制半導體基板50的污染。另外,加熱器例如使用紅外線燈或氙閃光燈。 又,沖洗處理中除了清洗氣體以外,還能夠使用載體氣體。
<分析單元> 分析單元36係利用上述ICP-MS者,向半導體基板50的表面50a上的缺陷51照射雷射光La,將藉由照射而得到之分析試樣利用載體氣體回收並進行感應耦合電漿質譜分析。另外,ICP為感應耦合電漿的縮寫,在分析單元36中,利用藉由高頻電磁感應而維持之高溫的電漿使測定對象物離子化,並利用質譜分析裝置檢測其離子,藉此測量原子種類及所檢測之原子種類的濃度。 例如,如圖2所示,分析單元36具有產生將從配管39與載體氣體一同導入之分析試樣離子化之電漿之電漿炬44和具有位於該電漿炬44的前端部附近之離子導入部之質譜分析部46。
電漿炬44例如成為3重管結構,從配管39導入載體氣體。又,電漿形成用電漿氣體導入到電漿炬44中。電漿氣體例如使用氬氣。 在電漿炬44中設置有連接於高頻電源(未圖示)之高頻線圈(未圖示),藉由對該高頻線圈例如施加27.12MHz或40.68MHz、1~2KW左右的高頻電流來在電漿炬44的內部形成電漿。
在質譜分析部46中,將由電漿炬44產生之離子經由離子導入部導入到離子透鏡部46a及質譜儀部46b內。離子透鏡部46a及質譜儀部46b內被真空泵(未圖示)減壓成電漿炬44側的離子透鏡部46a成為低真空,質譜儀部46b成為高真空。
離子透鏡部46a設置有複數個例如3個離子透鏡47。離子透鏡47係將離子分離到質譜儀部46b者。 在質譜分析部46的離子透鏡部46a內,利用離子透鏡47分離上述電漿的光和離子,並且僅使離子通過。
質譜儀部46b係按離子的每個質荷比分離離子並利用檢測器49檢測者。質譜儀部46b具有檢測通過了離子透鏡部46a之離子之反射電場質譜儀48和檢測離子之檢測器49。反射電場質譜儀48係被稱為離子鏡(ion mirror)者,係使用靜電場使帶電粒子的飛行朝向反轉之裝置。藉由使用反射電場質譜儀48,能夠使在相同的質荷比下具有不同的動能之帶電粒子在時間軸上收斂,並在大致相同的時間內到達檢測器49。利用反射電場質譜儀48,能夠補償誤差,並改善質量解析度(mass resolution)。反射電場質譜儀48能夠使用用於飛行時間質譜儀(TOF-MS)之公知者。
檢測器49只要能夠檢測離子並確定元素,則不受特別限定,能夠使用用於飛行時間質譜儀(TOF-MS)之公知者。 利用分析單元36,例如能夠將檢測元素離子的訊號(未圖示)按每個時間顯示為圖表(未圖示)。檢測元素的濃度與訊號強度對應。
如圖1所示,分析裝置10具有控制部42,利用控制部42依據儲存於表面缺陷測定部20的儲存部29之上述檢測之半導體基板50的表面50a的異物等缺陷的位置資訊及尺寸資訊來驅動分析部30的載物台32,或者改變雷射光La的照射位置而向半導體基板50的表面50a上的缺陷51照射雷射光La。藉此,分析半導體基板50的表面50a上的缺陷51。 又,分析裝置10藉由設為能夠在將半導體基板50整體收納於容器部33內之狀態下進行基於分析部30之感應耦合電漿質譜分析之構成,能夠抑制半導體基板50的表面50a的污染。
在分析裝置10中,在不同的系統中供給了載體氣體和清洗氣體,但並不限定於此,由於載體氣體和清洗氣體的供給時刻不同,因此亦可以共用一個配置來供給到容器部33。例如,可以設為不設置清洗氣體供給部40,而僅設置載體氣體供給部38之構成。 又,載體氣體的水分量為0.00001體積ppm以上且0.1體積ppm以下為較佳。
若載體氣體的水分量為0.00001體積ppm以上且0.1體積ppm以下,能夠減少在容器部33內分析中的半導體基板50的表面50a的污染。例如,在載體氣體的水分量多的情況下,雜質溶出於附著在載體氣體的配管表面或容器部33的內表面之微量水分中,有時因該等再度附著於半導體基板50上而缺陷數增加,但若載體氣體的水分量在上述範圍內,則可抑制該等。 又,在水分量少的情況下,在載體氣體通過半導體基板50附近時,容易致使半導體基板50的表面50a帶電。其結果,容易將漂浮在容器部33內之帶電之粒子吸引到半導體基板50的表面50a,或者將輸送系統中的輸送時在附近漂浮之粒子引誘到半導體基板50的表面50a。又,容易發生進行雷射剝蝕之結果產生之產物的再度附著,但若載體氣體的水分量在上述範圍內,則可抑制該等。 載體氣體中所包含之水分量能夠使用大氣壓離子化質譜儀(API-MS:Atmospheric Pressure lonization Mass Spectrometer)來測定。更具體而言,載體氣體中所包含之水分量例如能夠使用NIPPON API CO.,LTD.製造來測定。 水分量的調整方法並不受特別限制,藉由進行去除原料氣體中所包含之水(水蒸氣)來調製之氣體純化步驟來實現。尤其,藉由調整純化次數或過濾器,能夠調整載體氣體中所包含之水分量。 另外,作為載體氣體的流量,較佳為1.69×10 -3~1.69Pa·m 3/sec(1~1000sccm(standard cubic centimeter per minute:標準立方厘米/分鐘))。
[分析方法的第1例] 分析方法具有如下步驟:測定半導體基板的表面上的缺陷的有無,得到半導體基板的表面上的缺陷在半導體基板上的位置資訊;及依據缺陷在半導體基板上的位置資訊對半導體基板的表面上的缺陷照射雷射光,將藉由照射而得到之分析試樣利用載體氣體回收並進行感應耦合電漿質譜分析。對分析方法進行具體說明。 圖3係說明本發明的實施形態的分析方法的第1例之示意圖,圖4係說明本發明的實施形態的分析方法的第1例之示意性剖面圖。 另外,在圖3及圖4中,對與圖1所示之分析裝置10相同的構成物標註相同符號,並省略其詳細說明。
在分析方法中,例如將收納有複數個半導體基板50之收納容器13(參照圖1)連接於圖1所示之分析裝置10的第1輸送室12a的側面的導入部12g。打開收納容器13的蓋子,使其成為從收納容器13取出半導體基板50之狀態。 接著,使用第1輸送室12a的輸送裝置14從收納容器13內取出半導體基板50,向測定室12b的載物台22輸送半導體基板50。藉由將半導體基板50從上述收納容器13內輸送到測定室12b的載物台22之步驟,即使從分析裝置10的外部輸送半導體基板50,亦可以抑制半導體基板50的污染。能夠在半導體基板50的污染得到抑制之狀態下,利用表面缺陷測定部20測定半導體基板50的表面缺陷。
接著,在測定室12b內,利用表面缺陷測定部20測定半導體基板50的表面缺陷。藉此,檢測半導體基板50的表面50a的異物等的缺陷的位置資訊及尺寸。例如,如圖3所示,能夠在半導體基板50的表面50a上表示出缺陷51。將在半導體基板50的表面50a上表示出缺陷51稱為映射(mapping)。半導體基板50的表面50a上的缺陷51的位置資訊及尺寸資訊儲存於儲存部29。將半導體基板50的表面50a上的缺陷51的位置資訊及尺寸資訊稱為映射資訊。
接著,利用圖1所示之第2輸送室12c的輸送裝置16將測定了表面缺陷之半導體基板50從測定室12b輸送到分析室12d。 接著,在分析室12d內,利用分析部30依據半導體基板50的表面50a上的缺陷51的位置資訊及尺寸資訊亦即映射資訊來進行分析。如圖4所示,分析在將半導體基板50整體收納於容器部33內之狀態且從載體氣體供給部38向容器部33內供給了載體氣體(未圖示)之狀態下實施。在進行分析時,依據映射資訊確定缺陷51的位置,例如使用載物台32將半導體基板50移動至使缺陷51位於雷射光La的照射位置。 接著,如圖4所示,向半導體基板50的表面50a上的缺陷51照射雷射光La。藉由向缺陷51照射雷射光La而得到之分析試樣51a利用載體氣體(未圖示)經過配管39移動到分析單元36。利用載體氣體移動之來自於缺陷51之分析試樣51a在分析單元36中進行感應耦合電漿質譜分析來確定缺陷51的元素。
在分析方法中,在分析步驟之前,具有使用清洗氣體洗淨容器部33內之步驟為較佳。具體而言,洗淨步驟係在向容器部33內輸送半導體基板50之前,向容器部33內供給清洗氣體,使用加熱器對容器部33內進行加熱而實施沖洗處理之步驟。藉由洗淨步驟,去除容器部33內的例如剝蝕之附著物等異物或吸附氣體等。
又,在分析裝置10中,能夠使用利用與分析裝置10不同的另一裝置例如表面缺陷測定裝置70(參照圖1)測定半導體基板50的表面50a上的缺陷51而得到之半導體基板50的表面50a上的缺陷51的位置資訊。半導體基板50的表面50a上的缺陷51的位置資訊例如為如圖3所示之映射資訊。在該情況下,將表面缺陷測定裝置70所獲取之映射資訊供給到儲存部29。進而,將在表面缺陷測定裝置70中測定了表面50a的缺陷51之半導體基板50例如收納於收納容器13中並輸送到分析裝置10。將半導體基板50經過第1輸送室12a、測定室12b及第2輸送室13c輸送到分析室12d。 接著,控制部42從儲存部29讀出映射資訊,依據映射資訊確定半導體基板50的表面50a上的缺陷51的位置。接著,使用載物台32將半導體基板50移動至使缺陷51位於雷射光La的照射位置。接著,向半導體基板50的表面50a上的缺陷51照射雷射光La。將藉由向缺陷51照射雷射光La而得到之分析試樣51a利用載體氣體移動到分析單元36。利用載體氣體移動之來自於缺陷51之分析試樣51a在分析單元36中進行感應耦合電漿質譜分析來確定缺陷51的元素。
如上所述,當使用由表面缺陷測定裝置70(參照圖1)測定之如圖3所示之映射資訊分析缺陷51時,不需要表面缺陷測定部20及半導體基板50的表面缺陷的測定。另外,在分析裝置10中,當然亦可以為未設置圖1所示之表面缺陷測定裝置70之構成。 另外,供給到儲存部29之半導體基板50的表面50a上的缺陷51的位置資訊並不特別限定於由表面缺陷測定裝置70(參照圖1)測定者。表面缺陷測定裝置70例如可以具有儲存位置資訊之儲存部(未圖示)。又,表面缺陷測定裝置70可以具有與表面缺陷測定部20(參照圖1)相同的構成。因此,表面缺陷測定裝置70例如具有使入射光Ls入射到半導體基板50的表面50a上之入射部23和接收藉由入射光Ls因半導體基板50的表面50a上的缺陷51被反射或散射而放射之放射光之受光部26。
[分析裝置的第2例] 圖5係表示本發明的實施形態的分析裝置的第2例之示意圖。另外,在圖5中,對與圖1所示之分析裝置10相同的構成物標註相同符號,並省略其詳細說明。 與圖1所示之分析裝置10相比,圖5所示之分析裝置10a的不同點在於,無第2輸送室12c及輸送裝置16;表面缺陷測定部20和分析部30設置於一個處理室12e內,除此以外的構成為與圖1所示之分析裝置10相同的構成。
在分析裝置10a中,在半導體基板50整體收納於容器部33內之狀態下,實施表面缺陷測定和分析。 在分析部30中,光源部34配置成雷射光La的光軸相對於半導體基板50的表面50a傾斜。 在分析裝置10a中,藉由將表面缺陷測定部20和分析部30設置於一個處理室12e內,與圖1所示之分析裝置10相比,能夠將裝置小型化。 又,藉由設為能夠在將半導體基板50整體收納於容器部33內之狀態下進行基於表面缺陷測定部20之表面缺陷的測定和基於分析部30之感應耦合電漿質譜分析之構成,半導體基板50的輸送減少,能夠進一步抑制半導體基板50的表面50a的污染。藉此,能夠進一步提高半導體基板50的表面50a的缺陷的測定精度,還能夠進一步抑制分析裝置10a的處理室12e內的污染。
[分析方法的第2例] 分析方法的第2例與上述分析方法的第1例基本相同。與上述分析方法的第1例相比,分析方法的第2例的不同點在於,在將半導體基板50整體收納於容器部33內之狀態下實施基於表面缺陷測定部20之表面缺陷的測定;在表面缺陷的測定之後,不將測定了表面缺陷之半導體基板50利用輸送裝置16(參照圖1)從測定室12b(參照圖1)輸送到分析室12d(參照圖1),除此以外的步驟與分析方法的第1例相同。 在分析方法的第2例中,藉由在將半導體基板50整體收納於容器部33內之狀態下實施基於表面缺陷測定部20之表面缺陷的測定和基於分析部30之感應耦合電漿質譜分析,能夠進一步抑制半導體基板50的表面50a的污染,且能夠抑制分析裝置10a的處理室12e內的污染。 又,如上所述,藉由在將半導體基板50整體收納於容器部33內之狀態下實施基於表面缺陷測定部20之表面缺陷的測定和基於分析部30之感應耦合電漿質譜分析,無需在步驟之間輸送半導體基板50,與分析方法的第1例相比,能夠縮短分析時間。進而,如上所述,能夠進一步抑制半導體基板50的表面50a的污染。
又,在分析裝置10a中,與分析裝置10同樣地,亦能夠使用利用與分析裝置10a不同的另一裝置例如表面缺陷測定裝置70(參照圖5)測定半導體基板50的表面50a上的缺陷51而得到之如圖3所示之映射資訊。在該情況下,將表面缺陷測定裝置70所獲取之映射資訊供給到儲存部29。進而,將在表面缺陷測定裝置70中測定了表面50a的缺陷51之半導體基板50例如收納於收納容器13中並輸送到分析裝置10a。 在分析裝置10a中,依據映射資訊,如上所述,在處理室12e內,利用分析部30在分析單元36d中對來自於缺陷51之分析試樣51a進行感應耦合電漿質譜分析來確定缺陷51的元素。 在該情況下,當使用由表面缺陷測定裝置70(參照圖5)測定之映射資訊時,亦不需要表面缺陷測定部20及半導體基板50的表面缺陷的測定。另外,在分析裝置10a中,與分析裝置10同樣地,亦當然可以為未設置圖5所示之表面缺陷測定裝置70之構成。又,供給到儲存部29之半導體基板50的表面50a上的缺陷51的位置資訊並不特別限定於由表面缺陷測定裝置70(參照圖5)測定者。
[分析裝置的第3例] 如上所述,當使用由除分析裝置以外的裝置例如表面缺陷測定裝置70測定之映射資訊時,在分析裝置中,不一定需要表面缺陷測定部,作為分析裝置,可以為無表面缺陷測定部之構成。在該情況下,分析裝置成為僅具有分析部30(參照圖1)之構成。 圖6係表示本發明的實施形態的分析裝置的第3例之示意圖。另外,在圖6中,對與圖1所示之分析裝置10及圖5所示之分析裝置10a相同的構成物標註相同符號,並省略其詳細說明。 與圖1所示之分析裝置10相比,圖6所示之分析裝置10b為無第1輸送室12a、輸送裝置14、測定室12b、表面缺陷測定部20、第2輸送室12c及輸送裝置16之構成。又,分析裝置10b將分析部30(參照圖1)作為質譜分析裝置72,具有上述表面缺陷測定裝置70和質譜分析裝置72。質譜分析裝置72為與上述分析部30(參照圖1)相同的構成,因此省略質譜分析裝置72的詳細說明。
在分析裝置10b中,表面缺陷測定裝置70和質譜分析裝置72為獨立的裝置,並非一體。在該情況下,將表面缺陷測定裝置70所獲取之映射資訊供給到儲存部29。進而,將在表面缺陷測定裝置70中測定了表面50a的缺陷51之半導體基板50例如收納於收納容器13中並輸送到質譜分析裝置72。半導體基板50經過第1輸送室12a輸送到分析室12d。 接著,在質譜分析裝置72中,控制部42從儲存部29讀出映射資訊,依據映射資訊,在分析室12d內,如上所述,在分析單元36d中對來自於缺陷51之分析試樣51a進行感應耦合電漿質譜分析來確定缺陷51的元素。又,供給到儲存部29之半導體基板50的表面50a上的缺陷51的位置資訊亦能夠使用由表面缺陷測定裝置70(參照圖6)測定者以外的位置資訊。
上述分析裝置10及分析裝置10a的分析部30以及分析裝置10b的質譜分析裝置72均並不限定於上述分析部30的構成。在此,圖7係表示本發明的實施形態的分析裝置的分析部的變形例之示意圖。另外,在圖7中,對與圖1所示之分析裝置10相同的構成物標註相同符號,並省略其詳細說明。 如圖7所示,在分析部30中,可以設置觀察半導體基板50的表面50a之攝像部60和顯示由攝像部60得到之圖像之顯示部62。 利用攝像部60,能夠觀察半導體基板50的表面50a上的雷射光La的照射位置亦即缺陷51的位置。作為攝像部60,可以舉出CCD(Charge Coupled Device:電荷耦合器件)感測器及COMS(Complementary Metal Oxide Semiconductor:互補式金屬氧化物半導體)感測器。作為顯示部62,可以舉出液晶顯示器及有機EL(Electro Luminescence:電致發光)顯示器。 光源部34和攝像部60配置成例如使光軸(未圖示)正交。攝像部60配置成與半導體基板50的表面50a對向。 在光源部34的光軸與攝像部60的光軸相交之處配置有半反射鏡64。光源部34所出射之雷射光La被半反射鏡64反射,並通過聚光透鏡35照射到半導體基板50的表面50a。
(半導體基板) 半導體基板並不受特別限定,能夠使用矽(Si)基板、藍寶石基板、SiC基板、GaP基板、GaAs基板、InP基板或GaN基板等各種半導體基板。作為半導體基板,經常利用矽半導體基板。
本發明係基本上如以上那樣構成者。以上,對本發明的分析裝置及分析方法進行了詳細說明,但本發明並不限定於上述實施形態,在不脫離本發明的主旨之範圍內,當然可以進行各種改良或變更。 [實施例]
以下,依據實施例對本發明進行進一步詳細的說明。以下實施例所示之材料、使用量、比例、處理內容及處理步驟等只要不脫離本發明的趣旨,則能夠適當進行變更。因此,本發明的範圍不應藉由以下所示之實施例進行限定性解釋。 以下,對實施例1~29及比較例1~3進行說明。
(實施例1~20) 在實施例中,準備了包含10~20nm的Fe的奈米粒子之分散液。稀釋分散液,在直徑300mm的矽基板上調整為使粒子成為大致1個/cm 2。使用靜電噴霧裝置將經調整之分散液塗佈於直徑300mm的矽基板上。 將塗佈有分散液之矽基板收納於能夠收納矽基板整體之收納容器中並輸送到表面缺陷測定部。 表面缺陷測定部使用了表面檢查裝置(SurfScanSP5;KLA Corporation製造)。在表面檢查裝置中,使雷射光入射到矽基板的表面,並測定散射光,藉此測定矽基板上的缺陷的位置及尺寸,得到缺陷的位置資訊及缺陷的尺寸資訊,將其儲存於儲存部。 接著,將進行了表面缺陷測定之矽基板輸送到分析部。分析部使用了雷射剝蝕ICP質譜分析(LA-ICP-MS)裝置。另外,在從表面缺陷測定部向分析部輸送矽基板時,將矽基板以與外部空氣隔離之狀態進行了輸送。關於使用上述收納容器者,每當輸送矽基板時,始終維持矽基板與外部空氣隔離之狀態。
依據所得到之缺陷的位置資訊及缺陷的尺寸資訊,使用雷射剝蝕ICP質譜分析裝置進行基於雷射剝蝕之缺陷的元素分析,並確認了在雷射剝蝕之既定位置能否檢測Fe。 雷射剝蝕在將矽基板收納於容器部內之狀態且供給了載體氣體之狀態下進行。將藉由雷射剝蝕而得到之分析試樣利用載體氣體回收並進行了感應耦合電漿質譜分析。雷射剝蝕中使用了飛秒雷射。 其後,再度在表面缺陷測定部中確認了矽基板的污染狀況,亦即矽基板在分析中是否被污染及缺陷是否被剝蝕。又,將載體氣體中的水分濃度示於下述表1及表2。 載體氣體使用了氬氣。載體氣體的流量設為1.69×10 -2Pa·m 3/sec(10sccm)。 另外,在實施例1~14中,在實施基於雷射剝蝕之缺陷的元素分析之前,藉由使用載體氣體進行沖洗處理來實施了容器部內的洗淨。在實施例15~20中,未實施基於使用載體氣體之沖洗處理之容器部內的洗淨。
(實施例21~29) 與實施例1相比,實施例21~26除了不使用收納半導體基板之收納容器而輸送矽基板這點以外,與實施例1相同。在實施例21~26中,在從表面缺陷測定部向分析部輸送矽基板時,將矽基板以暴露於外部空氣中之狀態進行了輸送。 與實施例1相比,實施例27~29除了不使用收納半導體基板之收納容器而輸送矽基板這點及未實施使用載體氣體之容器部內的洗淨這點以外,與實施例1相同。在實施例27~29中,在從表面缺陷測定部向分析部輸送時,將矽基板以暴露於外部空氣中之狀態進行了輸送。
容納半導體基板之收納容器使用了FOUP(Front Opening Unified Pod:前開式晶圓傳送盒)。當使用收納容器時,在下述表1及表2的半導體基板的收納容器欄中記載為“有”。另一方面,當未使用收納容器時,在下述表1及表2的半導體基板的收納容器欄中記載為“無”。
(比較例1~3) 在比較例1~3中,使用表面檢查裝置(SurfScanSP5;KLA Corporation製造),將雷射入射到矽基板的表面,並測定散射光,藉此測定矽基板上的缺陷的位置及尺寸,得到缺陷的位置資訊及缺陷的尺寸資訊,將其儲存於儲存部。 接著,依據所得到之缺陷的位置資訊及缺陷的尺寸資訊,使用缺陷分析裝置(SEMVision G6(Applied Materials, Inc.製造))嘗試了矽基板上的缺陷的定性元素分析。比較例1~3的矽基板上的缺陷的定性元素分析中利用了SEM-EDS(Scanning Electron Microscope-Energy Dispersive X-ray Spectroscopy:掃描電子顯微鏡-能量色散X射線光譜學)。 在比較例1~3中,如上所述,在矽基板上的缺陷的定性元素分析中利用了SEM-EDS。SEM-EDS係利用電子束在真空下進行,因此不使用載體氣體。因此,關於比較例1~3,在下述表2的“載體氣體的水分量”欄中記載為“-”。 在比較例1~3中,無容器部,因此在下述表2的“容器部的洗淨步驟”欄中記載為“-”。
[表1]
   半導體基板 的收納容器 分析前的 半導體基板 表面上的缺陷 (個/基板) 載體氣體 種類 容器部的 洗淨步驟 載體氣體的 水分量 (體積ppm) 元素 檢測 分析後的 半導體基板 表面上的缺陷 (個/基板)
實施例1 695 Ar 0.1 14
實施例2 707 Ar 0.01 9
實施例3 658 Ar 0.001 13
實施例4 679 He 0.1 20
實施例5 750 He 0.01 11
實施例6 773 He 0.001 15
實施例7 787 Ar 1000 151
實施例8 549 Ar 100 109
實施例9 659 Ar 10 94
實施例10 788 Ar 0.000005 99
實施例11 639 He 1000 168
實施例12 731 He 100 138
實施例13 745 He 10 108
實施例14 744 He 0.000005 113
實施例15 695 Ar 0.1 56
實施例16 707 Ar 0.01 35
[表2]
   半導體基板 的收納容器 分析前的 半導體基板 表面上的缺陷 (個/基板) 載體氣體 種類 容器部的 洗淨步驟 載體氣體的 水分量 (體積ppm) 元素 檢測 分析後的 半導體基板 表面上的缺陷 (個/基板)
實施例17 658 Ar 0.001 77
實施例18 679 He 0.1 46
實施例19 750 He 0.01 27
實施例20 773 He 0.001 44
實施例21 2016 Ar 0.1 133
實施例22 1870 Ar 0.01 95
實施例23 1901 Ar 0.001 161
實施例24 2108 He 0.1 155
實施例25 2060 He 0.01 75
實施例26 1790 He 0.001 172
實施例27 2016 Ar 0.1 198
實施例28 1870 Ar 0.01 167
實施例29 1901 Ar 0.001 203
比較例1 790 Ar - - 不可 808
比較例2 635 Ar - - 不可 655
比較例3 689 Ar - - 不可 701
如表1及表2所示,在實施例1~29中,藉由分析步驟,目標Fe粒子被剝蝕,藉由元素分析檢測到Fe。 在實施例1~29中,矽基板上的缺陷個數在分析後減少,矽基板上的缺陷未增加,因此確認到成功實施了剝蝕。另外,分析後的矽基板上的缺陷個數不為零,認為這是由於無法使分析中的污染成為零。 另一方面,在比較例1~3中,未使用雷射剝蝕ICP質譜分析裝置,SEM-EDS的元素分析的靈敏度不足,因此無法進行缺陷的定性元素分析,未能檢測Fe。
又,根據實施例1~29還確認到,藉由將載體氣體的雜質濃度設為0.00001ppm以上且0.1ppm以下,能夠減少分析中的矽基板的表面的污染。亦即,藉由調整載體氣體的水分量,能夠在分析的同時進行清洗。 根據實施例1~6與實施例15~20的比較確認到,藉由具有洗淨步驟,分析中的矽基板的污染進一步減少。 根據實施例1~20與實施例21~29的比較確認到,當使用收納半導體基板之收納容器時,分析前的矽基板中不易產生污染。
10,10a,10b:分析裝置 12a:第1輸送室 12b:測定室 12c:第2輸送室 12d:分析室 12e:處理室 12g:導入部 12h:壁 13:收納容器 14:輸送裝置 14a:安裝部 15:輸送臂 16:輸送裝置 16a:安裝部 20:表面缺陷測定部 22,32:載物台 23:入射部 24:聚光透鏡 25,26:受光部 27:聚光透鏡 28:運算部 29:儲存部 30:分析部 33:容器部 34:光源部 35:聚光透鏡 36:分析單元 38:載體氣體供給部 39:配管 40:清洗氣體供給部 41:流出部 42:控制部 44:電漿炬 46:質譜分析部 46a:離子透鏡部 46b:質譜儀部 47:離子透鏡 48:反射電場質譜儀 49:檢測器 50:半導體基板 50a:表面 51:缺陷 51a:分析試樣 70:表面缺陷測定裝置 72:質譜分析裝置 C 1,C 2,C 3:旋轉軸 H:方向 La:雷射光 Ls:入射光 V:高度方向
圖1係表示本發明的實施形態的分析裝置的第1例之示意圖。 圖2係表示本發明的實施形態的分析裝置的第1例的分析單元的一例之示意圖。 圖3係說明本發明的實施形態的分析方法的第1例之示意圖。 圖4係說明本發明的實施形態的分析方法的第1例之示意性剖面圖。 圖5係表示本發明的實施形態的分析裝置的第2例之示意圖。 圖6係表示本發明的實施形態的分析裝置的第3例之示意圖。 圖7係表示本發明的實施形態的分析裝置的分析部的變形例之示意圖。
10:分析裝置
12a:第1輸送室
12b:測定室
12c:第2輸送室
12d:分析室
12g:導入部
12h:壁
13:收納容器
14:輸送裝置
14a:安裝部
15:輸送臂
16:輸送裝置
16a:安裝部
20:表面缺陷測定部
22,32:載物台
23:入射部
24:聚光透鏡
25,26:受光部
27:聚光透鏡
28:運算部
29:儲存部
30:分析部
33:容器部
34:光源部
35:聚光透鏡
36:分析單元
38:載體氣體供給部
39:配管
40:清洗氣體供給部
41:流出部
42:控制部
50:半導體基板
50a:表面
51:缺陷
70:表面缺陷測定裝置
C1,C2,C3:旋轉軸
H:方向
La:雷射光
Ls:入射光
V:高度方向

Claims (16)

  1. 一種分析裝置,其係使用半導體基板的表面上的缺陷的位置資訊之分析裝置,其中 前述分析裝置具有分析部,前述分析部依據前述缺陷在前述半導體基板的前述表面上的前述位置資訊對前述半導體基板的前述表面上的前述缺陷照射雷射光,將藉由照射而得到之分析試樣利用載體氣體回收並進行感應耦合電漿質譜分析。
  2. 一種分析裝置,其具有: 表面缺陷測定裝置,測定半導體基板的表面上的缺陷的有無,得到前述半導體基板的前述表面上的前述缺陷的位置資訊;及 質譜分析裝置,依據由前述表面缺陷測定裝置得到之前述缺陷在前述半導體基板的前述表面上的前述位置資訊對前述半導體基板的前述表面上的前述缺陷照射雷射光,將藉由照射而得到之分析試樣利用載體氣體回收並進行感應耦合電漿質譜分析。
  3. 如請求項2所述之分析裝置,其中 前述表面缺陷測定裝置具有儲存前述位置資訊之儲存部。
  4. 如請求項2或請求項3所述之分析裝置,其中 前述表面缺陷測定裝置具有:入射部,使入射光入射到前述半導體基板的前述表面上;及受光部,接收藉由前述入射光因前述半導體基板的前述表面上的前述缺陷被反射或散射而放射之放射光。
  5. 一種分析裝置,其具有: 表面缺陷測定部,測定半導體基板的表面上的缺陷的有無,關於前述半導體基板的前述表面上的前述缺陷,得到前述半導體基板的前述表面上的位置資訊;及 分析部,依據前述缺陷在前述半導體基板的前述表面上的前述位置資訊對前述半導體基板的前述表面上的前述缺陷照射雷射光,將藉由照射而得到之分析試樣利用載體氣體回收並進行感應耦合電漿質譜分析。
  6. 如請求項5所述之分析裝置,其中 前述表面缺陷測定部具有儲存前述位置資訊之儲存部。
  7. 如請求項5或請求項6所述之分析裝置,其中 前述表面缺陷測定部具有:入射部,使入射光入射到前述半導體基板的前述表面上;及受光部,接收藉由前述入射光因前述半導體基板的前述表面上的前述缺陷被反射或散射而放射之放射光。
  8. 如請求項1、請求項5及請求項6之任一項所述之分析裝置,其具有收納作為測定對象的前述半導體基板之容器部, 在前述容器部內進行基於前述分析部之前述半導體基板的分析。
  9. 如請求項7所述之分析裝置,其具有收納作為測定對象的前述半導體基板之容器部, 在前述容器部內進行基於前述分析部之前述半導體基板的分析。
  10. 如請求項8所述之分析裝置,其具有: 清洗氣體供給部,向前述容器部內供給清洗氣體;及 流出部,使清洗氣體從前述容器部內流出。
  11. 如請求項5或請求項6所述之分析裝置,其具有:導入部,設置有收納有作為測定對象的前述半導體基板之收納容器;及輸送裝置,從前述導入部向前述表面缺陷測定部輸送前述半導體基板。
  12. 一種分析方法,其係使用半導體基板的表面上的缺陷的位置資訊之分析方法,其中 前述分析方法具有如下步驟:依據前述缺陷在前述半導體基板的前述表面上的前述位置資訊對前述半導體基板的前述表面上的前述缺陷照射雷射光,將藉由照射而得到之分析試樣利用載體氣體回收並進行感應耦合電漿質譜分析。
  13. 一種分析方法,其具有如下步驟: 測定半導體基板的表面上的缺陷的有無,關於前述半導體基板的前述表面上的前述缺陷,得到前述半導體基板的前述表面上的位置資訊;及 依據前述缺陷在前述半導體基板的前述表面上的前述位置資訊對前述半導體基板的前述表面上的前述缺陷照射雷射光,將藉由照射而得到之分析試樣利用載體氣體回收並進行感應耦合電漿質譜分析。
  14. 如請求項12或請求項13所述之分析方法,其中 前述載體氣體的水分量為0.00001體積ppm以上且0.1體積ppm以下。
  15. 如請求項12或請求項13所述之分析方法,其中 前述分析步驟在收納作為測定對象的前述半導體基板之容器部內實施, 在前述分析步驟之前,具有使用清洗氣體洗淨前述容器部內之步驟。
  16. 如請求項14所述之分析方法,其中 前述分析步驟在收納作為測定對象的前述半導體基板之容器部內實施, 在前述分析步驟之前,具有使用清洗氣體洗淨前述容器部內之步驟。
TW110147742A 2021-01-26 2021-12-20 分析裝置及分析方法 TW202230559A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2021010235 2021-01-26
JP2021-010235 2021-01-26
JP2021029645 2021-02-26
JP2021-029645 2021-02-26

Publications (1)

Publication Number Publication Date
TW202230559A true TW202230559A (zh) 2022-08-01

Family

ID=82653318

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110147742A TW202230559A (zh) 2021-01-26 2021-12-20 分析裝置及分析方法

Country Status (5)

Country Link
US (1) US20230369086A1 (zh)
JP (1) JPWO2022163143A1 (zh)
KR (1) KR20230124060A (zh)
TW (1) TW202230559A (zh)
WO (1) WO2022163143A1 (zh)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09243535A (ja) * 1996-03-07 1997-09-19 Hitachi Ltd 汚染分析方法および装置
JP2006153762A (ja) * 2004-11-30 2006-06-15 Tdk Corp 試料分析方法及び試料分析装置
JP6108367B1 (ja) * 2015-12-22 2017-04-05 株式会社 イアス シリコン基板用分析装置
JP6799311B2 (ja) * 2016-05-12 2020-12-16 国立大学法人東京工業大学 サンプリング方法およびサンプリングシステム
CN106990158B (zh) * 2017-04-07 2020-02-07 鲁汶仪器有限公司(比利时) 一种沾污检测系统及检测方法
JP6933187B2 (ja) 2018-05-01 2021-09-08 信越半導体株式会社 半導体シリコンウェーハの金属不純物除去方法
JP6947137B2 (ja) 2018-08-17 2021-10-13 信越半導体株式会社 ウェーハの金属汚染の評価方法およびウェーハの製造工程の管理方法

Also Published As

Publication number Publication date
US20230369086A1 (en) 2023-11-16
JPWO2022163143A1 (zh) 2022-08-04
WO2022163143A1 (ja) 2022-08-04
KR20230124060A (ko) 2023-08-24

Similar Documents

Publication Publication Date Title
TWI491873B (zh) 檢查方法、檢查裝置及電子線裝置
JP6220423B2 (ja) 検査装置
WO2007020866A1 (ja) 検出装置及び検査装置
TW201603101A (zh) 檢查裝置
US9881768B2 (en) Charged Particle Beam System With Receptacle Chamber For Cleaning Sample and Sample Stage
JP5185506B2 (ja) 荷電粒子線パターン測定装置
KR101549093B1 (ko) 전자선장치 및 이것을 이용한 시료관찰방법
JP6869022B2 (ja) 現位置堆積機能を備える荷電粒子顕微鏡
WO2016143450A1 (ja) 検査装置
JP2018048946A (ja) 分析装置
TW202230559A (zh) 分析裝置及分析方法
JP2017126498A (ja) 検査装置及び検査方法
CN116762004A (zh) 分析装置及分析方法
KR20160039957A (ko) 이온 발생기를 갖는 기판 이송 시스템
JP2016143651A (ja) 検査装置及び検査方法
US7078689B1 (en) Integrated electron beam and contaminant removal system
TWI642931B (zh) 缺陷檢查裝置及缺陷檢查方法
WO2022181301A1 (ja) 欠陥除去装置、欠陥除去方法、パターン形成方法、及び電子デバイスの製造方法
JP2002334818A (ja) 半導体製造装置、および半導体装置の製造方法
JP4539311B2 (ja) レーザアブレーション装置、レーザアブレーション試料分析システム及び試料導入方法
WO2023181882A1 (ja) 分析方法、分析装置、薬液の管理方法及びレジスト組成物の管理方法
JP6581783B2 (ja) 電子線検査装置
JP5886023B2 (ja) プラズマ処理方法および装置
JP6584328B2 (ja) 検査装置、そのアライメント装置及びアライメント方法
JP6793454B2 (ja) 検査装置及び検査方法