TW202225458A - 電弧緩解面板 - Google Patents

電弧緩解面板 Download PDF

Info

Publication number
TW202225458A
TW202225458A TW110138084A TW110138084A TW202225458A TW 202225458 A TW202225458 A TW 202225458A TW 110138084 A TW110138084 A TW 110138084A TW 110138084 A TW110138084 A TW 110138084A TW 202225458 A TW202225458 A TW 202225458A
Authority
TW
Taiwan
Prior art keywords
panel
holes
deposition method
chamber
processing chamber
Prior art date
Application number
TW110138084A
Other languages
English (en)
Other versions
TWI826843B (zh
Inventor
瑪杜桑托什庫馬爾 穆迪亞拉
山傑 卡瑪斯
迪尼斯 帕奇
梅尤古芬德 古卡霖
艾朗 梭塔帕利爾
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202225458A publication Critical patent/TW202225458A/zh
Application granted granted Critical
Publication of TWI826843B publication Critical patent/TWI826843B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

示例性沉積方法可包括在半導體處理室的處理區域內形成含氧前體的電漿。處理區可以容納在基板支撐件上的半導體基板。該方法可以包括,在保持含氧前驅物的電漿的同時,使含矽前驅物透過面板而流入半導體處理室的處理區域。面板可以具有至少5.75分歐(deciohm)的阻抗。該方法可以包括在半導體基板上沉積含矽材料。

Description

電弧緩解面板
本申請要求於2020年10月16日提交的名稱為「ELECTRIC ARC MITIGATING FACEPLATE 」的美國專利申請第17/072,673號的權益和優先權,該申請透過引用整體併入本文。
本技術涉係關於半導體系統和處理。更具體地,本技術係關於促進材料沉積的組件。
透過在基板表面上產生復雜圖案化材料層的處理,使得積體電路成為可能。在基板上生產圖案化材料需要受控的形成和去除所暴露的材料的方法。所生產膜的材料特性可能會導致基板效應,這可能會導致晶圓彎曲或處理處理中出現其他問題。
因此,需要可用於生產高品質元件和結構的改進的系統和方法。本技術解決了這些和其他需要。
示例性沉積方法可以包括在半導體處理腔室的處理區域內形成含氧前體的電漿。處理區域可以容納基板支撐件上的半導體基板。該方法可以包括,在保持含氧前體的電漿的同時,使含矽前體流通過面板而流入半導體處理腔室的處理區域。面板可具有至少約5.75分歐(deciohm)的阻抗。該方法可以包括在半導體基板上沉積含矽材料。
在一些實施例中,含矽前體可以是或包括正矽酸四乙酯。沉積可以在大於或約450℃的溫度下執行。沉積可以在大於或約8托的壓力下執行。面板的暴露於腔室內部的面積的至少約10%可由面板限定的複數個孔來形成。面板可以包括至少或約75排的孔。面板可以限定大於或約25,000個孔。面板可以限定圍繞面板的表面而以均勻方式佈置的複數個孔。複數個孔的相鄰孔的中心可以間隔小於或約80密耳(mil)。
本技術的一些實施例可以包括沉積方法。該方法可以包括使含氧前體流入半導體處理腔室的處理區域。處理區域可以容納基板支撐件上的半導體基板。方法可以包括形成含氧前體的電漿。方法可包括使含矽前體流通過面板而流入半導體處理腔室的處理區域。面板可以限定複數個孔。面板的暴露於腔室內部的面積的至少約10%係由複數個孔形成。方法可以包括在半導體基板上沉積第一量的含矽材料。
在一些實施例中,靠近面板的相對側的複數個孔的最外面的孔之間的距離可以是約或至少13英吋。複數個孔中的每一者可包括孔輪廓,該孔輪廓具有延伸穿過面板的第一表面的第一大致圓柱形部分和延伸穿過面板第二表面的第二大致圓柱形部分。第一大致圓柱形部分的直徑可以比第二大致圓柱形部分的直徑大或大1.3倍。第一大致圓柱形部分可以延伸穿過面板厚度的至少或約一半。沉積可以在大於或約450℃的溫度和至少約8托的壓力下進行。
本技術可包括半導體處理腔室。腔室可以包括腔室主體。腔室可以包括設置在腔室主體內的基板支撐件。腔室可以包括氣體分配器。氣體分配器可包括面板。面板的特徵在於第一表面和與第一表面相對的第二表面。第二表面可以面向基板支撐件。面板的第二表面和基板支撐件可以至少部分地限定半導體處理腔室內的處理區域。面板可限定穿過面板厚度的複數個孔。面板可具有至少約5.75分歐(deciohm)的阻抗。面板的暴露於腔室內部的面積的至少約10%可由複數個孔形成。
在一些實施例中,複數個孔中的每一者可包括大致圓柱形的孔輪廓。複數個孔中的每一者的孔輪廓可以包括延伸穿過面板的第一表面的附加圓柱形部分。附加的圓柱形部分可以具有比大致圓柱形的孔輪廓更大的直徑。大致圓柱形孔輪廓的直徑可以小於或約35密耳。附加圓柱形部分的直徑可以小於或約50密耳。複數個孔可以包括至少或約25,000個孔。
這種技術可以提供優於習知系統和技術的許多好處。例如,該處理可以生產以具有減少的膜收縮為特徵的膜,同時消除面板和半導體基板之間電弧的發生。此外,本技術的實施例的操作可在基板上產生改進的膜強度。結合以下描述和附圖更詳細地描述這些和其他實施例,連同它們的許多優點和特徵。
在半導體製造期間,可以利用各種沉積和蝕刻操作在基板上產生結構。氧化矽和其他含矽材料通常在許多用於形成半導體基板的操作中形成。作為一個例子,氧化矽可以在多種處理中沉積,包括化學氣相沉積和電漿沉積。在一些處理中沉積或形成的氧化矽的特徵在於膜中摻入一定量的氫和/或碳,這些氫和/或碳可能已經包括在前體中,例如矽烷或正矽酸四乙酯。在後續處理期間,氧化矽膜可能暴露於高溫,例如在後續退火期間。這種高溫暴露可能會導致沉積處理期間結合的殘留材料產生一定量的脫氣,這可能會導致膜收縮。氧化矽可具有壓縮應力,並且當進行收縮或緻密化時,壓縮應力可能增加。這可能導致高縱橫比(aspect ratio)特徵彎曲,並且在某些情況下可能導致基板或晶圓彎曲。
為了限制收縮效應,習知的半導體處理腔室可以保持在較高的壓力下,例如約或大於8托。在具有高內腔溫度和高內腔壓力的組合的腔室中生產的膜更耐收縮。此外,這種膜在晶圓上可以表現出更大的強度。然而,維持涉及高溫和高壓的操作條件的習知半導體處理腔室經常在電漿點火時經歷面板和晶圓之間的電弧。這種電弧放電歸因於習知面板的低阻抗,這導致電漿點火時的阻抗變化很大。大的阻抗變化會導致阻抗突然增加,從而導致電弧放電。這種電弧會損壞面板並導致晶圓上的缺陷。
本技術可以透過實施具有增加的阻抗的面板來克服這些限制,這減小了阻抗變化的幅度並且在電漿點火時使阻抗曲線平滑以消除任何電弧。透過消除電弧,面板和晶圓膜的完整性得到改善,從而能夠實施高溫和高壓製造處理。如上所述,這些高溫和高壓處理減少了膜收縮並提高了晶圓上的膜強度。在描述了根據本技術的實施例的可以執行電漿處理的腔室的一般態樣之後,可以討論具體的方法和組件配置。應當理解,本技術不旨在限於所討論的特定膜和處理,因為所描述的技術可用於改進多種膜形成處理,並且可適用於各種處理腔室和操作。
圖1示出了根據本技術的一些實施例的示例性處理腔室100的截面視圖。該圖可以圖示包含本技術的一個或更多個態樣和/或可以根據本技術的實施例執行一個或更多個操作的系統的概覽。可在下文進一步描述腔室100的附加細節或所執行的方法。根據本技術的一些實施例,腔室100可用於形成膜層,但應理解,所述方法可類似地在可發生膜形成的任何腔室中執行。處理腔室100可以包括腔室主體102、設置在腔室主體102內部的基板支撐件104、以及與腔室主體102耦合且將基板支撐件104封閉在處理容積120中的蓋組件106。基板103可以透過開口126來提供給處理容積120,開口126可以習知方式被密封以使用狹縫閥或門進行處理。在處理期間,基板103可以位於基板支撐件的表面105上。如箭頭145所示,基板支撐件104可以沿著軸線147旋轉,其中基板支撐件104的軸144可以位於該軸線147處。或者,在沉積處理期間,可根據需要將基板支撐件104提升以旋轉。
電漿分佈調變器111可以設置在處理腔室100中以控制在設置在基板支撐件104上的基板103上的電漿分佈。電漿分佈調變器111可以包括可以鄰近腔室主體102而設置的第一電極108,並且其可以將腔室主體102與蓋組件106的其他組件分開。第一電極108可以是蓋組件106的一部分,或者可以是單獨的側壁電極。第一電極108可以是環形或環狀構件,並且可以是環形電極。第一電極108可以是對圍繞處理容積120的處理腔室100的圓周進行圍繞的連續迴路,或者如果需要可以在選定位置不連續。第一電極108也可以是穿孔電極(例如穿孔環或網狀電極),或者可以是板電極(例如輔助氣體分配器)。
一個或更多個隔離器110a、110b可以是介電材料(例如陶瓷或金屬氧化物,例如氧化鋁和/或氮化鋁),其可以接觸第一電極108並且將第一電極108與氣體分配器112和腔室主體102電隔離和熱隔離。氣體分配器112可以限定用於將處理前體分配到處理容積120中的孔118。氣體分配器112可與第一電功率源142耦合,例如可以與處理腔室耦合的射頻(RF)產生器、RF電功率源、DC電功率源、脈衝DC電功率源、脈衝RF電功率源或任何其他電功率源。在一些實施例中,第一電功率源142可以是RF電功率源。
氣體分配器112可以是導電氣體分配器或非導電氣體分配器。氣體分配器112也可以由導電和非導電組件所形成。例如,氣體分配器112的主體可以是導電的,而氣體分配器112的面板可以是不導電的。氣體分配器112可以例如如圖1所示的由第一電功率源142供電,或者在一些實施例中氣體分配器112可以接地。
第一電極108可以與可以控制處理腔室100的接地通路的第一調諧電路128耦合。第一調諧電路128可以包括第一電子感測器130和第一電子控制器134。第一電子控制器134可以是或包括可變電容或其他電路元件。第一調諧電路128可以是或包括一個或更多個電感132。第一調諧電路128可以是在處理期間在處理容積120中存在的電漿條件下實現可變或可控阻抗的任何電路。在如圖所示的一些實施例中,第一調諧電路128可以包括並聯耦合在接地和第一電子感測器130之間的第一電路支路和第二電路支路。第一電路支路可以包括第一電感132A。第二電路支路可以包括與第一電子控制器134串聯耦合的第二電感132B。第二電感132B可以設置在第一電子控制器134和將第一和第二電路支路兩者連接到第一電子感測器130的節點之間。第一電子感測器130可以是電壓或電流感測器並且可以與第一電子控制器134耦合,第一電子控制器134可以提供對處理容積120內的電漿條件的一定程度的閉迴路控制。
第二電極122可以與基板支撐件104耦合。第二電極122可以嵌入在基板支撐件104內或與基板支撐件104的表面耦合。第二電極122可以是板、穿孔板、網、金屬絲網或任何其他分佈式佈置的導電元件。第二電極122可以是調諧電極,並且可以透過導管146(例如,設置在基板支撐件104的軸144內的(例如)具有選定電阻(例如50歐姆)的電纜)而與第二調諧電路136耦合。第二調諧電路136可以具有第二電子感測器138和第二電子控制器140,第二電子控制器140可以是第二可變電容。第二電子感測器138可以是電壓或電流感測器,並且可以與第二電子控制器140耦合以提供對處理容積120中的電漿條件的進一步控制。
可以是偏壓電極和/或靜電夾持電極的第三電極124可以與基板支撐件104耦合。第三電極可以透過濾波器148與第二電功率源150耦合,濾波器148可以是阻抗匹配電路。第二電功率源150可以是DC功率、脈衝DC功率、RF偏壓功率、脈衝RF源或偏壓功率,或者這些或其他功率源的組合。在一些實施例中,第二電功率源150可以是RF偏壓電功率源。
圖1的蓋組件106和基板支撐件104可以與任何處理腔室一起使用,以用於電漿或熱處理。在操作中,處理腔室100可以提供對處理容積120中的電漿條件的即時控制。基板103可以設置在基板支撐件104上,並且可以根據任何期望的流量規劃使用入口114使處理氣體流過蓋組件106。氣體可以透過出口152離開處理腔室100。電力可以與氣體分配器112耦合以在處理容積120中建立電漿。在一些實施例中,可以使用第三電極124對基板施加電偏壓。
在為處理容積120中的電漿通電時,可以在電漿和第一電極108之間建立電位差。還可以在電漿和第二電極122之間建立電位差。然後可以使用電子控制器134、140來調整由兩個調諧電路128和136表示的接地路徑的流動特性。可以向第一調諧電路128和第二調諧電路136傳送設定點以提供對沉積速率和從中心到邊緣的電漿密度均勻性的獨立控制。在電子控制器都可以是可變電容的實施例中,電子感測器可以獨立地調節可變電容以最大化沉積速率並最小化厚度不均勻性。
調諧電路128、136中的每一者都可以具有可變阻抗,該可變阻抗可以使用相應的電子控制器134、140進行調整。在電子控制器134、140是可變電容的情況下,可以選擇每個可變電容的電容範圍以及第一電感132A和第二電感132B的電感以提供阻抗範圍。該範圍可能取決於電漿的頻率和電壓特性,其在每個可變電容的電容範圍內可能具有最小值。因此,當第一電子控制器134的電容處於最小或最大時,第一調諧電路128的阻抗可能很高,導致電漿形狀在基板支撐件上具有最小的空中或橫向覆蓋。當第一電子控制器134的電容接近使第一調諧電路128的阻抗最小化的值時,電漿的空中覆蓋可以增長到最大,-而有效地覆蓋基板支撐件104的整個工作區域。當第一電子控制器134的電容偏離最小阻抗設置時,電漿形狀可從腔室壁收縮並且基板支撐件的空中覆蓋可能下降。第二電子控制器140可以具有類似的效果,隨著第二電子控制器140的電容的改變,而增加和減少電漿在基板支撐件上的空中覆蓋。
電子感測器130、138可用於在閉迴路中調諧相應的電路128、136。根據所用感測器的類型,電流或電壓的設定點可以安裝在每個感測器中,並且感測器可以配備有控制軟體,該軟體確定對每個相應電子控制器134、140的調整以最小化與設定點的偏差。因此,可以在處理期間選擇和動態地控制電漿形狀。應當理解,雖然前述討論基於可以是可變電容的電子控制器134、140,但是可以使用具有可調特性的任何電子組件來提供具有可調阻抗的調諧電路128和136。
圖2示出了根據本技術的一些實施例的示例性面板200的示意性局部截面視圖。圖2可以說明與腔室100中的組件有關的進一步細節,例如氣體分配器112的面板。面板200可用於執行半導體處理操作,包括如前所述的材料沉積,以及其他沉積、去除和清潔操作。面板200可以示出可以結合在半導體處理系統中的面板的局部視圖,並且可以示出橫跨面板中心的視圖,面板另可以具有任何尺寸並且包括任意數量的孔。儘管示出了多個橫向或徑向向外延伸的孔,但應理解,該圖僅用於說明實施例,並不認為是按比例繪製的。例如,示例性面板的特徵可以在於,沿面板200的中心直徑的孔215的數量係大於或約150個孔、大於或約160個孔、大於或約170個孔、大於或約180個孔、大於或約190個孔、大於或約200個孔、大於或約210個孔、大於或約220個孔或更多。如下文將更詳細地討論的,在一些實施例中,孔215可以佈置成多個排或環。沿中心直徑的孔215的數量可以反映圍繞面板200的孔215的環和/或排的數量。例如,沿著面板200的中心直徑的孔215的數量可以是設置在面板200上的孔215的排或環的數量的約兩倍。
如上所述,面板200可以被包括在任何數量的處理腔室中,包括上述的腔室100。面板200可以作為氣體分配器112的一部分而被包括在內。例如,氣體分配器可以限定或提供進入處理腔室的流體通路。基板支撐件可以包括在腔室內,並且可以被配置為支撐基板用於處理。面板200具有第一表面205和第二表面210,第二表面210可以在第一表面對面。在一些實施例中,第一表面205可以面向進入處理腔室的氣體入口。第二表面210可以定位成面向處理腔室的處理區域內的基板支撐件或基板。例如,在一些實施例中,面板的第二表面210和基板支撐件可以至少部分地限定腔室內的處理區域。
面板200可以限定複數個孔215,這些孔被限定為穿過面板並且從第一表面延伸穿過第二表面。每個孔215可以提供穿過面板200的流體路徑,並且孔215可以提供到腔室的處理區域的流體通路。取決於面板的尺寸和孔的尺寸,面板200可限定穿過板的任何數量的孔215,例如大於或約25,000個孔、大於或約27,500個孔、大於或約30,000個孔、大於或約32,500個孔、大於或約35,000個孔、大於或約37,500個孔、大於或約40,000個孔、大於或約42,500個孔、大於或約45,000個孔或更多。孔215可以被包括在從面板200的中心軸線向外延伸的一組排或環中,並且可以包括如前所述的任何數量的環。例如,面板200可包括大於或約75個環、大於或約80個環、大於或約85個環、大於或約90個環、大於或約95個環、大於或約100個環、大於或約105個環,大於或約110個環,或更多。環可以具有任何數量的形狀,包括圓形或橢圓形,以及任何其他幾何圖案,例如矩形、六邊形,或為可包括分佈在徑向向外數量的環中的孔215的任何其他幾何圖案。孔215可以具有均勻或交錯的間距,並且可以從中心到中心以小於或約80密耳間隔開。孔也可以小於或約77.5密耳、小於或約75密耳、小於或約72.5密耳、小於或約70密耳、小於或約67.5密耳、小於或約65密耳、小於或約62.5密耳,小於或約60密耳,或更少來間隔開。
環可以以如上所述的任何幾何形狀為特徵,並且在一些實施例中,孔可以每個環的孔的縮放函數為特徵。例如,在一些實施例中,第一孔可以延伸穿過面板200的中心,例如沿著所示的中心軸線。第一孔環可以圍繞中心孔而延伸,並且可以包括任何數量的孔,例如約4個和約10個之間的孔,這些孔可以圍繞延伸穿過每個孔的中心的幾何形狀來等距間隔開。任何數量的附加孔環可以從第一環徑向向外延伸,並且可包括可係第一環中的孔數量的函數的一定數目個孔。例如,根據等式XR,每個連續環中的孔的數量可以由每個對應環內的孔的數量來表徵,其中X是孔的基數,並且R是對應的環數。孔的基數可以是第一環內的孔的數量,並且在一些實施例中可以是一些其他數量。例如,對於具有分佈在第一環周圍的5個孔的示例性面板,其中5可以是孔的基數,第二環的特徵可以是10個孔,(5)x(2),第三環可以是以15個孔為特徵,(5)x(3),並且第二十環可以以100個孔為特徵,(5)x(20)。對於如前所述的任意數量的孔環,這可以繼續推及,例如多達、大於或約220個環。在一些實施例中,橫跨面板的複數個孔中的每個孔的特徵在於孔輪廓,其在本技術的實施例中可以相同或不同。在一些實施例中,面板200任一側上的最外孔215之間的距離可為約或至少13英吋(inch)、約或至少13.05英吋、約或至少13.1英吋、約或至少13.15英吋、約或至少至少13.2英吋、約或至少13.25英吋、約或至少13.3英吋、約或至少13.35英吋、約或至少13.4英吋、約或至少13.45英吋、約或至少13.5英吋、約或至少13.55英吋,約或至少13.6英吋,或更多。雖然圖示的每個孔215具有相同或相似的形狀、間距和/或尺寸,但是應當理解,一些面板可以利用具有不同形狀、間距和/或尺寸的孔。
孔215可以包括任何形狀。在如圖所示的一個非限制性示例中,面板200包括孔215,每個孔具有包括至少兩個部分的孔輪廓。例如,第一部分220可以從面板200的第一表面205延伸,並且可以部分地延伸穿過面板200。在一些實施例中,第一部分220可以延伸穿過第一表面205和第二表面210之間的面板厚度的至少約一半或大於一半,或75%。第一部分220的特徵可以是如圖所示的基本上圓柱形的輪廓。大致上是指輪廓可以以圓柱形輪廓為特徵,但可能要考慮到加工容差和零件變化,以及一定的誤差幅度。第二部分225可以從面板200的第二表面210延伸,並且可以部分地延伸穿過面板200並且與第一部分220的底端流體耦合。第二部分225的特徵可以是如圖所示的基本上圓柱形的輪廓。第二部分225的直徑可以小於第一部分220的直徑。例如,第一部分220的直徑可以是第二部分225的直徑的1.3x以上、1.4x以上、1.5x以上、1.6x以上、1.7x以上或更大。
在一些實施例中,至少一些孔215的第一部分220的直徑可以小於或約50密耳、小於或約47.5密耳、小於或約45密耳、小於或約42.5密耳,小於或約40密耳,小於或約37.5密耳,或更少。孔215中的至少一些的第二部分225的直徑可以小於或約35密耳、小於或約34密耳、小於或約33密耳、小於或約32密耳、小於或約31密耳、小於或約30密耳、小於或約29密耳、小於或約28密耳、小於或約27密耳或更少。
透過具有緊密間隔在一起的大量的孔215,面板200的大部分區域可由孔215形成。例如,面板200的暴露於腔室內部的部分的區域(例如,隔離器(例如隔離器110a、110b)的內徑內的面板200的一部分)可以由以下形成:至少或約10%的孔、至少或約11%的孔、至少或約12%的孔、至少或約13%的孔、至少或約14%的孔、至少或約15%的孔、至少或約16%的孔、至少或約17%的孔、至少或約18%的孔、至少或約19%的孔、至少或約20%的孔、或更多。透過使大部分面板面積由孔215形成,用於形成面板200的金屬材料的量顯著減少。金屬材料和金屬面積的減少可以增加面板200的阻抗。例如,面板200的特徵在於電漿產生之前的基本阻抗大於或約5.75分歐,並且該阻抗可以在電漿被點燃之後增加。
因此,在一些實施例中,基本阻抗或電漿產生期間的阻抗可以大於或約5.75分歐,並且可以大於或約5.8分歐、大於或約5.85分歐、大於或約5.9分歐,大於或約5.95分歐,大於或約6.0分歐,大於或約6.25分歐,大於或約6.5分歐,大於或約6.75分歐,大於或約7.0分歐,或更多。面板200的增加的阻抗繼而減小了面板200的非活動狀態與電漿點火時阻抗的差異,從而在高溫和高壓沉積操作期間提供到電漿點火時阻抗位準的更平滑過渡。這種更平滑的過渡消除了電漿點火期間面板200和半導體基板之間的電弧,這有助於保護面板200並防止半導體基板上的缺陷。此外,電弧的消除使得能夠進行高溫和高壓沉積操作,這可以防止膜收縮並在半導體基板上提供強度更大的膜。
圖3示出了根據本技術的一些實施例的沉積方法300中的示例性操作。該方法可以在一個或更多個腔室中執行,包括先前描述的腔室中的任何一個,並且其可以包括任何先前提到的組件,或者利用先前討論的後續處理的任何方法。方法300可以包括多個可選操作,其可以或可以不與根據本技術的方法的一些實施例具體相關聯。例如,描述了許多操作以提供更廣泛的結構的形成的範圍,但此對本技術並不關鍵,或者可以透過容易理解的替代方法來執行。例如,並且如前所述,可以在將基板傳送到處理腔室中之前執行操作,例如上述處理腔室100,而在處理腔室中可以執行方法300。
方法300可以包括在可選操作305中使含氧前體流入半導體處理腔室的處理區域。儘管在本技術的實施例中可以使用任意數量的含氧前體,但在一些實施例中,含氧前體可以是雙原子氧。該方法可以包括在操作310中在半導體處理腔室的處理區域內形成含氧前體的電漿。處理區域可以容納基板(例如在基板支撐件上),並且可以在其上執行沉積處理。可以使用任何數量的含氧前體,包括雙原子氧、臭氧、摻入氧、水、醇或其他材料的含氮前體。在最初的電漿形成期間,處理區域可以保持基本上或完全沒有含矽前體,例如正矽酸四乙酯(「TEOS 」)或任何其他含矽前體。任何數量的惰性氣體或載氣可以與氧氣一起傳送,包括例如氦氣、氬氣、氮氣或其他材料。
在隨後的第一時間段內,在保持含氧前體的電漿的同時,在操作315處,含矽前體可以以目標流速流入半導體處理腔室的處理區域。前體可經由具有與上述面板200類似的面板的氣體分配器而流入腔室。例如,面板可以限定穿過面板厚度的複數個孔。孔的尺寸、編號和緊密地間隔在一起,以便增加由孔形成的面板的面積量。例如,暴露於腔室內部的面板部分的區域可以由至少或約10%的孔來形成並且面板可以具有大於或約5.75分歐的阻抗。在高溫和高壓沉積操作期間,增加的面板阻抗提供了阻抗位準之間的更平滑過渡,這消除了電漿點火期間面板和半導體基板之間的電弧,從而保護了面板並防止了半導體基板上的缺陷。在一些實施例中,含矽前體可包括TEOS,其特徵在於比其他含矽前體(例如矽烷)的黏附係數低。
然後可以在操作320處執行多個沉積操作,其可以包括以目標流速進行沉積以產生期望的膜厚度。沉積操作可在約或至少425°C、約或至少450°C、約或至少475°C、約或至少500°C、約或至少525°C、約或至少550°C,約或至少575°C,或更高的溫度下執行。沉積操作可以在約或至少7.5托、約或至少7.75托、約或至少8.0托、約或至少8.25托、約或至少8.5托、約或至少8.75托、約或至少9.0托,或更多的壓力下進行。透過在更高的溫度和壓力下進行沉積,該方法減少了膜收縮並在半導體基板上產生更大的膜強度。此外,透過執行根據方法300的處理,在隨後的蝕刻操作期間(例如在濕蝕刻或乾蝕刻期間),可以最小化或防止在膜與下方結構的界面處的底切蝕刻。雖然許多習知處理在這些處理條件下可能具有更高的電弧放電可能性,但本技術可以透過利用更高阻抗的面板在沒有電弧放電的情況下執行處理。
此外,在一些實施例中,該方法還可以包括在可選操作325處熄滅電漿。在一些實施例中,含氧前體(例如氧氣),可以在整個處理中連續流動,這可以保持處理腔室內的壓力特性,並且還可以作為沉積副產物的清除操作。因此,第一沉積材料的表面可以被流動的氧前體清潔。然後可以重複該處理以形成另一部分。例如,電漿可以由含氧前體重新形成,並且含矽前體可以回流到處理區域中。操作可以類似於先前執行的以產生沉積材料的第二部分,其中含矽前體的流速可以在一段時間內斜升,其可以與在沉積材料第一部分中的相同或不同。因此,透過這些重複操作可以形成以增加的密度為特徵的膜,該重複操作可以重複任意次。透過使用雙原子氧作為氧化前體,可以增加沉積速率,這可以產生一種以優於傳統技術的收縮特性為特徵的膜,而利用將在半導體處理期間限制或防止電弧放電的腔室。
在前面的描述中,為了解釋的目的,已經闡述了許多細節以提供對本技術的各種實施例的理解。然而,對於本領域技術人員來說顯而易見的是,可以在沒有這些細節中的一些或者俱有附加細節的情況下實踐某些實施例。
已經揭露了幾個實施例,本領域技術人員將認識到,在不脫離實施例的精神的情況下可以使用各種修改、替代構造和等同物。此外,為了避免不必要地混淆本技術,未描述許多眾所周知的處理和元件。因此,以上描述不應被視為限制本技術的範圍。此外,方法或處理可被描述為順序的或分步驟的,但應理解,這些操作可同時執行,或以與所排不同的順序執行。
在提供值範圍的情況下,應當理解,對於每個中間值(到下限單位的最小分數)除非上下文另有明確規定,該範圍的上限和下限之間也特別被揭露。任何規定值或規定範圍內未規定的中間值與該規定範圍內的任何其他規定或中間值之間的任何更窄範圍都包括在內。這些較小範圍的上限和下限可以獨立地包括在該範圍內或從該範圍排除,並且其中一者包含、都不包括或兩者都包括在較小範圍內的彼等範圍之每者也包含在該技術內,其受制於任何特別排除的限制規定的範圍。如果所述範圍包括一個或兩個限制,則還包括排除其中一個或兩個限制的那種範圍。
如本文和所附請求項中使用的,單數形式「一」和「該」包括複數參考,除非上下文另有明確規定。因此,例如,提及「前體」包括多個這樣的前體,提及「層」包括提及本領域技術人員已知的一個或更多個層及其等效物,等等。
此外,當在本說明書和下文中使用「包含」、「包括」等詞彙時,申請專利範圍旨在指定所述特徵、整數、組件或操作的存在,但不排除一個或更多個其他特徵、整數、組件、操作、動作或組的存在或添加。
100:處理腔室 102:腔室主體 104:基板支撐件 120:處理容積 106:蓋組件 103:基板 126:開口 105:表面 145:箭頭 147:軸線 144:軸 111:電漿分佈調變器 108:第一電極 110a、110b:隔離器 112:氣體分配器 118:孔 142:第一電功率源 128:第一調諧電路 130:第一電子感測器 134:第一電子控制器 132:電感 132A:第一電感 132B:第二電感 122:第二電極 146:導管 136:第二調諧電路 138:第二電子感測器 140:第二電子控制器 124:第三電極 148:濾波器 150:第二電功率源 152:出口 200:面板 215:孔 205:第一表面 210:第二表面 220:第一部分 225:第二部分 300:方法 305:操作 310:操作 315:操作 320:操作 325:操作
透過參考說明書的其餘部分和附圖,可以實現對所揭露技術的性質和優點的進一步理解。
圖1示出了根據本技術的一些實施例的示例性處理腔室的示意性截面視圖。
圖2示出了根據本技術的一些實施例的示例性面板的示意性截面視圖。
圖3示出了根據本技術的一些實施例的沉積方法中的示例性操作。
會包括幾個圖來作為示意圖。應當理解,這些圖是為了說明的目的,除非特別說明是按比例繪製的,否則不應認為是按比例繪製的。此外,作為示意圖,提供這些圖是為了幫助理解,並且可能不包括與現實表示相比的所有態樣或資訊,並且可能包括用於說明目的的誇示材料。
在附圖中,相似的組件和/或特徵可以具有相同的元件符號。此外,相同類型的各種組件可以透過在參考標記後面加上區分相似組件的字母來區分。如果說明書中僅使用第一參考標記,則該描述適用於具有相同第一參考標記的任何一個相似組件,而不管字母如何。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:面板
215:孔
205:第一表面
210:第二表面
220:第一部分
225:第二部分

Claims (20)

  1. 一種沉積方法,包括: 在一半導體處理腔室的一處理區域內形成一含氧前體的一電漿,其中該處理區域容納在一基板支撐件上的一半導體基板; 在保持該含氧前體的該電漿的同時,使一含矽前體透過一面板流入該半導體處理腔室的該處理區域,其中該面板具有至少約5.75分歐(deciohm)的一阻抗;和 在該半導體基板上沉積一含矽材料。
  2. 如請求項1所述的沉積方法,其中: 該含矽前體包括正矽酸四乙酯(tetraethyl orthosilicate)。
  3. 如請求項1所述的沉積方法,其中: 該沉積在大於或約450°C的一溫度下進行。
  4. 如請求項1所述的沉積方法,其中: 在大於或約8托的一壓力下進行沉積。
  5. 如請求項1所述的沉積方法,其中: 該面板的暴露於該腔室的一內部的一面積的至少約10%係由該面板限定的複數個孔來形成。
  6. 如請求項1所述的沉積方法,其中: 該面板包括至少或約75排孔。
  7. 如請求項1所述的沉積方法,其中: 該面板限定了大於或約25,000個孔。
  8. 如請求項1所述的沉積方法,其中: 該面板限定了圍繞該面板的一表面而以均勻方式佈置的複數個孔。
  9. 如請求項8所述的沉積方法,其中: 該複數個孔的相鄰孔的中心彼此間隔小於或約80密耳。
  10. 一種沉積方法,包括: 使一含氧前驅體流入一半導體處理腔室的一處理區域,其中該處理區域容納在一基板支撐件上的一半導體基板; 在一半導體處理腔室的一處理區域內形成一含氧前體的一電漿; 使一含矽前驅體透過一面板流入該半導體處理腔室的該處理區域,其中: 該面板限定了穿過該面板的一厚度的複數個孔;和 該面板的暴露於該腔室的一內部的一面積的至少約10%由該複數個孔來形成;和 在該半導體基板上沉積一含矽材料。
  11. 如請求項10所述的沉積方法,其中: 靠近該面板的相對側的該複數個孔的最外面的孔之間的一距離為約或至少13英吋。
  12. 如請求項10所述的沉積方法,其中: 該複數個孔中的每一者包括一孔輪廓,該孔輪廓具有延伸穿過該面板的該第一表面的一第一大致圓柱形部分和延伸穿過該面板該第二表面的一第二大致圓柱形部分。
  13. 如請求項12所述的沉積方法,其中: 該第一大致圓柱形部分的一直徑比該第二大致圓柱形部分的一直徑大或大1.3倍。
  14. 如請求項12所述的沉積方法,其中: 該第一大致圓柱形部分延伸穿過該面板的一厚度的至少或約一半。
  15. 如請求項10所述的沉積方法,其中: 在大於或約450°C的一溫度和至少約8托的一壓力下進行沉積。
  16. 一種半導體處理腔室,包括: 一腔室主體; 一基板支撐架,該基板支撐架設置在該腔室主體內;和 一種氣體分配器,其包括一面板,其中: 該面板的特徵在於具有一第一表面和與該第一表面相對的一第二表面,該第二表面面向該基板支撐件。 該面板的該第二表面和該基板支撐件至少部分地限定該半導體處理腔室內的一處理區域; 該面板限定了穿過該面板的複數個孔; 該面板具有至少約5.75分歐的阻抗;和 該面板的暴露於該腔室的一內部的一面積至少約10%由該複數個孔來形成。
  17. 如請求項16所述的半導體處理腔室,其中: 該複數個孔中的每一者包括一大致圓柱形孔輪廓。
  18. 如請求項17所述的半導體處理腔室,其中: 該複數個孔中的每一者的該孔輪廓包括延伸穿過該面板的該第一表面的一附加的圓柱形部分;和 該附加的圓柱形部分的一直徑大於該大致圓柱形孔輪廓。
  19. 如請求項18所述的半導體處理腔室,其中: 該大致圓柱形孔輪廓的一直徑小於或約35密耳;和 該附加圓柱形部分的一直徑小於或約50密耳。
  20. 如請求項16所述的半導體處理腔室,其中: 該複數個孔包括至少或約25,000個孔。
TW110138084A 2020-10-16 2021-10-14 電弧緩解面板 TWI826843B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/072,673 2020-10-16
US17/072,673 US20220122811A1 (en) 2020-10-16 2020-10-16 Electric arc mitigating faceplate

Publications (2)

Publication Number Publication Date
TW202225458A true TW202225458A (zh) 2022-07-01
TWI826843B TWI826843B (zh) 2023-12-21

Family

ID=81185208

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110138084A TWI826843B (zh) 2020-10-16 2021-10-14 電弧緩解面板

Country Status (3)

Country Link
US (1) US20220122811A1 (zh)
TW (1) TWI826843B (zh)
WO (1) WO2022081576A1 (zh)

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3662745A (en) * 1969-06-30 1972-05-16 Hoffmann La Roche Metal-metal salt electrode and method for making the same
US5880461A (en) * 1996-06-12 1999-03-09 The Regents Of The University Of California Low noise optical position sensor
JP3818561B2 (ja) * 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
WO2011103562A1 (en) * 2010-02-22 2011-08-25 University Of Houston Neutral particle nanopatterning for nonplanar multimodal neural probes
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9598771B2 (en) * 2011-08-30 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric film defect reduction
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
KR20170061793A (ko) * 2015-11-26 2017-06-07 주식회사 원익아이피에스 반도체 디바이스의 박막 증착 방법
EP3380006B1 (en) * 2015-11-29 2022-04-27 Ramot at Tel-Aviv University Ltd. Sensing electrode and method of fabricating the same
US10858741B2 (en) * 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts

Also Published As

Publication number Publication date
TWI826843B (zh) 2023-12-21
US20220122811A1 (en) 2022-04-21
WO2022081576A1 (en) 2022-04-21

Similar Documents

Publication Publication Date Title
TWI826843B (zh) 電弧緩解面板
US11699577B2 (en) Treatment for high-temperature cleans
KR20230172573A (ko) 무-헬륨 실리콘 형성
TW202343534A (zh) 半導體處理腔室適配器
TWI780529B (zh) 腔室沉積及蝕刻處理
US20220020589A1 (en) Dielectric coating for deposition chamber
TWI751762B (zh) 沉積方法
TWI797833B (zh) 用於使用電容耦合電漿的氧化矽間隙填充的沉積方法
TWI806337B (zh) 低k碳氮化硼薄膜
US11817313B2 (en) Methods for pressure ramped plasma purge
TWI817522B (zh) 用於遮罩圖案化的氮化硼
TWI807230B (zh) 用於電漿沉積的初始調制
TW202316509A (zh) 用於控制沉積缺陷的處置
TW202333358A (zh) 用於3d nand之鍺及矽堆疊
TW202407758A (zh) 使用體積膨脹的大面積間隙填充
TW202410123A (zh) 低溫碳隙填充
JP2023546949A (ja) 引張性の窒化物堆積システム及び方法
WO2021092002A1 (en) 3d nand gate stack reinforcement
WO2023183188A1 (en) Electrical improvements for 3d nand
KR20210059444A (ko) 적층 박막 형성 방법 및 기판 처리 장치