TW202215557A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW202215557A
TW202215557A TW110129209A TW110129209A TW202215557A TW 202215557 A TW202215557 A TW 202215557A TW 110129209 A TW110129209 A TW 110129209A TW 110129209 A TW110129209 A TW 110129209A TW 202215557 A TW202215557 A TW 202215557A
Authority
TW
Taiwan
Prior art keywords
source
drain
layer
drain region
drain contact
Prior art date
Application number
TW110129209A
Other languages
English (en)
Inventor
游力蓁
蘇煥傑
黃麟淯
莊正吉
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202215557A publication Critical patent/TW202215557A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Geometry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

The present disclosure describes a method to form a backside power rail (BPR) semiconductor device with an air gap. The method includes forming a fin structure on a first side of a substrate, forming a source/drain (S/D) region adjacent to the fin structure, forming a first S/D contact structure on the first side of the substrate and in contact with the S/D region, and forming a capping structure on the first S/D contact structure. The method further includes removing a portion of the first S/D contact structure through the capping structure to form an air gap and forming a second S/D contact structure on a second side of the substrate and in contact with the S/D region. The second side is opposite to the first side.

Description

半導體裝置的形成方法
本發明實施例關於背側電源軌半導體裝置,更特別關於採用氣隙降低背側電源軌半導體裝置的電容。
隨著半導體技術的進展,對更高儲存能力、更快處理系統、更高效能、與更低成本的需求也增加。為符合這些需求,半導體產業持續縮小半導體裝置如金氧半場效電晶體(含平面金氧半場效電晶體與鰭狀場效電晶體)的尺寸。尺寸縮小亦增加半導體製造製程的複雜度。
在一些實施例中,半導體裝置的形成方法包括:形成鰭狀結構於基板的第一側上;形成源極/汲極區以與鰭狀結構相鄰;形成第一源極/汲極接點結構於基板的第一側上並接觸源極/汲極區;以及形成蓋結構於第一源極/汲極接點結構上。方法更包括經由蓋結構移除第一源極/汲極接點結構的一部分,以形成氣隙;以及形成第二源極/汲極接點結構於基板的第二側上並接觸源極/汲極區。第二側與第一側相對。
在一些實施例中,半導體裝置的形成方法包括:形成鰭狀結構於基板的第一側上;形成第一源極/汲極區與第二源極/汲極區以與鰭狀結構的兩端相鄰;在基板的第一側上形成第一源極/汲極接點結構以接觸第一源極/汲極區,並形成第二源極/汲極接點結構以接觸第二源極/汲極區;形成第一蓋結構於第一源極/汲極接點結構上,並形成第二蓋結構於第二源極/汲極接點結構上。方法更包括經由第二蓋結構移除第二源極/汲極接點結構的一部分,以形成氣隙;以及形成第三接點結構於基板的第二側上並接觸第二源極/汲極區。第二側與第一側相對。
在一些實施例中,半導體裝置包括:鰭狀結構,位於基板的第一側上;第一源極/汲極區與第二源極/汲極區,與鰭狀結構的兩端相鄰;第一源極/汲極接點結構,接觸第一源極/汲極區;第一蓋結構,位於第一源極/汲極接點結構上;第二蓋結構,位於第二源極/汲極區上;氣隙,位於第二蓋結構與第二源極/汲極區之間;以及第二源極/汲極接點結構,接觸基板的第二側上的第二源極/汲極區。第二側與第一側相對。
搭配圖式的詳細說明有利於理解本發明實施例。實施例將搭配圖式說明。在圖式中,類似標號通常指的是相同、功能類似、及/或結構類似的單元。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90˚或其他角度,因此方向性用語僅用以說明圖示中的方向。
應注意說明書中「一個實施例」、「實施例」、「示例實施例」表示所述實施例可包括特定結構或特性,但每一實施例不一定都包括特定的結構或特性。此外,這些用語不一定表示相同實施例。此外,當實施例描述特定的結構或特性時,本技術領域中具有通常知識者能結合其他實施例以實現這些結構或特性,無論是否明確說明。
應理解的是,此處的措詞或用語的目的為說明而非限制,因此本技術領域中具有通常知識者可依此處說明解釋下述說明的措詞或用語。
在一些實施例中,用語「大約」和「基本上」指的是在5%之內變化的給定數值(比如數值±1%、±2%、±3%、±4%、或±5%)。這些數值僅用於舉例而非侷限本發明實施例。用語「大約」和「基本上」指的數值%可由本技術領域中具有通常知識者依此處教示的內容變化。
隨著對更低能耗、更高效能、與夠小面積的半導體裝置的需求增加,可實施背側電源軌於半導體裝置中,以減少裝置面積與金屬內連線長度,因此可減少寄生電容、減少寄生電阻、並改善裝置效能。舉例來說,背側電源軌可改善進階技術節點所用的電源輸送網路效能。背側電源軌半導體裝置可具有前側源極/汲極接點結構與內連線結構於前側,以及背側緣及/汲極接點結構與內連線結構於背側,以減少裝置面積、寄生電容、與電阻,並改善裝置效能。舉例來說,前側源極/汲極接點結構與內連線結構可連接背側電源軌半導體裝置的汲極區至前側電源軌。背側源極/汲極接點結構與內連線結構可連接背側電源軌半導體裝置的源極區至背側電源軌。雖然背側電源軌半導體裝置的源極區連接至虛置前側源極/汲極接點結構,但其可連接至背側源極/汲極接點結構。虛置前側源極/汲極接點結構未連接至前側內連線結構或前側電源軌,但會誘發寄生電容於背側電源軌半導體裝置的虛置前側源極/汲極接點結構與閘極結構之間。寄生電容可劣化背側電源軌半導體裝置的裝置效能。
本發明多種實施例提供背側電源軌半導體裝置的形成方法,其採用氣隙降低電容。在一些實施例中,背側電源軌半導體裝置可具有第一源極/汲極區與第二源極/汲極區以與基板的前側上的鰭狀結構之兩端相鄰。第一源極/汲極接點結構與第二源極/汲極接點結構各自具有金屬接點與矽化物層,以分別接觸第一源極/汲極區與第二源極/汲極區。藉由穿過第一源極/汲極接點結構上的第一蓋結構的第一內連線結構,第一源極/汲極接點結構可連接至前側電源軌。可經由第二源極/汲極區上的第二蓋結構中的開口,移除第二源極/汲極接點結構。在移除第二源極/汲極接點結構之後,可形成氣隙於第二蓋結構與第二源極/汲極區之間。在一些實施例中,可經由開口移除第二源極/汲極接點結構的金屬接點。在一些實施例中,可經由開口移除第二源極/汲極接點結構的金屬接點與矽化物層。在一些實施例中,可形成密封介電結構於開口中,以密封第二蓋結構與第二源極/汲極區之間的氣隙。在一些實施例中,在形成密封介電結構於開口中十,可形成密封介電層於氣隙中。在一些實施例中,氣隙可降低背側電源軌半導體裝置的閘極結構與第二源極/汲極區之間的寄生電容。在一些實施例中,由於採用氣隙降低電容,背側電源軌半導體裝置的裝置效能可改善約3%至約5.5%。
圖1A顯示一些實施例中,背側電源軌半導體裝置100的等角圖,其採用氣隙126降低電容。背側電源軌半導體裝置100可包含場效電晶體102。第一內連線結構(亦可視作前側內連線結構114)可連接場效電晶體102的第一源極/汲極區至前側電源軌105。第二內連線結構(亦可視作背側內連線結構104)可連接場效電晶體102的第二源極/汲極區至背側電源軌103。圖1B顯示一些實施例中,背側電源軌半導體裝置100沿著圖1A的剖線B-B的剖視圖。圖1C顯示一些實施例中,圖1B的區域C之放大圖。在一些實施例中,圖1A至1C顯示積體電路佈局的一部分,其中鰭狀結構與閘極結構可與圖1A至1C所示的結構類似或不同。
如圖1A至1C所示,背側電源軌半導體裝置100可包含場效電晶體102,以及前側內連線結構114與背側內連線結構104分別連接至前側電源軌105與背側電源軌103。場效電晶體102可進一步包含鰭狀結構108、第一源極/汲極區110A、第二源極/汲極區110B、閘極結構112、閘極間隔物116、與內側間隔物結構127。
在一些實施例中,場效電晶體102可為p型鰭狀場效電晶體或n型鰭狀場效電晶體。用語「p型」關於摻雜p型摻質如硼的結構、層狀物、及/或區域。用語「n型」關於摻雜n型摻質如磷的結構、層狀物、及/或區域。雖然圖1A至1C顯示一個鰭狀場效電晶體,背側電源軌半導體裝置100可具有任何數目的鰭狀場效電晶體。此外,背側電源軌半導體裝置100可經由其他結構構件(如導電通孔、導電線路、介電層、與鈍化層,未圖示以簡化圖式)整合至積體電路中。
場效電晶體102可形成於基板406的第一側(如前側)上,如圖4至10所示。在一些實施例中,基板406可包含半導體材料如矽。在一些實施例中,基板406可包含絕緣層上矽基板(如絕緣層上矽晶圓)。在一些實施例中,基板406可包含(i)半導體元素如鍺;(ii)半導體化合物如碳化矽、砷化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、及/或III-V族半導體材料;(iii)半導體合金如矽鍺、碳化矽鍺、鍺錫、矽鍺矽、磷砷化鎵、磷化鎵銦、砷化鎵銦、磷砷化鎵銦、砷化鋁銦、及/或砷化鋁鎵;(iv)絕緣層上矽鍺結構;(v)絕緣層上鍺結構;或(vi)上述之組合。此外,可摻雜基板406,端視設計需求(如p型基板或n型基板)而定。在一些實施例中,基板406可摻雜p型摻質(如硼、銦、鋁、或鎵)或n型摻質(如磷或砷)。
如圖1B所示,背側電源軌半導體裝置100可包含鰭狀結構108,其沿著X軸延伸穿過場效電晶體102。鰭狀結構108可包含半導體層122的堆疊,而半導體層122可為奈米片或奈米線。每一半導體層122可形成場效電晶體102的閘極結構112之下的通道區。此處揭露的鰭狀結構之圖案化方法可為任何合適方法。舉例來說,鰭狀結構的圖案化方法可採用一或多道光微影製程,包括雙重圖案化製程或多重圖案化製程。雙重圖案化製程或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於採用單一的直接光微影製程所得的圖案間距。舉例來說,形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程以沿著圖案化的犧牲層側部形成間隔物。接著移除犧牲層,並可採用保留的間隔物以圖案化鰭狀結構。
在一些實施例中,半導體層122包含的半導體材料可與基板406的材料類似或不同。在一些實施例中,每一半導體層122可包含矽而不具有任何實質上的鍺,或包含矽鍺而鍺濃度為約5原子%至約50原子% (其餘的原子%為矽)。半導體層122的半導體材料可未摻雜,或在磊晶成長製程時採用(i) p型摻質如硼、銦、或鎵及/或(ii)n型摻質如磷或砷進行原位摻雜。雖然圖1B所示的場效電晶體102所用的半導體層122為三層,但場效電晶體102可包含任何數目的半導體層122。
如圖1A至1C所示,第一源極/汲極區110A與第二源極/汲極區110B可與鰭狀結構108的兩端相鄰。在一些實施例中,第一源極/汲極區110A與第二源極/汲極區110B可具有任何幾何形狀如多邊形、橢圓形、或圓形。第一源極/汲極區110A與第二源極/汲極區110B可包含磊晶成長的半導體材料。在一些實施例中,磊晶成長的半導體材料與基板406的材料相同。在一些實施例中,磊晶成長的半導體材料不同於基板406的材料。在一些實施例中,第一源極/汲極區110A與第二源極/汲極區110B所用的磊晶成長的半導體材料可彼此相同或不同。磊晶成長的半導體材料可包含(i)半導體材料如矽或鍺;(ii)半導體化和物材料如砷化鎵或砷化鋁鎵;或(iii)半導體合金如矽鍺或磷砷化鎵。
在一些實施例中,第一源極/汲極區110A與第二源極/汲極區110B可為n型或p型。在一些實施例中,n型的第一源極/汲極區110A與第二源極/汲極區110B可包含矽,且可在磊晶成長製程時採用n型摻質如磷或砷進行原位摻雜。在一些實施例中,n型的第一源極/汲極區110A與第二源極/汲極區110B可具有多個n型磊晶的鰭狀子區,其摻雜濃度及/或磊晶成長的製程條件可彼此不同。在一些實施例中,p型的第一源極/汲極區110A與第二源極/汲極區110B可包含矽鍺,且可在磊晶成長製程時採用p型摻質如硼、銦、或鎵進行原位摻雜。在一些實施例中,p型的第一源極/汲極區110A與第二源極/汲極區110B可具有多個含矽鍺的子區,其摻雜濃度、磊晶成長的製程條件、及/或鍺相對於矽的相對濃度可彼此不同。以圖1B為例,第一源極/汲極區110A可包含第一磊晶子區110A-1與第二磊晶子區110A-2。在一些實施例中,第一磊晶子區110A-1沿著X軸的寬度可為約10 nm至約30 nm,而沿著Z軸的厚度可為約5 nm至約10 nm。在一些實施例中,第二磊晶子區110A-2沿著X軸的寬度可為約10 nm至約30 nm,而沿著Z軸的厚度可為約30 nm至約50 nm。
如圖1A及1B所示,鰭狀結構108可為場效電晶體102所用的載流結構。場效電晶體102的通道區可形成於個別的鰭狀結構108位於閘極結構112之下的部分中。第一源極/汲極區110A與第二源極/汲極區110B可作為場效電晶體102的源極/汲極區。
如圖1A至1C所示,閘極結構112可為多層結構,且可包覆鰭狀結構108的半導體層122。在一些實施例中,閘極結構112的一或多層可分別包覆鰭狀結構108的每一半導體層122,而閘極結構112可視作全繞式閘極結構,而場效電晶體102可視作「全繞式閘極場效電晶體」或「全繞式閘極鰭狀場效電晶體」。
閘極結構112可包含閘極介電層與閘極以包覆半導體層122。閘極介電層可包覆每一半導體層122,因此可使半導體層122彼此電性隔離並與導電閘極電性隔離,以在操作場效電晶體102時避免閘極結構112與半導體層122之間的短接。在一些實施例中,閘極介電層可包含界面層與高介電常數層。在半導體裝置結構與製造製程的領域中,高介電常數指的是大於氧化矽的介電常數(如大於約3.9)。在一些實施例中,界面層可包含氧化矽。在一些實施例中,高介電常數層可包含氧化鉿、氧化鋯、或任何合適的高介電常數的介電材料。在一些實施例中,閘極可包含閘極阻障層、閘極功函數層、與閘極金屬填充層。一或多個閘極阻障層、閘極功函數層、與閘極金屬填充層可包覆每一半導體層122。在一些實施例中,閘極可包含鈦、鉭、氮化鈦、氮化鉭、鋁、銅、鎢、鈷、或其他合適的導電材料。
如圖1B及1C所示,閘極間隔物116可沿著閘極結構112的側壁,而內側間隔物結構127可位於閘極結構112的部分與第一源極/汲極區110A (及第二源極/汲極區110B)之間。每一閘極間隔物116與內側間隔物結構127可包含介電材料,比如氧化矽、氮氧化矽、氮化矽、碳氧化矽、碳氮化矽、碳氮氧化矽、或上述之組合。在一些實施例中,每一閘極間隔物116與內側間隔物結構127可包含單層或多層的絕緣材料。在一些實施例中,閘極間隔物116可隔離閘極結構112與相鄰的源極/汲極接點結構。內側間隔物結構127可隔離閘極結構112與第一源極/汲極區110A,並隔離閘極結構112與第二源極/汲極區110B。
如圖1A至1C所示,背側電源軌半導體裝置100可進一步包含前側源極/汲極接點結構如第一源極/汲極接點結構132A、虛置的矽化物層128B、氣隙126、第一蝕刻停止層124、閘極蓋結構134、第一蓋結構136A、第二蓋結構136B、密封介電結構142、前側內連線結構114、第二蝕刻停止層138、前側層間介電層140、襯墊層144、背側層間介電層146、背側接點結構如第三接點結構148、阻障層150、與背側內連線結構104。如圖1A至1C所示,前側源極/汲極接點結構如第一源極/汲極接點結構132A與前側內連線結構114可連接第一源極/汲極區110A至前側電源軌105。背側接點結構如第三接點結構148與背側內連線結構104可連接第二源極/汲極區110B至背側電源軌103。在一些實施例中,前側電源軌105與背側電源軌可包含背側電源軌半導體裝置100所用的電源線與地線。
前側源極/汲極接點結構如第一源極/汲極接點結構132A可包含矽化物層128A與金屬接點130A。矽化物層128A可包含金屬矽化物,並降低場效電晶體102的第一源極/汲極區110A與金屬接點130A之間的接點電阻。形成金屬矽化物於n型源極/汲極區上所用的金屬例子,可包含鈦、鉻、鉭、鉬、鋯、鉿、鈧、釔、鈥、鋱、釓、鎦、鏑、鉺、鐿、或其他合適金屬。形成金屬矽化物於p型源極/汲極區上所用的金屬例子,可包含鎳、鈷、錳、鎢、鐵、銠、鈀、釕、鉑、銥、鋨、或其他合適金屬。在一些實施例中,矽化物層128A沿著Z軸的厚度可為約1 nm至約10 nm。在一些實施例中,虛置的矽化物層128B可與矽化物層128A具有相同的金屬矽化物,且厚度可為約1 nm至約10 nm。
金屬接點130A可包含金屬如鎢、釕、鈷、銅、鈦、氮化鈦、鉭、氮化鉭、鉬、鎳、金屬合金、或其他合適金屬。在一些實施例中,金屬接點130A沿著Z軸的厚度可為約10 nm至約50 nm。
如圖1B及1C所示,氣隙126可位於第二蓋結構136B與第二源極/汲極區110B之間。氣隙126的形成方法可為移除第二源極/汲極區110B上的虛置金屬接點,並填入空氣。在一些實施例中,置換金屬接點的氣隙126可減少閘極結構112與第二源極/汲極區110B之間的重疊面積,進而減少閘極結構112與第二源極/汲極區110B之間的寄生電容。採用氣隙降低電阻,可使背側電源軌半導體裝置100的裝置效能改善約3%至約5.5%。在一些實施例中,氣隙126沿著X軸的水平尺寸126w (如寬度)可為約5 nm至約30 nm。氣隙126沿著Z軸的垂直尺寸126h (如高度)可為約5 nm至約50 nm。在一些實施例中,垂直尺寸126h與水平尺寸126w之間的比例可為約0.1至約10。若垂直尺寸126h小於約5 nm或比例小於約0.1,則無法改善背側電源軌半導體裝置100的裝置效能。若垂直尺寸126h大於約50 nm或比例大於約10,則無法適當地密封氣隙126。此外,水平尺寸126w受限於相鄰的閘極結構之間的距離,而垂直尺寸126h受限於周圍結構。
閘極蓋結構134可位於閘極結構112上,且設置以在背側電源軌半導體裝置100的製程時保護下方的結構及/或層狀物。舉例來說,閘極蓋結構134在形成前側源極/汲極接點結構於第一源極/汲極區110A與第二源極/汲極區110B之上時,可作為蝕刻停止層。閘極蓋結構134可包含一或多種絕緣材料。在一些實施例中,絕緣材料可包含氧化矽、鉿矽化物、碳氧化矽、氧化鋁、鋯矽化物、氮氧化鋁、氧化鋯、氧化鉿、氧化鈦、氧化鋯鋁、氧化鋅、氧化鉭、氧化鑭、氧化釔、碳氮化鉭、氮化矽、碳氮氧化矽、矽、氮化鋯、碳氮化矽、或其他合適材料。在一些實施例中,閘極結構134沿著Z軸的厚度可為約0 nm至約50 nm。在一些實施例中,閘極蓋結構134沿著X軸的寬度可為約5 nm至約30 nm。在一些實施例中,背側電源軌半導體裝置100可不具有閘極蓋結構。
第一蓋結構136A可位於前側源極/汲極接點結構如第一源極/汲極接點結構132A上,而第二蓋結構136B可位於氣隙126上。第一蓋結構136A與第二蓋結構136B可設置以在形成前側內連線結構114與密封介電結構142時,保護相鄰的結構如閘極結構112。在一些實施例中,第一蓋結構136A與第二蓋結構136B可包含絕緣材料如氧化矽、碳氧化矽、氧化鋁、氮氧化鋁、氧化鋯、氧化鉿、氧化鈦、氧化鋯鋁、氧化鋅、氧化鉭、氧化鑭、氧化釔、碳氮化鉭、氮化矽、碳氮氧化矽、氮化鋯、碳氮化矽、或其他合適材料。在一些實施例中,第一蓋結構136A與第二蓋結構136B可包含相同的絕緣材料。在一些實施例中,閘極蓋結構134包含的絕緣材料,可不同於第一蓋結構136A與第二蓋結構136B的材料,且可具有不同的蝕刻選擇性以進一步保護相鄰結構(如閘極結構112)。用語「蝕刻選擇性」指的是兩種不同材料在相同蝕刻條件下的蝕刻速率的比例。在一些實施例中,閘極蓋結構134與第一蓋結構136A (及第二蓋結構136B)之間的蝕刻選擇性可為約15至約20。舉例來說,閘極蓋結構134可包含氮化矽,而第一蓋結構136A與第二蓋結構136B可包含氧化矽。在一些實施例中,第一蓋結構136A與第二蓋結構136B沿著Z軸的厚度可為約0 nm至約50 nm,而沿著X軸的寬度可為約5 nm至約30 nm。在一些實施例中,背側電源軌半導體裝置100可不具有蓋結構於前側源極/汲極接點結構如第一源極/汲極接點結構132A上。
如圖1A至1C所示,前側內連線結構114可連接至前側源極/汲極接點結構如第一源極/汲極接點結構132A,並延伸穿過第一蓋結構136A、第二蝕刻停止層138、與前側層間介電層140。前側內連線結構114可包含金屬如鎢、釕、鈷、銅、鈦、氮化鈦、鉭、氮化鉭、鉬、鎳、金屬合金、或其他合適金屬。在一些實施例中,前側內連線結構114沿著Z軸的厚度可為約1 nm至約50 nm。
密封介電結構142可延伸穿過第二蓋結構136B、第二蝕刻停止層138、與前側層間介電層140,並延伸至密封氣隙126。密封介電結構142可包含絕緣材料如氧化矽、碳氧化矽、氧化鋁、氮氧化鋁、氧化鋯、氧化鉿、氧化鈦、氧化鋯鋁、氧化鋅、氧化鉭、氧化鑭、氧化釔、碳氮化鉭、氮化矽、碳氮氧化矽、氮化鋯、碳氮化矽、或其他合適材料。在一些實施例中,密封介電結構142沿著Z軸的厚度可為約10 nm至約50 nm,而沿著X軸的寬度可為約5 nm至約30 nm。在一些實施例中,密封介電結構142的寬度與第二蓋結構136B的寬度之比例,可為約0.3至約0.6。若密封介電結構142的寬度小於約5 nm或比例小於約0.3,則可能無法移除第二源極/汲極區110B上的金屬接點,而無法形成氣隙126與密封介電結構142。若密封介電結構142的寬度大於約15 nm或比例大於約0.6,則密封介電結構142可能不密封氣隙126而填入氣隙126。在一些實施例中,密封介電結構142可具有與氣隙126相鄰的凹陷表面。由於密封介電結構142的沉積製程,凹陷表面可為圓形或三角形的表面。
可形成第一蝕刻停止層124於閘極間隔物116的側壁之上,以及第一源極/汲極區110A與第二源極/汲極區110B之上。第一蝕刻停止層124可保護未接觸前側源極/汲極接點結構如第一源極/汲極接點結構132A的第一源極/汲極區110A與第二源極/汲極區110B的部分及/或閘極結構112。舉例來說,可在形成前側源極/汲極接點結構如第一源極/汲極接點結構132A時提供此保護。在一些實施例中,第一蝕刻停止層124可包含氮化矽、氧化矽、氮氧化矽、碳化矽、或上述之組合。第二蝕刻停止層138可形成於閘極蓋結構134、第一蓋結構136A、與第二蓋結構136B上。在一些實施例中,第二蝕刻停止層138可包含介電材料如氧化鋁,以在形成前側內連線結構時保護下方結構。
前側層間介電層140可位於第一蝕刻停止層124上。前側層間介電層140可包含介電材料,以隔離前側內連線結構114與其他內連線結構。介電材料的沉積方法可為適於沉積可流動的介電材料(比如可流動的氧化矽、可流動的氮化矽、可流動的氮氧化矽、可流動的碳化矽、或可流動的碳氧化矽)的方法。在一些實施例中,介電材料可為氧化矽。在一些實施例中,前側層間介電層140沿著Z軸的厚度可為約10 nm至約25 nm。背側層間介電層146可位於鰭狀結構108另一側(如背側)上,以與前側源極/汲極接點結構如第一源極/汲極接點結構132A相對。背側層間介電層146包含的介電材料可與前側層間介電層140類似,且可提供背側內連線結構104與其他背側內連線結構之間的隔離。
襯墊層144可位於背側層間介電層146與第一源極/汲極區110A (及第二源極/汲極區110B)之間。在一些實施例中,襯墊層144在形成背側層間介電層146時,可保護閘極結構112、第一源極/汲極區110A、與第二源極/汲極區110B。背側接點結構如第三接點結構148可包含矽化物層,其可與矽化物層128A及128B類似或不同。在一些實施例中,背側接點結構如第三接點結構148可減少背側內連線結構104與第二源極/汲極區110B之間的接點電阻。阻障層150可位於背側內連線結構104與襯墊層144之間。在一些實施例中,阻障層150可避免金屬自背側內連線結構104擴散至背側層間介電層146。
在一些實施例中,背側電源軌半導體裝置100可進一步包含其他結構,比如金屬線路、金屬通孔、與介電結構,以提供連接至積體電路佈局的其他部分或與其他部分隔離。這些結構的細節未圖示以使圖式清楚並易於說明。
圖2A顯示一些實施例中,背側電源軌半導體裝置200-1的剖視圖,其採用氣隙226-1降低電容。圖2B顯示一些實施例中,圖2A中的區域B的放大圖。圖2C顯示一些實施例中,背側電源軌半導體裝置200-2的剖視圖,其採用氣隙226-2降低電容。圖2D顯示一些實施例中,圖2C中的區域D的放大圖。圖2A至2D與圖1A至1C中相同標號的單元如上所述。
如圖2A及2B所示,背側電源軌半導體裝置200-1可不包含虛置矽化物層於第二源極/汲極區110B上。由於不存在虛置矽化物層,氣隙226-1的尺寸增加,且可進一步降低閘極結構112與第二源極/汲極區110B之間的寄生電容。在一些實施例中,氣隙226-1沿著X軸的水平尺寸226-1w (如寬度)可為約5 nm至約30 nm。氣隙226-1沿著Z軸的垂直尺寸226-1h (如高度)可為約10 nm至約50 nm。在一些實施例中,垂直尺寸226-1h與水平尺寸226-1w之間的比例可為約0.1至約10。
如圖2C及2D所示,背側電源軌半導體裝置200-2可具有密封介電層242位於氣隙226-2中的第二源極/汲極區110B上。在沉積密封介電結構142時,密封介電層242可形成於第二源極/汲極區110B與第一蝕刻停止層124的表面上。在一些實施例中,密封介電層242在氣隙226-2中的底部角落具有較大厚度。由於形成密封介電層242,可減少氣隙226-2的尺寸並增加閘極結構112與第二源極/汲極區110B之間的寄生電容。在一些實施例中,氣隙226-2沿著X軸的水平尺寸可為約5 nm至約20 nm。氣隙226-2沿著Z軸的垂直尺寸226-2h (如高度)可為約5 nm至約40 nm。在一些實施例中,垂直尺寸226-2h與水平尺寸226-2w之間的比例可為約0.2至約8。在一些實施例中,背側電源軌半導體裝置200-1不含虛置矽化物層,且可在製作製程時具有密封介電層於氣隙226-1中(未圖示)。氣隙226-1中的密封介電層亦可減少氣隙226-1的尺寸,並增加閘極結構112與第二源極/汲極區110B之間的寄生電容。
圖3係一些實施例中,製作背側電源軌半導體裝置100的方法300之流程圖,其採用氣隙126以降低電容。方法300不限於全繞式閘極場效電晶體,且可用於得利於採用氣隙以降低電容的裝置,比如平面場效電晶體、鰭狀場效電晶體、或類似物。在方法300的多種步驟之間可進行額外製作步驟,且可省略這些步驟的內容以使說明清楚並易於說明。可在方法300之前、之中、及/或之後提供額外製程,且在此僅簡述一或多個這些額外製程。此外,不需進行此處提供的所有步驟。另一方面,可同時進行一些步驟,或由圖3所示的順序以外的其他順序進行一些步驟。在一些實施例中,可額外進行一或多個其他步驟,或將此處所述的步驟置換成一或多個其他步驟。為了說明目的,圖3所示的步驟將搭配圖4至13所示的背側電源軌半導體裝置100的製作製程說明。圖4至13係背側電源軌半導體裝置100的剖視圖。雖然圖4至13顯示背側電源軌半導體裝置100之製作製程,其採用場效電晶體102中的氣隙126以減少電容,但方法300可用於背側電源軌半導體裝置100、200-1、及200-2、以及其他背側電源軌半導體裝置中的其他場效電晶體。圖4至13與圖1A至1C中具有相同標號的單元如上所述。
在圖3中,方法300一開始的步驟310形成鰭狀結構於基板的第一側上。以圖4為例,可形成鰭狀結構108於基板406的第一側406S1上。在一些實施例中,基板406可為矽。鰭狀結構108可包含半導體層122。在一些實施例中,半導體層122可包含矽。閘極結構112可包覆每一半導體層122。閘極間隔物116可形成於鰭狀結構108上的閘極結構112的側壁上。內側間隔物結構127可與閘極結構112相鄰,並位於半導體層122之間。閘極蓋結構134可形成於閘極結構112上以保護閘極結構112。
鰭狀結構108的形成方法可包含以交錯設置的方式磊晶成長不同蝕刻選擇性的半導體層。可移除交錯的半導體層,並可形成內側間隔物結構127與閘極結構112於半導體層122之間。形成鰭狀結構108之後,可垂直蝕刻以形成開口452A及452B而與鰭狀結構108的兩端相鄰。
在圖3的步驟320中,可形成第一源極/汲極區與第二源極/汲極區以與鰭狀結構的兩端相鄰。以圖5及6為例,可分別形成第一源極/汲極區110A*與第二源極/汲極區110B*於開口452A及452B中,以與基鈑406的第一側406S1上的鰭狀結構108的兩端相連。在一些實施例中,可形成虛置磊晶層於開口452A及452B中,以用於背側連接至第二源極/汲極區110B*。
在形成第一源極/汲極區110A*與第二源極/汲極區110B*之前,可蝕刻開口452B並以遮罩層阻擋開口452A,並可磊晶成長第一虛置磊晶層554於延伸至基板406中的開口452B中。在一些實施例中,第一虛置磊晶層554沿著X軸的寬度554w可為約10 nm至約30 nm,而沿著Z軸的厚度554t可為約20 nm至約50 nm。在一些實施例中,第一虛置磊晶層554可包含矽鍺,其鍺濃度為約5原子%至約15原子%,而其他原子%為矽。在一些實施例中,在後續製程可將第一虛置磊晶層置換成背側內連線結構。
形成第一虛置磊晶層554之後,可分別磊晶成長第二虛置磊晶層110A-0及110B-0於開口452A及452B中。在一些實施例中,第二虛置磊晶層110A-0及110B-0可包含矽鍺,其鍺濃度可為約20原子%至約35原子%,而其餘原子%為矽。在一些實施例中,第二虛置磊晶層110A-0及110B-0沿著X軸的寬度可為約10 nm至約30 nm,且沿著Z軸的厚度可為約5 nm至約10 nm。在一些實施例中,第二虛置磊晶層110A-0及110B-0在移除基板406的後續製程時,可保護第一源極/汲極區110A與第二源極/汲極區110B。
第一磊晶子區110A-1及110B-1與第二磊晶子區110A-2及110B-2,可分別磊晶成長於第二虛置磊晶層110A-0與110B-0。在一些實施例中,第一磊晶子區110A-1及110B-1沿著X軸的寬度可為約10 nm至約30 nm,而沿著Z軸的厚度可為約5 nm至約10 nm。在一些實施例中,第二磊晶子區110A-2及110B-2沿著X軸的寬度可為約10 nm至約30 nm,而沿著Z軸的厚度可為約30 nm至約50 nm。在一些實施例中,第一磊晶子區110A-1及110B-1與第二磊晶子區110A-2及110B-2可包含矽鍺,且可採用p型摻質進行原位摻雜以用於p型的第一源極/汲極區110A與第二源極/汲極區110B。在一些實施例中,第一磊晶子區110A-1及110B-1與第二磊晶子區110A-2及110B-2可包含矽,且可採用n型摻質進行原位摻雜以用於n型的第一源極/汲極區110A與第二源極/汲極區110B。
在形成虛置磊晶層與磊晶子區之後,第一源極/汲極區110A可包含第二虛置磊晶層110A-0、第一磊晶子區110A-1、與第二磊晶子區110A-2。第二源極/汲極區110B可包含第一虛置磊晶層554、第二虛置磊晶層110B-0、第一磊晶子區110B-1、與第二磊晶子區110B-2。
在圖3的步驟330中,可形成第一源極/汲極接點結構以接觸第一源極/汲極區,且可形成第二源極/汲極接點結構以接觸第二源極/汲極區。以圖7為例,第一源極/汲極接點結構132A (亦可視作前側源極/汲極接點結構)可形成於圖6所示的開口452A中,並接觸第一源極/汲極區110A*。第二源極/汲極接點結構132B (亦可視作虛置源極/汲極接點結構)可形成於圖6所示的開口452B中,並接觸第二源極/汲極區110B*。在形成第一源極/汲極接點結構132A與第二源極/汲極接點結構132B之前可形成第一蝕刻停止層124,以保護不接觸第一源極/汲極接點結構132A與第二源極/汲極接點結構132B的第一源極/汲極區110A與第二源極/汲極區110B的部分及/或閘極結構112。
形成第一源極/汲極接點結構132A與第二源極/汲極接點結構132B的方法,可包含移除第一源極/汲極區110A與第二源極/汲極區110B上的第一蝕刻停止層124的一部分,形成矽化物層128A及128B、沉積金屬於開口452A及452B中、接著以化學機械研磨製程使閘極蓋結構134、第一源極/汲極接點結構132A、與第二源極/汲極接點結構132B的上表面共平面。第一源極/汲極接點結構132A可包含矽化物層128A與金屬接點130A,且可在後續製程中連接至內連線結構。第二源極/汲極接點結構132B可包含矽化物層128B與金屬接點130B,且可不連接至任何內連線結構。如此一來,第二源極/汲極接點結構132B可視作虛置源極/汲極接點結構,而矽化物層128B可視作虛置的矽化物層,而金屬接點130B可視作虛置的金屬接點。在一些實施例中,矽化物層128A與虛置的矽化物層128B可包含相同的金屬矽化物,而金屬接點130A與虛置的金屬接點130B可包含相同金屬。
在圖3的步驟340中,可形成第一蓋結構於第一源極/汲極接點結構上,並可形成第二蓋結構於第二源極/汲極接點結構上。以圖7為例,可形成第一蓋結構136A*於第一源極/汲極接點結構132A上,並可形成第二蓋結構136B*於第二源極/汲極接點結構132B上。可回蝕刻第一源極/汲極接點結構132A與第二源極/汲極接點結構132B。可沉積蓋介電材料於第一源極/汲極接點結構132A與第二源極/汲極接點結構132B上,接著以化學機械研磨製程使閘極蓋結構134、第一蓋結構136A*、與第二蓋結構136B*的上表面共平面。在一些實施例中,第一蓋結構136A*與第二蓋結構136B*沿著Z軸的厚度可為約0 nm至約50 nm,而沿著X軸的寬度可為約5 nm至約30 nm。在一些實施例中,背側電源軌半導體裝置100可不具有蓋結構於前側源極/汲極接點結構如第一源極/汲極接點結構132A上。
在圖3的步驟350中,可經由第二蓋結構移除第二源極/汲極接點結構的一部分,以形成氣隙。以圖8及9為例,可經由第二蓋結構136B*移除第二源極/汲極接點結構132B的一部分,以形成氣隙126。移除第二源極/汲極接點結構132B的部分之方法,可包含形成開口842於第二蓋結構136B*中、蝕刻第二源極/汲極接點結構132B的部分、並形成密封介電結構142於開口842中。在形成開口842之前,可毯覆性沉積第二蝕刻停止層138與前側層間介電層140於閘極蓋結構134、第一蓋結構136A*、與第二蓋結構136B*的上表面上,如圖8所示。
毯覆性沉積第二蝕刻停止層138與前側層間介電層140之後,可由圖案化製程形成開口842。可沉積遮罩層於前側層間介電層140上,並露出第二源極/汲極區110B上的區域以進行蝕刻製程。可蝕刻前側層間介電層140、第二蝕刻停止層138、與第二蓋結構136B*以形成開口842。在一些實施例中,蝕刻製程可包含多個步驟,且每一步驟可移除前側層間介電層140、第二蝕刻停止層138、與第二蓋結構136B*之一者。在一些實施例中,蝕刻製程可止於虛置的金屬接點130B上。在一些實施例中,開口842沿著X方向的寬度可為約5 nm至約15 nm。
形成開口842之後,可蝕刻第二源極/汲極接點結構132B的部分以形成氣隙126。如圖8所示,可經由開口842蝕刻與移除第二源極/汲極接點結構132B的虛置的金屬接點130B。在一些實施例中,虛置的金屬接點130B的移除方法可為濕蝕刻製程。在一些實施例中,濕蝕刻製程包含的蝕刻劑可為多種濃度的硫酸、氯化氫、或過氧化氫。在一些實施例中,濕蝕刻製程的溫度可為約25℃至約125℃。在一些實施例中,濕蝕刻製程可移除虛置的金屬接點130B,但保留虛置的矽化物層128B,如圖1A至1C及圖8所示。在一些實施例中,濕蝕刻製程可移除虛置的金屬接點130B與虛置的矽化物層128B,如圖2A及2B所示。
蝕刻第二源極/汲極接點結構132B以形成氣隙126之後,可形成密封介電結構142於開口842中。如圖9所示,可形成密封介電結構142於圖8所示的開口842中,以密封氣隙126。在一些實施例中,密封介電結構142的形成方法可為沉積密封介電材料於開口842中,且沉積方法可為原子層沉積或其他合適的沉積方法。密封介電材料可包含絕緣材料,比如氧化矽、碳氧化矽、氧化鋁、氮氧化鋁、氧化鋯、氧化鉿、氧化鈦、氧化鋯鋁、氧化鋅、氧化鉭、氧化鑭、氧化釔、碳氮化鉭、氮化矽、碳氮氧化矽、氮化鋯、碳氮化矽、或其他合適材料。在一些實施例中,密封介電材料的沉積溫度可為約100℃至約400℃。在一些實施例中,密封介電材料的沉積方法所採用的前驅物可包含矽烷與其他合適前驅物。密封介電材料的沉積速率可為約10 Å/分鐘至約100 Å/分鐘,且可沉積約5次沉積循環至30次沉積循環。在一些實施例中,沉積製程可形成密封介電結構142於開口842中,如圖1A至1C及圖9所示。在一些實施例中,密封介電材料可沉積於開口842中的側壁上,且可在開口842的中間處合併,進而形成凹陷表面以與氣隙126相鄰。在一些實施例中,沉積製程可在密封開口842之前,沉積密封介電材料層於氣隙126中,因此形成密封介電結構142於開口842中並形成密封介電層242於氣隙126中,如圖2C及2D所示。沉積密封介電結構142之後可進行化學機械研磨製程,使前側層間介電層140與密封介電結構142的上表面共平面。
形成密封介電結構142之後,可形成前側內連線結構114與前側電源軌105。如圖10所示,前側內連線結構114可形成於第一蓋結構136A中,以連接至前側源極/汲極接點結構如第一源極/汲極接點結構132A。形成前側內連線結構114的方法可包含圖案化製程,以形成開口於前側層間介電層140、第二蝕刻停止層138、與第一蓋結構136A中,其與圖案化形成開口842的製程類似。可沉積金屬至開口中,以形成前側內連線結構114。
在圖3的步驟360中,可形成第三接點結構於基板的第二側上,以接觸第二源極/汲極區。第二側與第一側相對。以圖11至13為例,第三接點結構148 (亦可視作背側接點結構)可形成於基板406的第二側406S2 (如背側)上。第二側406S2可與第一側406S1相對。在形成背側接點結構如第三接點結構148之前,可接合背側電源軌半導體裝置100至基板406的第一側406S1 (如前側)上的載板(未圖示),以在基板406的第二側406S2上進行後續製作製程。
將背側電源軌半導體裝置100接合至載板之後,可由蝕刻製程移除基板406,如圖11所示。在一些實施例中,蝕刻製程可包含採用蝕刻劑如氯氣、三氯化硼、與氧氣的乾蝕刻製程。蝕刻劑的流速可為約5 sccm至約200 sccm。乾蝕刻製程的壓力可為約1 mTorr至約100 mTorr,而電漿功率可為約50 W至約250 W。移除基板406之後可移除第二虛置磊晶層110A-0,如圖11所示。一些實施例在移除基板406與第二虛置磊晶層110A-0時,由於蝕刻速率不同而不移除第一虛置磊晶層554與第二虛置磊晶層110B-0。舉例來說,在移除含矽的基板406時,第一虛置磊晶層554與第二虛置磊晶層110A-0可包含矽鍺而具有較低蝕刻速率,因此不會被移除。在移除第二虛置磊晶層110A-0時,第一虛置磊晶層554可包含不同鍺濃度的矽鍺與不同蝕刻速率,進而保護第二虛置磊晶層110B-0。
移除基板406與第二虛置磊晶層110A-0之後,可沉積襯墊層144與背側層間介電層146,如圖12所示。在一些實施例中,襯墊層144可在形成背側層間介電層146時,保護閘極結構112、第一源極/汲極區110A、與第二源極/汲極區110B**。在一些實施例中,背側層間介電層146的沉積方法,可為適於沉積可流動的介電材料的方法。在一些實施例中,背側層間介電層146可包含可流動的氧化矽、可流動的氮化矽、可流動的氮氧化矽、可流動的碳化矽、或可流動的碳氧化矽。在一些實施例中,背側層間介電層146可隔離場效電晶體102的背側內連線結構104與其他相鄰的裝置及結構。
沉積襯墊層144與背側層間介電層146之後,可移除第一虛置磊晶層554與第二虛置磊晶層110B-0。對p型的第一磊晶子區110B-1與第二磊晶子區110B-2而言,由於第二虛置磊晶層110B-0與p型的第一磊晶子區110B-1之間的蝕刻選擇性類似,移除第一虛置磊晶層554與第二虛置磊晶層110B-0的步驟可能過蝕刻第一磊晶子區110B-1並移除第一磊晶子區110B-1。對n型的第一磊晶子區110B-1與第二磊晶子區110B-2而言,由於第二虛置磊晶層110B-0與n型的第一磊晶子區110B-1之間的蝕刻選擇性較高,移除第一虛置磊晶層554與第二虛置磊晶層110B-0的步驟可止於第一磊晶子區110B-1。
移除第一虛置磊晶層554與第二虛置磊晶層110B-0之後,可形成第三接點結構148 (亦視作背側接點結構)於第二側406S2上,如圖13所示。在一些實施例中,背側接點結構148可包含具有金屬矽化物的矽化物層,其可與矽化物層128A即128B相同或不同。在一些實施例中,背側接點結構如第三接點結構148可減少第二源極/汲極區110B與背側內連線結構104之間的接點電阻。形成背側接點結構如第三接點結構148之後,可形成阻障層150、背側內連線結構104、與背側電源軌103。
本發明的多種實施例可提供背側電源軌半導體裝置100、200-1、及200-2的形成方法,其分別採用氣隙126、226-1、及226-2以降低電容。在一些實施例中,背側電源軌半導體裝置100可具有第一源極/汲極區110A與第二源極/汲極區110B,以與基板406的背側如第二側406S2上的鰭狀結構108的兩端相鄰。可形成具有個別金屬接點130A及130B與矽化物層128A及128B的第一源極/汲極接點結構132A與第二源極/汲極接點結構132B,以分別接觸第一源極/汲極區110A與第二源極/汲極區110B。藉由穿過第一蓋結構136A的前側內連線結構114,第一源極/汲極接點結構132A可連接至前側電源軌105。可經由第二蓋結構136B中的開口842移除第二源極/汲極接點結構132B。在移除第二源極/汲極接點結構之後,可形成氣隙於第二蓋結構與第二源極/汲極區之間。在一些實施例中,可經由開口842移除第二源極/汲極接點結構132B的金屬接點130B。在一些實施例中,可經由開口842移除第二源極/汲極接點結構132B的金屬接點130B與矽化物層128B。在一些實施例中,可形成密封介電結構142於開口842中,以密封第二蓋結構136B與第二源極/汲極區110B之間的氣隙126。在一些實施例中,在形成密封介電結構142時可形成密封介電層242於氣隙226-2中。在一些實施例中,氣隙126可減少背側電源軌半導體裝置100的閘極結構112與第二源極/汲極區110B之間的寄生電容。在一些實施例中,由於氣隙126減少電容,背側電源軌半導體裝置100的裝置效能可改善約3%至約5.5%。
在一些實施例中,半導體裝置的形成方法包括:形成鰭狀結構於基板的第一側上;形成源極/汲極區以與鰭狀結構相鄰;形成第一源極/汲極接點結構於基板的第一側上並接觸源極/汲極區;以及形成蓋結構於第一源極/汲極接點結構上。方法更包括經由蓋結構移除第一源極/汲極接點結構的一部分,以形成氣隙;以及形成第二源極/汲極接點結構於基板的第二側上並接觸源極/汲極區。第二側與第一側相對。
在一些實施例中,第一源極/汲極接點結構包括金屬接點,且移除該第一源極/汲極接點結構的部分的步驟包括:形成開口於蓋結構中;經由開口移除金屬接點以形成氣隙;以及形成密封結構於開口中以密封氣隙。
在一些實施例中,形成密封結構的步驟包括沉積介電材料於開口與氣隙中。
在一些實施例中,第一源極/汲極接點結構包括金屬接點與矽化物層,且移除源極/汲極接點結構的部分之步驟包括:形成開口於蓋結構中;經由開口移除金屬接點與矽化物層以形成氣隙;以及形成密封結構於開口中以密封氣隙。
在一些實施例中,形成源極/汲極區的步驟包括:形成源極/汲極區的第一部分於基板中;以及形成源極/汲極的第二部分以接觸鰭狀結構,其中第一源極/汲極接點結構接觸第二部分。
在一些實施例中,形成第二源極/汲極接點結構的步驟包括:將基板置換成介電層;移除基板的第二側上的源極/汲極區的一部分;以及形成矽化物層以接觸基板的第二側上的源極/汲極區。
在一些實施例中,方法更包括形成內連線結構以接觸第二源極/汲極接點結構。
在一些實施例中,半導體裝置的形成方法包括:形成鰭狀結構於基板的第一側上;形成第一源極/汲極區與第二源極/汲極區以與鰭狀結構的兩端相鄰;在基板的第一側上形成第一源極/汲極接點結構以接觸第一源極/汲極區,並形成第二源極/汲極接點結構以接觸第二源極/汲極區;形成第一蓋結構於第一源極/汲極接點結構上,並形成第二蓋結構於第二源極/汲極接點結構上。方法更包括經由第二蓋結構移除第二源極/汲極接點結構的一部分,以形成氣隙;以及形成第三接點結構於基板的第二側上並接觸第二源極/汲極區。第二側與第一側相對。
在一些實施例中,第二源極/汲極接點結構包括金屬接點,且移除第二源極/汲極接點結構的部分之步驟包括:形成開口於第二蓋結構中;經由開口移除金屬接點以形成氣隙;以及形成密封結構於開口中以密封氣隙。
在一些實施例中,形成密封結構的步驟包括沉積介電材料於開口與氣隙中。
在一些實施例中,第二源極/汲極接點結構包括金屬接點與矽化物層,且移除第二源極/汲極接點結構的部分之步驟包括:形成開口於第二蓋結構中;經由開口移除金屬接點與矽化物層以形成氣隙;以及形成密封結構於開口中以密封氣隙。
在一些實施例中,形成第二源極/汲極區的步驟包括:形成第二源極/汲極區的第一部分於基板中;以及形成第二源極/汲極區的第二部分以接觸鰭狀結構,其中第二源極/汲極接點結構接觸第二部分。
在一些實施例中,形成第三接點結構的步驟包括:將基板置換成介電層;移除基板的第二側上的第二源極/汲極區的一部分;以及形成矽化物層以接觸基板的第二側上的第二源極/汲極區。
在一些實施例中,方法更包括:形成第一內連線結構於第一側上以接觸第一源極/汲極接點結構;以及形成第二內連線結構於第二側上以接觸第三接點結構。
在一些實施例中,半導體裝置包括:鰭狀結構,位於基板的第一側上;第一源極/汲極區與第二源極/汲極區,與鰭狀結構的兩端相鄰;第一源極/汲極接點結構,接觸第一源極/汲極區;第一蓋結構,位於第一源極/汲極接點結構上;第二蓋結構,位於第二源極/汲極區上;氣隙,位於第二蓋結構與第二源極/汲極區之間;以及第二源極/汲極接點結構,接觸基板的第二側上的第二源極/汲極區。第二側與第一側相對。
在一些實施例中,半導體裝置更包括矽化物層位於氣隙中的第二源極/汲極區上。
在一些實施例中,半導體裝置更包括密封結構位於第二蓋結構中以密封氣隙,其中密封結構包括介電材料。
在一些實施例中,半導體裝置更包括介電材料層於氣隙中。
在一些實施例中,半導體裝置更包括:第一內連線結構位於基板的第一側上並接觸第一源極/汲極接點結構;以及第二內連線結構位於基板的第二側上並接觸第二源極/汲極接點結構。
在一些實施例中,第一源極/汲極接點結構包括金屬接點與第一矽化物層,而第二源極/汲極接點結構包括第二矽化物層。
應理解的是,實施方式(非摘要)用於說明請求項。摘要可提及一或多個但非所有可能的本發明實施例,因此並非用以侷限所附的請求項。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
B,C,D:區域 B-B:剖線 100,200-1,200-2:背側電源軌半導體裝置 102:場效電晶體 103:背側電源軌 104:背側內連線結構 105:前側電源軌 108:鰭狀結構 110A,110A*:第一源極/汲極區 110A-0,110B-0:第二虛置磊晶層 110A-1,110B-1:第一磊晶子區 110A-2,110B-2:第二磊晶子區 110B,110B*,110B**:第二源極/汲極區 112:閘極結構 114:前側內連線結構 116:閘極間隔物 122:半導體層 124:第一蝕刻停止層 126,226-1,226-2:氣隙 126h,226-1h,226-2h:垂直尺寸 126w,226-1w,226-2w:水平尺寸 127:內側間隔物結構 128A,128B:矽化物層 130A,130B:金屬接點 132A:第一源極/汲極接點結構 132B:第二源極/汲極接點結構 134:閘極蓋結構 136A,136A*:第一蓋結構 136B,136B*:第二蓋結構 138:第二蝕刻停止層 140:前側層間介電層 142:密封介電結構 144:襯墊層 146:背側層間介電層 148:第三接點結構 150:阻障層 242:密封介電層 300:方法 310,320,330,340,350,360:步驟 406:基板 406S1:第一側 406S2:第二側 452A,452B,842:開口 554:第一虛置磊晶層 554t:厚度 554w:寬度
圖1A至1C係一些實施例中,背側電源軌半導體裝置的等角圖與多種剖視圖。 圖2A至2D係一些實施例中,採用氣隙減少電容的多種背側電源軌半導體裝置的剖視圖。 圖3係一些實施例中,採用氣隙減少電容的背側電源軌半導體裝置的製作方法的流程圖。 圖4至13係一些實施例中,採用氣隙減少電容的背側電源軌半導體裝置在製作製程的多種階段的剖視圖。
300:方法
310,320,330,340,350,360:步驟

Claims (1)

  1. 一種半導體裝置的形成方法,包括: 形成一鰭狀結構於一基板的一第一側上; 形成一源極/汲極區以與該鰭狀結構相鄰; 形成一第一源極/汲極接點結構於該基板的該第一側上並接觸該源極/汲極區; 形成一蓋結構於該第一源極/汲極接點結構上; 經由該蓋結構移除該第一源極/汲極接點結構的一部分,以形成一氣隙;以及 形成一第二源極/汲極接點結構於該基板的一第二側上並接觸該源極/汲極區,其中該第二側與該第一側相對。
TW110129209A 2020-10-13 2021-08-09 半導體裝置的形成方法 TW202215557A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/069,344 2020-10-13
US17/069,344 US11404548B2 (en) 2020-10-13 2020-10-13 Capacitance reduction for backside power rail device

Publications (1)

Publication Number Publication Date
TW202215557A true TW202215557A (zh) 2022-04-16

Family

ID=80283077

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110129209A TW202215557A (zh) 2020-10-13 2021-08-09 半導體裝置的形成方法

Country Status (3)

Country Link
US (3) US11404548B2 (zh)
CN (1) CN114078765A (zh)
TW (1) TW202215557A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11404548B2 (en) * 2020-10-13 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitance reduction for backside power rail device
US11984401B2 (en) * 2021-06-22 2024-05-14 International Business Machines Corporation Stacked FET integration with BSPDN

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
JP7048182B2 (ja) * 2016-08-26 2022-04-05 インテル・コーポレーション 集積回路のデバイス構造及び両面製造技術
US11404548B2 (en) * 2020-10-13 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitance reduction for backside power rail device

Also Published As

Publication number Publication date
US20220115510A1 (en) 2022-04-14
US11901423B2 (en) 2024-02-13
US20240145562A1 (en) 2024-05-02
US11404548B2 (en) 2022-08-02
US20220359685A1 (en) 2022-11-10
CN114078765A (zh) 2022-02-22

Similar Documents

Publication Publication Date Title
US10262996B2 (en) Third type of metal gate stack for CMOS devices
US11532702B2 (en) Source/drain isolation structures for leakage prevention
US11502199B2 (en) Independent control of stacked semiconductor device
TW202215557A (zh) 半導體裝置的形成方法
US20240170337A1 (en) Dielectric liner for field effect transistors
TW202312248A (zh) 半導體結構的形成方法
US20220293760A1 (en) Epitaxial structure for source/drain contact
US20230361217A1 (en) Semiconductor device and method of manufacturing the same
TWI814272B (zh) 半導體元件及其形成方法
US11978773B2 (en) Formation method of semiconductor device structure with semiconductor nanostructures
US20230091229A1 (en) Bottom junction and contact area structures for vertical transport field-effect transistors
TW202117812A (zh) 半導體裝置的形成方法
US11476191B2 (en) Low resistance interconnect structure for semiconductor device
US12015060B2 (en) Structure and formation method of semiconductor device with backside contact
US20220336611A1 (en) Air inner spacers
US20220359372A1 (en) Low resistance interconnect structure for semiconductor device
US20230061022A1 (en) Contact structure for semiconductor device
US20230387001A1 (en) Conductive rail structure for semiconductor devices
TW202312498A (zh) 半導體結構
TWI521709B (zh) 半導體結構及積體電路之製造方法
TW202410151A (zh) 半導體結構、半導體裝置及半導體結構的製造方法
TW202347798A (zh) 半導體裝置