TW202312248A - 半導體結構的形成方法 - Google Patents

半導體結構的形成方法 Download PDF

Info

Publication number
TW202312248A
TW202312248A TW111117830A TW111117830A TW202312248A TW 202312248 A TW202312248 A TW 202312248A TW 111117830 A TW111117830 A TW 111117830A TW 111117830 A TW111117830 A TW 111117830A TW 202312248 A TW202312248 A TW 202312248A
Authority
TW
Taiwan
Prior art keywords
sacrificial layer
layer
etching process
etch
forming
Prior art date
Application number
TW111117830A
Other languages
English (en)
Inventor
張容浩
林佛儒
李芳葦
林立德
斌彥 林
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202312248A publication Critical patent/TW202312248A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本揭露描述一種半導體結構及其形成方法。方法可包括在基板上方形成鰭結構。鰭結構可包括第一犧牲層和第二犧牲層。方法可進一步包括在鰭結構的第一部分中形成凹陷結構,選擇性蝕刻鰭結構的第二部分的第二犧牲層上方的鰭結構的第二部分的第一犧牲層,以及在蝕刻的第一犧牲層上形成內間隙壁層,且暴露鰭結構的第二部分的第二犧牲層。

Description

半導體結構的形成方法
本揭露實施例是關於半導體結構及其形成方法,特別是關於用於全繞式閘極場效電晶體的內間隙壁及其形成方法。
半導體技術的進步增加了對具有更高存儲容量、更快處理系統、更高性能和更低成本的半導體裝置的需求。為了滿足這些需求,半導體行業不斷微縮半導體裝置的尺寸,例如奈米片場效電晶體(FET)。這種微縮增加了半導體製造製程的複雜性。
本揭露一些實施例提供一種半導體結構的形成方法,方法包括在基板上方形成鰭結構。鰭結構包括第一犧牲層和第二犧牲層。方法進一步包括在鰭結構的第一部分中形成凹陷結構,在鰭結構的第二部分的第二犧牲層上方選擇性蝕刻鰭結構的第二部分的第一犧牲層,以及在蝕刻的第一犧牲層上方形成內間隙壁層,且暴露鰭結構的第二部分的第二犧牲層。
本揭露另一些實施例提供一種半導體結構的形成方法,方法包括在基板上方形成第一犧牲層和第二犧牲層,形成凹陷結構以暴露第一犧牲層和第二犧牲層,選擇性蝕刻在暴露的第二犧牲層上方的暴露的第一犧牲層,以及形成內間隙壁層以覆蓋暴露的第二犧牲層上方的蝕刻的第一犧牲層。
本揭露又一些實施例提供一種半導體結構,半導體結構包括基板和形成在基板上方的鰭結構。鰭結構包括通道區和形成在通道區下方的埋藏介電層。半導體結構更包括形成在通道區上方的閘極結構,以及形成在鰭結構中並與閘極結構分離的第一源/汲極(S/D)區和第二源/汲極區。埋藏介電層與第一源/汲極區和第二源/汲極區接觸。
需注意的是,說明書中對「一個實施例」、「一實施例」、「一個示例實施例」、「示例性」等的引用表示所描述的實施例可以包括特定的特徵、結構或特性,但是每一個實施例可能不一定包括特定的特徵、結構或特性。此外,這些用語不一定指相同的實施例。此外,當結合實施例描述特定特徵、結構或特性時,無論是否明確描述,所屬技術領域中具有通常知識者將結合其他實施例來實現這樣的特徵、結構或特性。
應當理解,本文中的用語或術語是為了說明目的而非用以限制本揭露,使所屬技術領域中具有通常知識者根據本揭露的教導來解釋本說明書的術語或用語。
可在本文中使用與空間相關用語,例如「在…下方」、「之下」、「下」、「在…上方」、「上」及類似的用詞,以便於描述圖式中一個元件或部件與另一個(些)元件或部件之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),且在此使用的空間相關詞也可依此對應地解釋。
在一些實施例中,用語「約」、「實質上」通常表示在一給定數值的5%內的變化(例如,該數值的±1 %,±2 %,±3 %,±4 %,±5 %)。這些值僅是示例而不是限制性的。用語「約」和「實質上」可以指使所屬技術領域中具有通常知識者根據本揭露的教導所解釋的值的百分比。
與鰭式場效電晶體(finFET)或全繞式閘極(GAA)場效電晶體相關的鰭可通過任何合適的方法進行圖案化。舉例來說,可使用一道或多道微影製程對鰭進行圖案化,包括雙重圖案化製程或多重圖案化製程。雙重圖案和多重圖案製程可結合微影和自對準製程,從而允產生具有例如比使用單次、直接的微影製程可獲得的間距更小的間距的圖案。舉例來說,犧牲層形成在基板上方並使用微影製程圖案化。使用自對準製程在圖案化犧牲層旁邊形成間隙壁。然後移除犧牲層,然後可使用剩餘的間隙壁對鰭進行圖案化。
半導體產業的技術進步推動了對具有更高裝置密度、更高性能和更低成本的積體電路(IC)的追求。在積體電路的演進製程中,奈米片電晶體可替代平面場效電晶體和鰭式場效電晶體,實現更高裝置密度的積體電路。奈米片電晶體可使用全繞式閘極結構來圍繞每個奈米片通道層以減輕短通道效應。為了進一步提高奈米片電晶體的性能,奈米片電晶體可結合埋藏介電層以將奈米片通道層與基板物理分離,以進一步抑制基板漏電流。形成埋入式介電層的製程可包括(i)執行內間隙壁形成製程以形成內間隙壁以覆蓋頂部犧牲層(舉例來說,鍺原子比約為30%的矽鍺層),以及(ii)通過橫向蝕刻製程和沈積製程,用埋藏介電層取代底部犧牲層(舉例來說,鍺原子比約為15%的矽鍺層)。在橫向蝕刻製程中,當用埋藏介電層取代底部犧牲層時,內間隙壁可保護頂部犧牲層。然而,內間隙壁的形成可包括蝕刻製程,其蝕刻選擇比不足以蝕刻底部犧牲層上方的頂部犧牲層。因此,內間隙壁形成製程可能無意中形成內間隙壁以覆蓋底部犧牲層並阻礙埋藏介電層的形成,從而降低積體電路的可靠度和性能。
為應對上述挑戰,本揭露提出一種用於全繞式閘極場效電晶體(GAA FET)的內間隙壁的製造方法。形成內間隙壁的製程可包括在基板上方磊晶成長底部犧牲層以及在底部犧牲層上方磊晶成長頂部犧牲層。頂部犧牲層和底部犧牲層可為矽鍺層。此外,頂部犧牲層可具有比底部犧牲層更大的鍺原子濃度。形成內間隙壁的製程可進一步包括形成凹陷結構以暴露頂部犧牲層和底部犧牲層的側表面。形成內間隙壁的製程可進一步包括執行自由基蝕刻製程以選擇性蝕刻底部犧牲層上方的頂部犧牲層,蝕刻選擇比大於約5,例如從約5至約100。自由基蝕刻製程可用含氟蝕刻劑,例如含氟自由基進行。進一步地,自由基蝕刻製程可為無氫自由基蝕刻製程(hydrogen-free radical etching process),以確保頂部犧牲層和底部犧牲層之間的蝕刻反應有足夠的活化能差(舉例來說,大於約0.39eV)。由於自由基蝕刻製程提供了足夠的蝕刻選擇比,形成內間隙壁的製程可選擇性地在頂部犧牲層上形成內間隙壁。形成內間隙壁的製程之後,可暴露底部犧牲層,從而使得後續的取代製程(舉例來說,蝕刻製程和沈積製程)能夠以埋藏介電層取代底部犧牲層。本揭露的一個好處尤其是增加了圖案化用於全繞式閘極場效電晶體的埋藏介電層的良率,從而提高了積體電路的可靠度和性能。
根據一些實施例,參考第1和2圖描述,在基板102上方形成具有多個場效電晶體101的半導體裝置100。第1圖顯示根據一些實施例的半導體裝置100(半導體結構)的等軸測圖。第2圖顯示根據一些實施例的沿第1圖的切線B-B的半導體裝置100(半導體結構)的剖面圖(舉例來說,沿xz平面)。除非另有說明,否則第1和2圖中具有相同元件符號的元件的討論為相互適用。半導體裝置100可包括在微處理器、記憶體單元或其他積體電路(IC)中。此外,根據一些實施例,第1圖和第2圖中所示的每個場效電晶體101可為全繞式閘極場效電晶體(GAA FET)。
參考第1和2圖,基板102可為半導體材料,例如矽。在一些實施例中,基板102可包括結晶矽基板(舉例來說,晶圓)。在一些實施例中,基板102可包括(i)元素半導體,例如矽(Si)或鍺(Ge);(ii)化合物半導體,包括碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)及/或銻化銦(InSb);(iii)合金半導體,包括碳化矽鍺(SiGeC)、矽鍺(SiGe)、磷化鎵砷(GaAsP)、磷化銦鎵(InGaP)、砷化銦鎵(InGaAs)、磷化鎵銦砷(InGaAsP)、砷化鋁銦(InAlAs)及/或砷化鋁鎵(AlGaAs);或(iv)上述之組合。此外,基板102可根據設計要求(例如p型基板或n型基板)被摻雜。在一些實施例中,基板102可摻雜有p型摻質(舉例來說,硼(B)、銦(In)、鋁(Al)或鎵(Ga))或n型摻質(舉例來說,磷(P)或砷(As))。
場效電晶體101可包括沿x方向延伸的鰭結構108、沿y方向穿過鰭結構108的閘極結構110、以及形成在鰭結構108的部分上方的源/汲極(S/D)區124。儘管第1圖顯示容納兩個場效電晶體101的鰭結構108 ,但是可沿著鰭結構108設置任意數量的場效電晶體101。在一些實施例中,場效電晶體101可包括沿著第一水平方向(舉例來說,在x方向)延伸的多個鰭結構108和沿著第二水平方向(舉例來說,在y方向)穿過多個鰭結構108的閘極結構110。
鰭結構108可包括形成在基板102上方的緩衝區120。緩衝區120可由與基板102類似(舉例來說,晶格不匹配(lattice mismatch)在5%以內)的材料製成。在一些實施例中,緩衝區120可由與基板102相同的材料製成。在一些實施例中,緩衝區120可由矽(Si)或矽鍺(SiGe)製成。緩衝區120可為未摻雜的、摻雜有p型摻質、摻雜有n型摻質或摻雜本徵摻質(intrinsic dopant)。
鰭結構108可進一步包括在基板102上方形成的埋藏介電層140。在一些實施例中,埋藏介電層140可形成在基板102上方並與基板102接觸(上述實施例未在第2圖中顯示)。在一些實施例中,如第2圖所示,埋藏介電層140可形成在緩衝區120上方。通過在基板102及/或緩衝區120上形成埋藏介電層140,可減少流過緩衝區120及/或基板102的場效電晶體101的基板漏電流。埋藏介電層140可由任何合適的電性絕緣材料製成。在一些實施例中,埋藏介電層140可由氧化矽、氮化矽、或介電常數小於約3.9的低介電常數(低k)介電材料製成。埋藏介電層140可具有合適的厚度t 140(如第2圖所示),例如約10 nm。在一些實施例中,埋藏介電層140的中心部分(舉例來說,在閘極結構110下方)和邊緣部分(舉例來說,靠近源/汲極區124)可具有彼此實質相同的厚度t 140。基於本文的揭露內容,其他埋置介電層140的材料和厚度在本揭露的精神和範圍內。
鰭結構108可進一步包括形成在埋藏介電層140上方的一個或多個通道區122。通道區120可由與基板102相似的材料(舉例來說,5%以內的晶格不匹配)製成。在一些實施例中,通道區122可由矽(Si)或矽鍺(SiGe)製成。在一些實施例中,緩衝區120和通道區122都可摻雜p型摻質或摻雜有n型摻質。在一些實施例中,通道區122可被閘極結構110包裹以用作場效電晶體101的通道。舉例來說,通道區122的頂面、側表面和底面可被閘極結構110包圍並且與閘極結構110物理接觸。在一些實施例中,通道區122(舉例來說,第1和2圖中所示的最底部的通道區122)可被閘極結構110和埋藏介電層140包裹。通道區122可具有靠近相鄰的源/汲極區124的厚度t 122A(舉例來說,從約8  nm至約13 nm)和遠離源/汲極區124的厚度t 122B(舉例來說,從約10 nm至約15 nm)。在一些實施例中,由於在操作315(下文討論)執行的自由基蝕刻製程的通道區122和第一犧牲層422之間的蝕刻選擇比,厚度t 122B可大於或實質上等於厚度t 122A。在一些實施例中,如第2圖所示,通道區122的底面的水平(舉例來說,在x方向上)尺寸可大於通道區122的頂面的水平尺寸。在一些實施例中,如第2圖所示,最底部的通道區122的底面的水平(舉例來說,在x方向)尺寸可大於另一個通道區122的(舉例來說,最底部的通道區122上方形成的另一個通道區122)的底面的水平尺寸。在一些實施例中,如第2圖所示,埋藏介電層的底面的水平(舉例來說,在x方向)尺寸140可大於通道區122的底面的水平尺寸。基於本文的揭露內容,其他通道區122的材料和厚度在本發明的精神和範圍內。
閘極結構110可為多層結構(第1和2圖中未顯示),其環繞通道區122和埋藏介電層140以調變場效電晶體101。閘極結構110可具有合適的長度L 110,例如從約15 nm至約50 nm,代表場效電晶體101的通道長度。閘極結構110可包括閘極介電層(第1和2圖中未顯示)和設置在閘極介電層上的閘電極(第1和2圖中未顯示)。閘極介電層可包括具有可為場效電晶體101提供通道調變的任何合適厚度的任何合適的介電材料。在一些實施例中,閘極介電層可由氧化矽或高k介電材料(舉例來說,氧化鉿或氧化鋁)製成。在一些實施例中,閘極介電層可具有範圍從約1 nm至約5 nm的厚度。基於本文的揭露,用於閘極介電層的其他材料和厚度也在本揭露的精神和範圍內。閘電極可用作場效電晶體101的閘極端。閘電極可包括提供合適的功函數來調變場效電晶體101的任何合適的導電材料。在一些實施例中,閘電極可由氮化鈦、氮化鉭、氮化鎢、鈦、鋁、銅、鎢、鉭、或鎳製成。基於本文的揭露,用於閘電極的其他材料也在本揭露的精神和範圍內。
源/汲極區124可形成在通道區122的相對側(舉例來說,沿x方向)、閘極結構110的相對側以及埋藏介電層140的相對側上。源/汲極區124可與通道區122和埋藏介電層140物理接觸。源/汲極區124可由與通道區122類似(舉例來說,晶格不匹配在5%以內)的磊晶成長的半導體材料製成。在一些實施例中,源/汲極區124可由矽(Si)、鍺(Ge)、矽鍺(SiGe)、砷化銦鎵(InGaAs) 、或砷化鎵(GaAs)製成。源/汲極區124可摻雜p型摻質、n型摻質或本徵摻質。在一些實施例中,源/汲極區124可具有與通道區122不同的摻雜類型。
半導體裝置100可進一步形成在閘極結構110和源/汲極區124之間的閘極間隙壁104。在一些實施例中,閘極間隙壁104可進一步形成在鰭結構108的側表面上方。閘極間隙壁104可由任何合適的介電材料製成。在一些實施例中,閘極間隙壁104可由氧化矽、氮化矽或介電常數小於約3.9的低k材料製成。在一些實施例中,閘極間隙壁104可具有合適的厚度t 104,例如從約5 nm至約15 nm。基於本文的揭露內容,其他閘極間隙壁104的材料和厚度在本揭露的精神和範圍內。
半導體裝置100可進一步包括淺溝槽隔離(STI)區138以提供鰭結構108之間的電性隔離。此外,淺溝槽隔離區138可提供場效電晶體101與與基板102積集或沉積在基板102上的相鄰主動元件和被動元件(第1和2圖中未顯示)之間的電性隔離。淺溝槽隔離區138可包括一層或多層介電材料,例如氮化物層、設置在氮化物層上的氧化物層和設置在氮化物層上的絕緣層。在一些實施例中,絕緣層可包括氧化矽、氮化矽、氮氧化矽、摻氟矽酸鹽玻璃(FSG)、低k介電材料及/或其他合適的絕緣材料。基於本文的揭露,淺溝槽隔離區138的其他介電材料屬於本揭露的精神和範圍內。
半導體裝置100可進一步包括層間介電(ILD)層130以向其圍繞或覆蓋的結構元件提供電性隔離,例如閘極結構110和源/汲極區124。在一些實施例中,閘極間隙壁104可形成在閘極結構110和層間介電層130之間。層間介電層130可包括任何合適的介電材料以提供電性絕緣,例如氧化矽、二氧化矽、碳氧化矽、氮氧化矽、氮碳氧化矽和碳氮化矽。層間介電層130可具有任何合適的厚度,例如從約50 nm至約200 nm,以提供電性絕緣。基於本文的揭露,層間介電層130的其他絕緣材料和厚度屬於本揭露的精神和範圍內。
半導體裝置100還可包括形成為突出到鰭結構108中的內間隙壁160。內間隙壁160可將閘極結構110與源/汲極區124分開。舉例來說,可在閘極結構110的沿場效電晶體101的通道方向(舉例來說,沿x方向)的相對側形成內間隙壁160 ,以將閘極結構110與源/汲極區124分離。在一些實施例中,內間隙壁160可形成在兩個垂直(舉例來說,在z方向上)相鄰的通道區122之間。內間隙壁160還可具有靠近閘極結構110的前表面160F。在一些實施例中,術語“垂直的”或“垂直地”可意指名義上垂直於基板的表面。在一些實施例中,前表面160F可與閘極結構110實質上共面。在一些實施例中,前表面160F可為實質上平坦的表面或彎曲的表面。內間隙壁160還可具有靠近源/汲極區124的後表面160B。在一些實施例中,後表面160B可與源/汲極區124實質上共面。在一些實施例中,後表面160B可為實質上平坦的表面或彎曲的表面。在一些實施例中,後表面160B可為相對於內間隙壁160的垂直(舉例來說,在z方向上)鄰近通道區122的側表面122S的凹進表面。內間隙壁160可由任何合適的絕緣材料製成,例如低k介電材料,以將閘極結構110與源/汲極區124電性分離。在一些實施例中,內間隙壁160可由氮化矽、氧氮化矽(SiON)、碳氧化矽(SiOC)、氮碳化矽(SiCN)、氮碳氧化矽(SiOCN)和碳氮氧化矽(SiONC)製成。基於本文的揭露內容,用於內間隙壁160的其他材料也在本揭露內容的精神和範圍內。
第3圖是根據一些實施例的用於製造半導體裝置100(半導體結構)的方法300的流程圖。為了說明的目的,將參考用於製造如第1和2圖所示的半導體裝置100的示例製造製程來描述第3圖所示的操作。第4和5圖顯示根據一些實施例的半導體裝置100(半導體結構)在其製造的各個階段的等軸測圖。第6-17圖顯示根據一些實施例的沿第5圖的結構(半導體裝置100)線B-B在其製造的各個階段的剖面圖。操作可根據特定的應用程序以不同的順序執行或不執行。方法300可能不會產生完整的半導體裝置100。因此,應當理解,可在方法300之前、期間及/或之後提供額外的製程,並且可在本文中簡要描述一些其他製程。此外,除非另有說明,否則第1、2和4-17圖中的具有相同元件符號的元件的討論為相互適用。
參考第3圖,在操作305中,在基板上方形成具有第一犧牲層和第二犧牲層的鰭結構。舉例來說,如第4圖所示,可在基板102上方形成具有第一犧牲層422和第二犧牲層440的鰭結構108。形成鰭結構108的製程可包括(i)提供基板102;(ii)在基板102上磊晶成長厚度為t 140的第二犧牲層440;(iii)在第二犧牲層440上方磊晶成長厚度為t 122B的通道區122和具有合適厚度t 422的犧牲層422,例如從約5 nm至約10 nm,的交替層堆疊;(iv)使用蝕刻製程,通過圖案化遮罩層(第4圖中未顯示)蝕刻通道區122、第一犧牲層422、第二犧牲層440和基板102。在一些實施例中,厚度t 422可實質上等於厚度t 140
第一犧牲層422和第二犧牲層440可由不同於通道區122並且類似於(舉例來說,晶格不匹配在5%內)基板102的材料製成。在一些實施例中,第一犧牲層422和第二犧牲層440可由矽鍺(SiGe)製成,並且通道區122可由矽(Si)製成。在一些實施例中,第一犧牲層422和第二犧牲層444可具有比通道區122的鍺原子百分比更大的鍺原子百分比。此外,第一犧牲層422和通道區122可由具有彼此不同的鍺原子百分比的矽鍺(SiGe)製成。因此,第一犧牲層422在形成內間隙壁的製程期間可具有與第二犧牲層440不同的蝕刻選擇比(在操作320中討論)。在一些實施例中,第一犧牲層422(舉例來說,Si 0.7Ge 0.3)可具有比第二犧牲層440 (舉例來說,Si 0.85Ge 0.15)更大的鍺原子百分比,使得第二犧牲層440上方的第一犧牲層422在形成內間隙壁的製程中(在操作320處討論)可被選擇性蝕刻。在一些實施例中,第一犧牲層422可具有比第二犧牲層440高約5%至約25%、約10%至約25%、約10%至約20%、或從約 10%至約15%的鍺原子百分比。如果第一犧牲層422和第二犧牲層440之間的鍺原子百分比的差異低於上述下限時,第一犧牲層422和第二犧牲層440之間的蝕刻選擇比可能不足以形成埋藏介電層140(在操作320和325中討論)。如果第一犧牲層422和第二犧牲層440之間的鍺原子百分比的差異超過上述上限,則第一犧牲層440和第二犧牲層440之間的晶格不匹配可能導致通道區122中的結晶缺陷,因此導致半導體裝置100的裝置故障。
通道區122、第一犧牲層422和第二犧牲層440可使用任何合適的磊晶成長製程來磊晶成長,例如化學氣相沉積(CVD)製程、低壓化學氣相沉積(LPCVD)製程、快速熱化學氣相沉積(RTCVD)製程、金屬有機化學氣相沉積(MOCVD)製程、原子層化學氣相沉積(ALCVD)製程、超高真空化學氣相沉積(UHVCVD)製程、減壓化學氣相沉積(RPCVD)製程、分子束磊晶(MBE)製程、循環沉積蝕刻(CDE)製程和選擇性磊晶成長(SEG)製程。基於本文的揭露,用於通道區122、第一犧牲層422和第二犧牲層440的其他材料、厚度和磊晶成長製程在本揭露的精神和範圍內。
用於移除通道區122、第一犧牲層422、第二犧牲層440和基板102的蝕刻製程可包括乾蝕刻製程或濕蝕刻製程以定義具有合適寬度W 108的鰭結構108和緩衝區120 ,例如如從約5 nm至約50 nm。在一些實施例中,乾蝕刻製程可包括使用任何合適的蝕刻劑,例如含氧氣體、含氟氣體、含氯氣體和含溴氣體,並且濕蝕刻製程可包括蝕刻在任何合適的濕蝕刻劑中,例如稀氫氟酸、氫氧化鉀溶液、氨水和硝酸。基於本文的揭露,鰭結構108的其他寬度和蝕刻製程在本揭露的精神和範圍內。
參考第3圖,在操作310中,在鰭結構中形成凹陷結構以暴露第一犧牲層和第二犧牲層的側表面。舉例來說,可在鰭結構108中形成凹陷結構636(如第6圖所示)以暴露通道區122的側表面122S、第一犧牲層422的側表面422S和第二犧牲層440的側表面440S,參考第5和6圖。形成凹陷結構636的製程可包括(i)使用沉積製程和回蝕刻製程在蝕刻的基板102上方形成淺溝槽隔離區138(第5圖所示);(ii)在鰭結構108上方形成長度為L 110的犧牲閘極結構510(如第5圖所示);(iii)通過犧牲閘極結構510移除鰭結構108以形成凹陷結構636。
用於形成淺溝槽隔離區138的沉積製程可包括任何合適的成長製程,例如物理氣相沉積(PVD)製程、化學氣相沉積製程、高密度電漿(HDP)化學氣相沉積製程、流動式化學氣相沉積(FCVD)製程、和原子層沉積(ALD)製程。用於形成淺溝槽隔離區138的回蝕刻製程可包括乾蝕刻製程、濕蝕刻製程或研磨製程,例如化學機械研磨(CMP)製程。基於本文的揭露,用於形成淺溝槽隔離區138的其他製程也在本揭露的精神和範圍內。
形成犧牲閘極結構510的製程可包括(i)使用合適的沉積製程,例如化學氣相沉積製程、物理氣相沉積製程和原子層沉積製程,在鰭結構108上方毯覆沉積具有合適厚度的介電層506,例如從約1 nm至約5 nm;(ii)使用合適的沉積製程,例如化學氣相沉積製程、物理氣相沉積製程和原子層沉積製程,在介電層506上方毯覆沉積多晶矽層(第5圖中未顯示)和硬遮罩層;(iii)利用蝕刻製程,通過圖案化遮罩層(第5圖中未顯示)移除介電層506、多晶矽層和硬遮罩層;(iv)在多晶矽層的側表面及/或鰭結構108的側表面上使用合適的沉積製程和蝕刻製程形成具有厚度t 104的閘極間隙壁104,例如從約1 nm至約5 nm。基於本文的揭露,用於形成閘極結構510的其他製程也在本揭露的精神和範圍內。
參考第6圖,在形成犧牲閘極結構410之後,可使用蝕刻製程,通過犧牲閘極結構510和閘極間隙壁104移除通道區122、第一犧牲層422、第二犧牲層440和基板102來形成凹陷結構636。製程。蝕刻製程可包括乾蝕刻製程或濕蝕刻製程。在一些實施例中,蝕刻製程可為時間蝕刻製程(time-etching process)。在一些實施例中,乾蝕刻製程可包括使用任何合適的蝕刻劑,例如含氧氣體、含氟氣體、含氯氣體和含溴氣體,並且濕蝕刻製程可包括蝕刻在任何合適的濕蝕刻劑中,例如稀氫氟酸、氫氧化鉀溶液、氨水和硝酸。如第6圖所示,所得的凹陷結構636可暴露鰭結構108的側表面,例如暴露第一犧牲層422的側表面422S,暴露第二犧牲層440的側面440S,並暴露通道區122的側面122S。此外,所得的凹陷結構636可暴露閘極間隙壁104的側表面。在一些實施例中,所得凹陷結構636可暴露緩衝區120的側表面。在一些實施例中,由操作310形成的側表面422S、 440S和122S可實質上彼此共面。
參考第3圖,在操作315(在由凹陷結構暴露的第二犧牲層上方選擇性蝕刻第一犧牲層)中,在由凹陷結構暴露的第二犧牲層上方選擇性蝕刻由凹陷結構暴露的第一犧牲層。舉例來說,如第7圖所示,通過對第6圖的結構執行自由基蝕刻製程,可在由凹陷結構636暴露的第二犧牲層440上選擇性蝕刻由凹陷結構636暴露的第一犧牲層422。自由基蝕刻製程可以橫向(舉例來說,沿x方向)蝕刻深度S 422蝕刻第一犧牲層422。在一些實施例中,橫向蝕刻深度S 422可實質上等於閘極間隙壁(間隙壁)104的厚度t 104,使得在方法300之後形成在通道區122上方的閘極結構110和形成在通道區122之間的閘極結構110可具有彼此實質上相同的長度L 110。自由基蝕刻製程可以橫向蝕刻深度S 440來最小程度地蝕刻第二犧牲層422。在一些實施例中,橫向蝕刻深度S 440可小於約1.5 nm。如果橫向蝕刻深度S 440超出上述上限,內間隙壁160(在操作320中形成)可形成在第二犧牲層422的側表面422S上方,從而在操作325中抑制埋藏介電層的形成。
在一些實施例中,橫向蝕刻深度S 422對橫向蝕刻深度S 440的比值可從約10至約 100。如果橫向蝕刻深度S 422對橫向蝕刻深度S 440的比值低於上述下限時,可在第二犧牲層422的側表面422S上方形成內間隙壁160(在操作320中形成),從而在操作325中抑制埋藏介電層的形成。如果橫向蝕刻深度S 422對橫向蝕刻深度S 440的比值超出上述上限,則自由基蝕刻製程可能導致蝕刻深度S422增加,從而導致在通道區122之間形成的閘極結構110具有不足以控制場效電晶體101的通道區122的閘極長度。在一些實施例中,橫向蝕刻深度S 422對橫向蝕刻深度S 440的比值可實質上等於通過自由基蝕刻製程蝕刻第一犧牲層422的蝕刻速率對通過自由基蝕刻製程蝕刻第二犧牲層440的蝕刻速率的比值。在一些實施例中,通過自由基蝕刻製程蝕刻第一犧牲層422的蝕刻速率對蝕刻第二犧牲層440的蝕刻速率的比值可稱為在自由基蝕刻製程中第二犧牲層440上方的第一犧牲層422的蝕刻選擇比。
在一些實施例中,在操作315執行的自由基蝕刻製程可選擇性蝕刻通道區122上方的第一犧牲層422 ,從而在操作315之後導致厚度t 122B大於或實質等於厚度t 122A。在一些實施例中,厚度t 122B和厚度t 122A之間的差值可實質上等於橫向蝕刻深度S 440
執行自由基蝕刻製程以選擇性蝕刻第二犧牲層440上方的第一犧牲層422的製程可包括(i)提供包含鹵素元素的製程氣體,例如包含氟的製程氣體,(ii)提供惰性氣體,例如氬氣(Ar),與製程氣體混合;(iii)通過遙控電漿源對混合製程氣體和惰性氣體進行激發製程、解離製程及/或電離製程以產生含有鹵素元素的自由基。在一些實施例中,產生的自由基可為無離子自由基(舉例來說,電荷中性自由基)。產生的自由基可與第6圖的鰭結構108的被凹陷結構636暴露的側表面(舉例來說,側表面422S)反應,以選擇性蝕刻第二犧牲層440上方的第一犧牲層422並選擇性蝕刻通道區122上方的第一犧牲層422 .在一些實施例中,製程氣體可包括三氟化氮(NF 3)、氟氣(F 2)、四氟化碳(CF 4)或六氟化硫(SF 6),其中製程氣體中包含的相應鹵素元素可為氟元素(F)。因此,各個產生的自由基可為基於氟的自由基,例如NF 3*、NF 2*、NF*、F*和F 2*。如前所述,第一犧牲層422和通道區122可由具有彼此不同的鍺原子百分比的矽鍺(SiGe)製成。舉例來說,第一犧牲層422(舉例來說,Si 0.7Ge 0.3)可具有比第二犧牲層440(舉例來說,Si 0.85Ge 0.15)更大的鍺原子百分比。產生的自由基(舉例來說,上述含氟自由基)可與富鍺(舉例來說,鍺的原子濃度大於約20%)表面反應(舉例來說,與第一犧牲層422的側表面422S反應)以低於與貧鍺(舉例來說,鍺的原子濃度小於約20%)表面(舉例來說,與第二犧牲層440的側表面440S反應)反應活化能(舉例來說,約3.9 eV)的活化能(舉例來說,約0 eV)以形成揮發性副產物(舉例來說,氟化鍺)。然後可從犧牲層422蒸發揮發性副產物(舉例來說,氟化鍺),從而減小第一犧牲層422的體積。上述活化能差(舉例來說,約3.9eV)可確保蝕刻富鍺表面(例如蝕刻第一犧牲層422)之比蝕刻貧鍺表面(例如蝕刻第一犧牲層422及/或蝕刻通道區122)更大的蝕刻速率。
在一些實施例中,自由基蝕刻製程可為僅採用單一種類的鹵素來選擇性蝕刻第二犧牲層440上方的第一犧牲層422的蝕刻製程。舉例來說,自由基蝕刻製程可包括提供一種或多種製程氣體,一種或多種製程氣體(舉例來說,三氟化氮(NF 3)和氟氣(F 2) )中的每一種僅包括氟元素。如果一種或多種製程氣體包含另一種鹵素物質,例如氯(Cl),則自由基蝕刻製程可另外包括可降低上述活化能差異的其他鹵素基自由基(舉例來說,氯基自由基),從而降低自由基蝕刻製程中第一犧牲層422和第二犧牲層440之間的蝕刻選擇比。
在一些實施例中,自由基蝕刻製程可為無氫蝕刻製程以選擇性蝕刻第二犧牲層440上方的第一犧牲層422。意即,自由基蝕刻不使用化學式包括氫的三氟甲烷(CHF 3)等含氫製程氣體來蝕刻第一犧牲層422。如果自由基蝕刻製程的製程氣體包含氫,則自由基蝕刻製程可額外包含氫基自由基(舉例來說,H或H 2自由基),其可能降低上述活化能差異,從而降低自由基蝕刻製程中的第一犧牲層422和第二犧牲層440兩者之間的蝕刻選擇比。
在一些實施例中,在自由基蝕刻製程期間製程氣體的流速(舉例來說,三氟化氮(NF 3)的流速)與惰性氣體的流速(舉例來說,氬氣(Ar)的流速)的比值可為約0.05至約1。如果自由基蝕刻製程中的製程氣體流速(例如三氟化氮(NF 3)的流速)與惰性氣體的流速(例如氬氣(Ar)的流速)的比值高於這些上限,自由基蝕刻製程可能沒有足夠的惰性氣體氣體以解離製程氣體的分子以形成含鹵素的自由基(舉例來說,基於氟的自由基),從而降低自由基蝕刻製程的整體蝕刻速率。如果在自由基蝕刻製程中製程氣體的流速(舉例來說,三氟化氮(NF 3)的流速)與惰性氣體的流速(舉例來說,氬氣(Ar)的流速)的比值低於這些下限,則自由基蝕刻製程的蝕刻選擇比可能會因為含鹵素自由基不足而下降。
在一些實施例中,在約-90°C至約30°C或約-90°C至約15°C的操作溫度(舉例來說,第6圖的半導體裝置100的溫度)下執行自由基蝕刻製程。如果執行自由基蝕刻製程的操作溫度低於上述下限,則自由基蝕刻製程蝕刻第一犧牲層422的蝕刻速率可能會降低。如果進行自由基蝕刻製程的操作溫度超過上述上限,自由基蝕刻製程中的自由基可從操作溫度獲得足夠的熱能以克服上述活化能差(舉例來說,約0.39 eV)與第二犧牲層440發生劇烈反應,從而降低自由基蝕刻製程中第一犧牲層422和第二犧牲層440之間的蝕刻選擇比。
參考第3圖,在操作320中,在蝕刻的第一犧牲層上方形成內間隙壁。舉例來說,可在第一犧牲層422的側表面422S上方形成內間隙壁160(第9圖所示),且暴露第二犧牲層440的側表面440S。如第8圖中所示,形成內間隙壁160的製程可包括在凹陷結構636中的第一犧牲層422的側表面422S上方、第二犧牲層440的側表面440S上方和通道區122的側表面122S上方使用沉積製程,例如化學氣相沉積製程、物理氣相沉積製程和原子層沉積製程毯覆沉積介電層760。介電層760可具有合適的厚度,例如從1 nm至約5 nm,以順應介電第7圖的鰭結構108的頂面和側表面。在一些實施例中,沉積的介電層760可具有在凹陷結構636中彼此水平(舉例來說,在x方向)分離的兩個相對側表面。
如第9圖所示,形成內間隙壁160的製程可進一步包括執行乾蝕刻製程以蝕刻介電層760,以在第一犧牲層422的側表面422S上方定義內間隙壁160,且第二犧牲層440的側表面440S和通道區122的側表面122S暴露於凹陷結構636。在一些實施例中,用於蝕刻介電層760的乾蝕刻製程可為無電漿的乾蝕刻製程(舉例來說,提供乾蝕刻劑氣體以與介電層760發生化學反應而不施加射頻功率以產生離子)。在一些實施例中,乾蝕刻製程可為自由基蝕刻製程。此外,由於在操作315執行的自由基蝕刻製程可導致可忽略的橫向蝕刻深度S 440(舉例來說,小於約3 nm,如前所述),用於形成內間隙壁160的乾蝕刻製程可從第二犧牲層440的側表面440S完全移除介電層760,且第一犧牲層422的側表面422S被內間隙壁160覆蓋。因此,在操作320形成內間隙壁160之後,可暴露側表面440S。在一些實施例中,內間隙壁160的橫向(舉例來說,沿x方向)厚度可實質上等於在操作315由自由基蝕刻製程定義的橫向蝕刻深度S 422。在一些實施例中,用於蝕刻介電層760的乾蝕刻製程可以約5至約50、約5至約30、或約5至約20的蝕刻選擇比選擇性蝕刻通道區122上方的介電層760。如果上述蝕刻選擇比低於上述下限,通道區122的側面122S可能會被乾/濕蝕刻製程損壞,從而導致場效電晶體101的漏電流。如果上述蝕刻選擇比超出上述上限,則所得內間隙壁160的厚度可能不足以避免場效電晶體101的閘極和源/汲極端之間的漏電流。
參考第3圖,在操作325中,以埋藏介電層取代第二犧牲層。舉例來說,可用第12圖的埋藏介電層140取代第9圖的第二介電層440,參考第10-12圖。如第10圖所示,用埋藏介電層140取代第二犧牲層440的製程可包括通過執行蝕刻製程以選擇性地移除通道區122上方的第二犧牲層440,在最底部的通道區122和緩衝區120之間形成空腔結構1040。空腔結構1040可連接通道區122的相對側(舉例來說,在x方向上)的凹陷結構636,且通道區122和第一犧牲層422在y方向上由犧牲閘極結構510錨定(第10圖中未顯示)。如前所述,第二犧牲層440(例如矽鍺(SiGe)層,例如Si 0.85Ge 0.15)可具有比通道區122(例如矽(Si)層)更高的鍺原子濃度。用於形成空腔結構1040的蝕刻製程可包括乾蝕刻製程或選擇性蝕刻矽(Si)上方的矽鍺(SiGe)的濕蝕刻製程。用於形成空腔結構1040的乾蝕刻製程或濕蝕刻製程不會移除第一犧牲層422,因為第一犧牲層422受到內間隙壁160的保護。在一些實施例中,用於形成空腔結構1040的乾蝕刻製程可包括應用氟化氫(HF)/氟氣(F 2)的氣體混合物,或三氟化氮(NF 3)和氫自由基(H*)的混合物。在一些實施例中,用於形成空腔結構1040的濕蝕刻製程可包括施加硫酸(H 2SO 4)和過氧化氫(H 2O 2)的混合物(SPM),或氫氧化氨(NH 4OH)與過氧化氫(H 2O 2)的混合物(APM)。
如第11圖所示,用埋藏介電層140取代第二犧牲層440的製程可進一步包括在內間隙壁160上方和第10圖的結構的通道區122的側表面122S上方,使用例如化學氣相沉積製程、物理氣相沉積製程和原子層沉積製程的沉積製程毯覆沉積介電層1140,以填充空腔結構1040。在一些實施例中,沉積的介電層1140可具有在凹陷結構636中彼此水平(例如,在x方向)分離的兩個相對側表面。
如第12圖所示,以埋入式介電層140取代第二犧牲層440的製程可進一步包括執行乾蝕刻製程以蝕刻凹陷結構636中的部分介電層1140,以暴露內間隙壁160和通道區122的側表面122S,佔據空腔結構1040的介電層1140的另一部分被定義為埋藏介電層140。在一些實施例中,用於蝕刻介電層1140的乾蝕刻製程可為無電漿的乾蝕刻製程(舉例來說,提供乾蝕刻劑氣體以與介電層1140發生化學反應而不施加射頻功率以產生離子)。在一些實施例中,乾蝕刻製程可為自由基蝕刻製程。在一些實施例中,用於蝕刻介電層1140的乾蝕刻製程可以約5至約50、約5至約30或約5至約20的蝕刻選擇比選擇性蝕刻通道區122上方的介電層1140。如果上述蝕刻選擇比低於上述下限,通道區122的側面122S可能會被乾/濕蝕刻製程損壞,從而導致場效電晶體101的漏電流。如果上述蝕刻選擇比超出上述上限,則所得介電埋藏層140可能具有不足的水平(舉例來說,在x方向)尺寸以避免場效電晶體101的源極和汲極端之間的漏電流。
參考第3圖,在操作330中,形成源/汲極(S/D)區和金屬閘極結構。舉例來說,如第1和2圖所示,源/汲極區124可形成在內間隙壁160和通道區122上方,並且閘極結構110可形成在鰭結構108上方,參考第13-17圖。如第13圖所示,形成源/汲極區124的製程可包括使用磊晶成長製程,例如化學氣相沉積(CVD)製程、低壓化學氣相沉積(LPCVD)製程、快速熱化學氣相沉積(RTCVD)製程、金屬有機化學氣相沉積(MOCVD)製程、原子層化學氣相沉積(ALCVD)製程、超高真空化學氣相沉積(UHVCVD)製程、減壓化學氣相沉積(RPCVD)製程、分子束磊晶(MBE)製程、循環沉積蝕刻(CDE)製程和選擇性磊晶成長(SEG)製程。可使用合適的前驅物來執行磊晶成長製程,例如矽烷(SiH 4)、乙矽烷(Si 2H 6)、二氯矽烷(DCS)和鍺烷(GeH 4)。磊晶成長製程可進一步包括使用合適的摻質前驅物摻雜源/汲極區124,例如乙硼烷(B 2H 6)、三氟化硼(BF 3)、磷化氫(PH 3)和砷化氫(AsH 3)。因此,所得到的源/汲極區124可成長在犧牲閘極結構510和閘極間隙壁104下方的通道區122上方並與通道區122接觸。所得到的源/汲極區124可進一步成長在被兩個垂直(舉例來說,在z方向上)通道區122垂直(舉例來說,在z方向上)夾在中間的內間隙壁160上方並與內間隙壁160接觸。所得到的源/汲極區124可進一步成長在埋藏介電層140上方並與埋藏介電層140接觸,埋藏介電層140被最底部的通道區122和緩衝區120垂直(舉例來說,在z方向上)夾在中間。基於本文的揭露,用於形成源/汲極區124的其他磊晶成長製程也在本揭露的精神和範圍內。
參考第14-17圖,形成閘極結構110的製程可包括(i)使用合適的沉積製程,例如物理氣相沉積製程和化學氣相沉積製程,以及合適的回蝕刻製程,例如化學機械研磨(CMP)製程形成與第13圖的犠牲閘極結構510共面的層間介電層130(如第14圖所示);(ii)使用蝕刻製程移除犧牲閘極結構510以形成凹陷結構1536(如第15圖所示)以暴露介電層506;(iii)使用蝕刻製程移除介電層506以暴露第一犧牲層(犧牲層)422。在一些實施例中,用於形成凹陷結構1536的蝕刻製程可包括使用氯、氟或溴作為氣體蝕刻劑的乾蝕刻製程。在一些實施例中,用於形成凹陷結構1536的蝕刻製程可包括使用氫氧化銨(NH 4OH)、氫氧化鈉(NaOH)或氫氧化鉀(KOH)作為濕蝕刻劑的濕蝕刻製程。在一些實施例中,用於移除介電層506的蝕刻製程可包括使用氯、氟或溴作為氣體蝕刻劑的乾蝕刻製程。在一些實施例中,用於移除介電層506的蝕刻製程可包括使用氟化氫(HF)作為濕蝕刻劑的濕蝕刻製程。
形成閘極結構110的製程可進一步包括(i)使用電漿蝕刻製程或自由基蝕刻製程移除第15圖的犧牲層422以形成凹陷結構1601(如第16圖所示);(ii)在第16圖的凹陷結構1536和1601中使用合適的沉積製程,例如原子層沉積製程和化學氣相沉積製程,來填充閘極結構110(如第17圖所示),例如閘極介電層(第17圖未顯示)和閘電極(第17圖未顯示);(iii)使用合適的回蝕刻製程(例如化學機械研磨製程)將第17圖的填充的閘極結構110與層間介電層130共平面,以定義第2圖的閘極結構110。基於本文的揭露,用於形成閘極結構110的其他製程在本發明的精神和範圍內。
本揭露提供了一種示例性電晶體內間隙壁及其形成方法。形成內間隙壁的方法可包括形成鰭結構,上述鰭結構包括頂部犧牲層和底部犧牲層。頂部犧牲層可具有比底部犧牲層更高的鍺原子濃度。形成內間隙壁的方法可進一步包括執行自由基蝕刻製程以選擇性蝕刻鰭結構處的底部犧牲層上方的頂部犧牲層。自由基蝕刻製程可為無氫自由基蝕刻製程。此外,自由基蝕刻製程可應用含氟自由基,例如氟自由基,以低於與底部犧牲層反應的活化能與頂部犧牲層反應。因此,自由基蝕刻製程可選擇性蝕刻底部犧牲層上方的頂部犧牲層,蝕刻選擇比大於約5,例如約5至約100。上述增強的蝕刻選擇比允許自由基蝕刻製程選擇性凹陷頂部犧牲層的側表面而不損壞底部犧牲層的側表面。因此,形成內間隙壁的方法可選擇性地圖案化頂部犧牲層上方的內間隙壁,且暴露底部犧牲層。在形成內間隙壁的製程之後,暴露的底部犧牲層可與後續蝕刻製程的蝕刻劑反應以被埋藏介電層取代。本發明的一個優點在於提供一種無氫自由基蝕刻方法,以選擇性地在頂部犧牲層上形成內間隙壁,以提高圖案化埋藏介電層的良率和可靠度,從而提高半導體裝置的可靠度和性能。
在一些實施例中,一種半導體結構的方法可包括在基板上方形成鰭結構。鰭結構可包括第一犧牲層和第二犧牲層。方法可進一步包括在鰭結構的第一部分中形成凹陷結構,在鰭結構的第二部分的第二犧牲層上方選擇性蝕刻鰭結構的第二部分的第一犧牲層,以及在蝕刻的第一犧牲層上方形成內間隙壁層,且暴露鰭結構的第二部分的第二犧牲層。
在一些實施例中,選擇性蝕刻第二犧牲層上方的第一犧牲層包括執行自由基蝕刻製程,以約10至約100的蝕刻選擇比來選擇性蝕刻第二犧牲層上方的第一犧牲層。
在一些實施例中,選擇性蝕刻第二犧牲層上方的第一犧牲層包括執行無氫自由基蝕刻製程,以選擇性蝕刻在凹陷結構暴露的第二犧牲層上方的凹陷結構暴露的第一犧牲層。
在一些實施例中,進行無氫自由基蝕刻製程包括流動含氟製程氣體。
在一些實施例中,選擇性蝕刻第二犧牲層上方的第一犧牲層包括在從約-90℃至約15℃的溫度下執行蝕刻製程,以選擇性蝕刻在凹陷結構暴露的第二犧牲層上方的凹陷結構暴露的第一犧牲層。
在一些實施例中,形成鰭結構包括形成具有第一鍺原子濃度的第一犧牲層以及形成具有小於第一鍺原子濃度的一第二鍺原子濃度的第二犧牲層。
在一些實施例中,形成內間隙壁層包括:分別在第一犧牲層和第二犧牲層的第一側表面和第二側表面上形成介電層;以及蝕刻介電層以暴露第二側表面,且第一側表面被介電層遮蔽。
在一些實施例中,一種半導體結構的形成方法可包括在基板上方形成第一犧牲層和第二犧牲層,形成凹陷結構以暴露第一犧牲層和第二犧牲層,選擇性蝕刻在暴露的第二犧牲層上方的暴露的第一犧牲層,以及形成內間隙壁層以覆蓋暴露的第二犧牲層上方的蝕刻的第一犧牲層。
在一些實施例中,選擇性蝕刻暴露的第二犧牲層上方的暴露的第一犧牲層包括執行自由基蝕刻製程,以從約10至約100的蝕刻選擇比來選擇性蝕刻第二犧牲層上方的第一犧牲層。
在一些實施例中,選擇性蝕刻暴露的第二犧牲層上方的暴露的第一犧牲層包括執行無氫自由基蝕刻製程,以選擇性蝕刻第二犧牲層上方的第一犧牲層。
在一些實施例中,執行無氫自由基蝕刻製程包括流動含氟製程氣體。
在一些實施例中,選擇性蝕刻暴露的第二犧牲層上方的暴露的第一犧牲層包括在從約-90°C至約15°C的溫度下執行蝕刻製程,以選擇性蝕刻第二犧牲層上方的第一犧牲層。
在一些實施例中,形成第一犧牲層和第二犧牲層包括形成具有第一鍺原子濃度的第一犧牲層和形成具有小於第一鍺原子濃度的第二鍺原子濃度的第二犧牲層。
在一些實施例中,形成內間隙壁層包括:分別在第一犧牲層和第二犧牲層的第一側表面和第二側表面上形成介電層;以及蝕刻介電層以暴露第二側表面,其中第一側表面被介電層遮蔽。
在一些實施例中,半導體結構可包括基板和形成在基板上方的鰭結構。鰭結構可包括通道區和形成在通道區下方的埋藏介電層。半導體結構還可包括形成在通道區上方的閘極結構,以及形成在鰭結構中並與閘極結構分離的第一源/汲極(S/D)區和第二源/汲極區。埋藏介電層可與第一源/汲極區和第二源/汲極區接觸。
在一些實施例中,通道區接觸閘極結構和埋藏介電層。
在一些實施例中,埋藏介電層接觸基板。
在一些實施例中,通道區的靠近第一源/汲極區的第一部分的第一厚度小於通道區的遠離第一源/汲極區的第二部分的一二厚度。
在一些實施例中,埋藏介電層的中心部分具有與埋藏介電層的邊緣部分實質相等的厚度。
在一些實施例中,還包括形成與通道區的第一部分和第二部分接觸的內間隙壁。
以上概述數個實施例之特徵,以使所屬技術領域中具有通常知識者可以更加理解本揭露的觀點。所屬技術領域中具有通常知識者應理解,可輕易地以本揭露為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在所屬技術領域中具有通常知識者也應理解,此類均等的結構並無悖離本揭露的精神與範圍,且可在不違背本揭露之精神和範圍下,做各式各樣的改變、取代和替換。
100:半導體裝置 101:場效電晶體 102:基板 104:閘極間隙壁 108:鰭結構 110:閘極結構 120:緩衝區 122:通道區 122S,422S,440S:側表面 124:源/汲極區 130:層間介電層 138:淺溝槽隔離區 140:埋藏介電層 160:內間隙壁 160F:前表面 160B:後表面 300:方法 305,310,315,320,325,330:操作 422:第一犧牲層 440:第二犧牲層 506,760,1140:介電層 510:犧牲閘極結構 636,1536,1601:凹陷結構 1040:空腔結構 B-B:切線 L 110:長度 S 422,S 440:橫向蝕刻深度 t 140,t 122A,t 122B,t 104,t 422:厚度 W 108:寬度
當與所附圖式一起閱讀時,從以下詳細描述中可以更加理解本揭露實施例的觀點。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。實施例中相似的參考符號表示相似的結構、特徵或元件。 第1圖是根據一些實施例的半導體裝置的等軸測圖。 第2圖根據一些實施例的半導體裝置的剖面圖。 第3圖是根據一些實施例的用於製造半導體裝置的方法的流程圖。 第4圖和第5圖是根據一些實施例的半導體裝置在其製造的各個階段的等軸測圖。 第6-17圖是根據一些實施例的半導體裝置在其製造的各個階段的剖面圖。
300:方法
305,310,315,320,325,330:操作

Claims (1)

  1. 一種半導體結構的形成方法,包括: 在一基板上方形成一鰭結構,其中該鰭結構包括一第一犧牲層和一第二犧牲層; 在該鰭結構的一第一部分中形成一凹陷結構; 在該鰭結構的一第二部分的該第二犧牲層上方選擇性蝕刻該鰭結構的該第二部分的該第一犧牲層;以及 在蝕刻的該第一犧牲層上方形成一內間隙壁層,且暴露該鰭結構的該第二部分的該第二犧牲層。
TW111117830A 2021-08-27 2022-05-12 半導體結構的形成方法 TW202312248A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/459,788 US20230068619A1 (en) 2021-08-27 2021-08-27 Spacer structure for semiconductor device
US17/459,788 2021-08-27

Publications (1)

Publication Number Publication Date
TW202312248A true TW202312248A (zh) 2023-03-16

Family

ID=84739099

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111117830A TW202312248A (zh) 2021-08-27 2022-05-12 半導體結構的形成方法

Country Status (3)

Country Link
US (1) US20230068619A1 (zh)
CN (1) CN115566045A (zh)
TW (1) TW202312248A (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210124731A (ko) * 2020-04-07 2021-10-15 삼성전자주식회사 게이트 스페이서를 갖는 반도체 소자들
CN117038444A (zh) * 2023-08-14 2023-11-10 北京北方华创微电子装备有限公司 干法刻蚀方法和半导体工艺设备
CN116741630B (zh) * 2023-08-14 2023-12-22 北京北方华创微电子装备有限公司 干法刻蚀方法和半导体工艺设备

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9954058B1 (en) * 2017-06-12 2018-04-24 International Business Machines Corporation Self-aligned air gap spacer for nanosheet CMOS devices

Also Published As

Publication number Publication date
CN115566045A (zh) 2023-01-03
US20230068619A1 (en) 2023-03-02

Similar Documents

Publication Publication Date Title
US11264485B2 (en) Spacer structure for semiconductor device
US11594638B2 (en) Epitaxial structures for semiconductor devices
US20230068619A1 (en) Spacer structure for semiconductor device
US9865709B2 (en) Selectively deposited spacer film for metal gate sidewall protection
US20240250122A1 (en) Isolation Structures Of Semiconductor Devices
US20230261091A1 (en) Spacer structure for semiconductor device
US10867860B2 (en) Methods of forming FinFET device
US20230411455A1 (en) Core-Shell Nanostructures For Semiconductor Devices
US20230387268A1 (en) Isolation Structures Of Semiconductor Devices
US20230335435A1 (en) Integrated circuit structure and manufacturing method thereof
KR20230009808A (ko) 반도체 디바이스의 게이트 구조체 및 그 형성 방법
TWI759996B (zh) 半導體裝置與其製造方法
TW202329396A (zh) 半導體裝置
US11545397B2 (en) Spacer structure for semiconductor device and method for forming the same
US20230008165A1 (en) Method for sealing a seam, semiconductor structure, and method for manufacturing the same
US20230387001A1 (en) Conductive rail structure for semiconductor devices
TW202349503A (zh) 半導體結構及其形成方法
TW202412262A (zh) 半導體結構