TW202213830A - 以虛設的穿矽通孔為基礎之去耦電容器 - Google Patents

以虛設的穿矽通孔為基礎之去耦電容器 Download PDF

Info

Publication number
TW202213830A
TW202213830A TW109141904A TW109141904A TW202213830A TW 202213830 A TW202213830 A TW 202213830A TW 109141904 A TW109141904 A TW 109141904A TW 109141904 A TW109141904 A TW 109141904A TW 202213830 A TW202213830 A TW 202213830A
Authority
TW
Taiwan
Prior art keywords
capacitor
opening
conductive material
capacitor electrode
support structure
Prior art date
Application number
TW109141904A
Other languages
English (en)
Inventor
昌郁 朴
Original Assignee
美商英特爾公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾公司 filed Critical 美商英特爾公司
Publication of TW202213830A publication Critical patent/TW202213830A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/92Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by patterning layers, e.g. by etching conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/35Feed-through capacitors or anti-noise capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/0805Capacitors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/005Electrodes
    • H01G4/012Form of non-self-supporting electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/228Terminals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/33Thin- or thick-film capacitors 
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/38Multiple capacitors, i.e. structural combinations of fixed capacitors
    • H01G4/385Single unit multiple capacitors, e.g. dual capacitor in one coil

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文所揭示的是於支撐結構中設有一或多個以虛設的TSV為基礎之去耦電容器的IC結構。一示例去耦電容器包括第一及第二電容器電極以及在其等之間的一電容器絕緣體。該第一電容器電極為在該支撐結構中之開口的側壁及底部上的一第一導電材料襯墊,該支撐結構中之該開口係自第二側朝向第二側延伸但未到達第二側。該電容器絕緣體為一介電材料襯墊,其係於該支撐結構中襯有該第一導電材料之該開口的側壁及底部上。該第二電容器電極為一第二導電材料,其填充該支撐結構中襯有該第一導電材料及該介電材料之開口的至少一部分。

Description

以虛設的穿矽通孔為基礎之去耦電容器
本揭示內容大體而言係關於積體電路(IC)結構及裝置之領域,且更具體而言,係關於以虛設的穿矽通孔(TSV)為基礎之去耦電容器。
去耦電容器為用來使電子網路之一部分與另一部分去耦的電容器。由其他電路元件造成之雜訊可經由去耦電容器分流,從而降低雜訊在電路之剩餘部分上具有的效應。
去耦電容器典型地含括於半導體封裝中,以便藉由減少引線長度來降低穿過封裝的電感。靠近耗電電路設置的去耦電容器能夠以儲存於其上之電荷來消除電壓變化。在信號切換階段期間,所儲存之電荷係耗散或用作裝置輸入之本地電源,從而允許去耦電容器抵消由寄生電感引入至系統中之電壓雜訊的效應。然而,晶片外去耦電容器對特高速微處理器應用而言並不足夠。因為去耦電容器位於距交換電路相對長距離處,所以由長電感路徑引起的時間延遲使得該等晶片外電容器無法與吉赫交換電路使用。
為了維持高頻電路操作,必須靠近該交換電路提供足量之電容性去耦。儘管有可能將晶片電容器整合於晶片之電路元件內,但電容器會競爭可用於建置額外電路之寶貴晶粒區域。歸因於用以建置此等電容器之有限區域,其等提供之總電容性去耦亦受限制。
於本發明的一個態樣中,揭示一種積體電路(IC)結構,其包含:一支撐結構,其具有一第一側及與該第一側相對之一第二側;一穿矽通孔(TSV),其在該第一側與該第二側之間延伸;以及一去耦電容器,其具有一第一電容器電極、一第二電容器電極、及在該第一電容器電極與該第二電容器電極間之一電容器絕緣體,其中:該第一電容器電極為在該支撐結構中之一開口之側壁及一底部上的一第一導電材料之一襯墊,該支撐結構中之該開口係自該第二側朝向該第一側延伸但未到達該第一側,該電容器絕緣體為一電容器絕緣體材料之一襯墊,其係於該支撐結構中襯有該第一導電材料之該開口的側壁及一底部上,且該第二電容器電極為一第二導電材料,其填充該支撐結構中襯有該第一導電材料與襯有該電容器絕緣體材料之該開口的至少一部分。
本揭示內容之系統、方法及裝置各自具有若干創新態樣,其中並無單一者單獨負責本文所揭示之所有合乎需要的屬性。本說明書中描述之標的物之一或多個實行方式細節係於以下描述及隨附圖式中闡述。
為了說明本文所述之以虛設的TSV為基礎之去耦電容器,首先理解在IC製造期間會出現的現象可能是有用的。接下來的基本資訊可視為本揭示內容可從中適當解釋的基礎。此資訊僅出於解釋之目的而提供,因此不應以任何方式解讀為是用以限制本揭示內容之最廣範圍及其可能應用。
IC通常包括導電微電子結構,其在本技術領域中稱為通孔,係用以將通孔上方的金屬線或其他互連件電連接至通孔下方的金屬線或其他互連件。在此情境中,用語「金屬化堆疊」可用於描述經堆疊之一系列電絕緣金屬互連導線,其用於將IC之各種裝置連接在一起,其中該堆疊的相鄰層係經由使用電氣接點及通孔連結在一起。
通孔典型地由微影製程所形成。代表性地,可將一光阻層旋轉塗佈於一介電層上方,該光阻層可透過一圖案化遮罩而暴露於圖案化的光化輻射,接著可將該經暴露之層顯影以便在該光阻層中形成一開口,其可稱為通孔位置開口。然後,藉由使用該光阻層中之該位置開口作為一蝕刻遮罩,在該介電層中蝕刻一用於該通孔的開口。該介電層中的此開口係稱為通孔開口。最後,以一或多種金屬或其他導電材料填充該通孔開口以形成該通孔。
TSV是一種特定類型之通孔,其延伸穿過諸如基體、晶圓或晶片等支撐結構。在本文使用時,術語「虛設的TSV」用於描述一開口,該開口可與形成用於TSV之開口實質上同時形成於支撐結構中,只是該開口被指定用於其他目的(例如用於提供在其內的去耦電容器)且該開口並非一直延伸穿過該支撐結構。
本文所揭示的是於支撐結構中設有一或多個以虛設的TSV為基礎之去耦電容器的IC結構。一示例去耦電容器包括第一及第二電容器電極以及在其等之間的一電容器絕緣體。該第一電容器電極為在該支撐結構中之開口的側壁及底部上的一第一導電材料襯墊,該支撐結構中之該開口係自第二側朝向第二側延伸但未到達第二側。該電容器絕緣體為一介電材料襯墊,其係於該支撐結構中襯有該第一導電材料之該開口的側壁及底部上。該第二電容器電極為一第二導電材料,其填充該支撐結構中襯有該第一導電材料及該介電材料之開口的至少一部分。此類去耦電容器可有利地設置於與TSV及各種IC組件相同的支撐結構上。
如本文描述的IC結構,尤其具有真實TSV並具有如本文所述之以虛設的TSV為基礎之去耦電容器的IC結構,可用於提供至與IC相關聯之一或多個組件的電連接性或/及各種此類組件之間的電連接性。在各種實施例中,與IC相關聯之組件包括例如電晶體、二極體、電源、電阻器、電容器、電感器、感測器、收發器、接收器、天線等。與IC相關聯之組件可包括安裝在IC上或連接至IC者。IC可為類比或數位並且可用於許多應用中,諸如微處理器、光電器件、邏輯塊、音訊放大器等,取決於與IC相關聯之組件。IC可用作用於執行電腦中之一或多種相關功能的晶片組之一部分。
為了闡明之目的,提出特定的數字、材料及組態以便提供對例示性實行方式的通盤了解。然而,對於熟知此技藝者將顯而易見的是,可在沒有該等特定細節的情況下實行本揭示內容,或/及可僅以某些所述態樣來實行本揭示內容。在其他情況下,省略或簡化熟知特徵以避免模糊了例示性實行方式。
進一步參照隨附圖式,其係作為本文一部分並以例示性方式顯示可實行之實施例。應了解的是在不偏離本揭示內容之範圍下可使用其他實施例,並可進行結構性及邏輯性的改變。因此,以下詳細描述不應視為具限制意義。為方便起見,若有標明不同字母之圖式集合,例如圖2A至2J,則該集合在本文中可用無字母之方式提及,例如稱為「圖2」。
在圖式中,本文所述各種裝置及總成之示例結構的某些示意性繪示可能以精確直角及直線來顯示,但應了解的是這樣的示意性繪示可能並未反應實際程序限制,當使用例如掃描式電子顯微鏡(SEM)影像或穿透式電子顯微鏡(TEM)影像來檢視本文所述之任何結構時,該實際程序限制可能使得其形貌並非如此「理想」。在該等真實結構之影像中,亦可看到可能的加工缺陷,例如:材料之非完美直線邊緣;錐形通孔或其他開口;角部不慎圓化或不同材料層之厚度偏差;在結晶區域內之偶發的螺旋差排、刃差排或其組合;及/或單原子或原子團之偶發的差排缺陷。可能有未列舉於此但在裝置製造領域內是常見的其他缺陷。
各種操作可用最有助於理解所請標的物之方式描述成依序進行之多個分立的動作或操作。然而,所描述之順序不應解讀為暗示此等操作必須依照該順序。特定而言,可不按所呈順序進行此等操作。所述操作可用與所述實施例不同之順序執行。在另外的實施例中,可執行各種附加操作及/或可省略所述操作。
就本揭示內容之目的而言,片語「A及/或B」表示(A)、(B)、或(A與B)。就本揭示內容之目的而言,片語”「A、B、及/或C "表示(A)、(B)、(C)、(A與B)、(A與C)、(B與C)或(A、B、與C)。用語「在……之間」在涉及量測範圍而使用時包括量測範圍之端值。
說明內容使用片語「在一實施例中」或「在實施例中」,各個片語可表示一或多個相同或不同之實施例。用語「包含」、「包括」、「具有」及類似詞在用於本揭示內容之實施例時是同義的。本揭示內容會使用基於透視的描述,諸如「上」、「下」、「頂部」、「底部」、以及「側面」;此類描述係用以便於論述,並非意欲限制所述實施例之應用。隨附圖式未必按比例繪製。除非另外指定,否則使用序數形容詞「第一」、「第二」及「第三」等描述共同物件僅指示正參考類似物件之不同執行個體,且並不意欲暗示如此描述之物件在時間上、空間上、級別上抑或任何其他方面必須依給定之序列。
在以下詳細說明中,會使用習於此藝者傳達工作要旨給其他習於此藝者時常用的術語來描述例示性實行方式的各種態樣。舉例而言,在本文中使用時,「高k介電質」係指具有比氧化矽高之介電常數的材料,而「低k介電質」係指具有比氧化矽低之介電常數的材料。用語「實質地」、「靠近」、「大略」、「接近」及「大約」大致表示在一目標值之+/-20%內,其係基於本文所述或本領域所熟知之一特定值的背景下。 製造具有以虛設的TSV為基礎之去耦電容器的IC結構
圖1為根據一些實施例之示例方法100的流程圖,其係用於製造以虛設的TSV為基礎之去耦電容器。圖2A-2J係根據一些實施例繪示在依據製造方法100來製作示例IC結構200(例如圖2A所示IC結構200A、圖2B所示IC結構200B、以此類推至圖2J所示IC結構200J)時之各種階段的剖面側視圖。特別是,各圖2A-2J顯示IC結構200的剖面側視圖,其係沿圖2A所示之參考座標系統x-y-z的x-z平面截取的剖面。
在圖2A至2J之描述中提及之具有參考數字的若干元件係以不同圖案繪示於此等圖式中,並於含圖2A-2J之每一圖式頁面之底部處提供一圖例,其顯示參考數字與圖案之間的對應關係。例如,該圖例表示圖2A至2J係使用不同圖案來顯示支撐結構202、介電材料206、導電材料210等。再者,雖然某數量之給定元件可繪示於圖2A-2J之若干圖中(例如,在中間的2個TSV及5個虛設TSV),但此僅為了方便繪示,且係多於或少於根據本揭示內容之各種實施例的IC結構可含括之數量。此外,圖2A至2J所示各種IC結構視圖意欲展示其中各種元件之相對配置,且各種IC結構或其部分可包括未示出之其他元件或組件(例如,電晶體部分、可與TSV中之任一者電氣接觸的各種組件等)。
回看圖1,方法100可由程序102開始,該程序包括提供開口,該等開口係用於在支撐結構中之一或多個TSV及一或多個虛設TSV。圖2A所描繪之IC結構200A係繪示程序102之示例結果。如圖2A所示,IC結構200A可以包括支撐結構202及設於其上之光阻204。
一般而言,本揭示內容之實行方式可在基體上形成或進行,諸如由包括例如N型或P型材料系統的半導體材料系統組成的半導體基體。在一個實行方式中,半導體基體可為使用塊體矽或絕緣體上矽子結構所形成之結晶基體。於其他實行方式中,該半導體基體可以使用替代材料形成,該替代材料可以或可不與矽相組合,其包括但不受限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、銦鎵砷化物、銻化鎵,或是III-V族、II-VI族或IV族材料之其他組合。雖然本文描述了可形成基體之材料的幾個範例,但是可充當一基礎讓IC可構建其上之任何材料均落在本揭示內容之精神及範圍內。在各種實施例中,支撐結構202可包括任何此類基體,其可能具有已形成於其上之一些層及/或裝置,而在本發明諸圖中並未具體顯示,且其提供合適表面以用於形成該以虛設TSV為基礎之去耦電容器。
如圖2A中所示,光阻204已圖案化以具有開口,而可通過該開口提供一或多個蝕刻劑以蝕刻支撐結構202之材料,以便形成用於TSV之開口222-1及222-2、及形成用於虛設TSV之開口224-1至224-5。任何合適的各向異性蝕刻製程(例如乾式蝕刻)可用於程序102中以經由界定於光阻204中之開口來蝕刻支撐結構202。在一些實施例中,在程序102中蝕刻支撐結構202期間,可將IC結構加熱至高溫,例如加熱至約室溫與200℃之間的溫度(包括其中所有數值及範圍),以促使蝕刻副產物有足夠的揮發性自表面移除。
在一些具體例中,TSV開口222的寬度(沿著圖2所示之示例座標系統的x軸量測的尺寸)可在約100奈米與20微米之間(TSV開口尺寸可為數百nm至數十µm)奈米,並包括其中所有數值和範圍,例如在約500奈米與10微米之間。在一些具體例中,虛設TSV開口224的寬度可在約250與5000奈米之間(比TSV小的挑選,在此情況下會是填充介電材料厚度的2x以使用微負載效應並使額外的程序步驟最小化),並包括其中所有數值和範圍,例如在約100與2500奈米之間。在一些具體例中,該TSV開口222的寬度可較該虛設TSV開口224之寬度多了約1.5與10倍之間,並包括其中所有數值和範圍,例如約2與5倍之間。因為TSV開口222之寬度大於虛設TSV開口224之寬度,所以TSV開口222可比虛設TSV開口224進一步/更深入地蝕刻進支撐結構202中。在一些具體例中,TSV開口222的深度(沿著圖2所示之示例座標系統的z軸量測的尺寸)可較該虛設TSV開口224之深度多了約1.1與4倍之間,包括其中所有數值與範圍,例如約1.5與2倍之間。在一些具體例中,TSV開口222的深度可在約500奈米與50微米之間(其可為數百nm至數十µm,就常規TSV而言會是數個至數十µm,如一範例會使用2和50微米),包括其中所有數值和範圍,例如在約1微米與30微米之間。在一些具體例中,該虛設TSV開口224的深度可在約250奈米與25微米之間,包括其中所有數值和範圍,例如在約500奈米與15微米之間。
程序102可以移除光阻204作為結束(未具體顯示於圖2A-2J中)。
方法100可接著繼續進行程序104,其包括將一介電材料層沉積至程序102所形成之該等開口中。此結果係以描繪於圖2B之IC結構200B例示,其顯示一介電材料層206沉積進入程序102所形成之該等開口222及224中。在程序104之一些具體例中,可使用任何適宜技術在程序104中於TSV開口222的側壁和底部上沈積一介電材料206襯墊,以將傳導材料保形地沉積至所擇表面上,所述技術係例如原子層沉積(ALD)、化學氣相沉積(CVD)、電漿輔助CVD(PECVD)、或/及諸如濺鍍等物理氣相沉積(PVD)程序。因為用於將來的虛設TSV之開口224的寬度小於用於該等TSV開口222的寬度,所以虛設TSV開口224可實質上以介電材料206填充,而非如TSV開口222的情形般僅作為襯墊。在各種實施例中,介電材料206可包括用於充當絕緣屏障的任何合適材料,該絕緣屏障係針對稍後將填充TSV開口222的導電材料。此類材料之範例包括但不限於二氧化矽及氮化矽。在一些具體例中,在TSV開口222之側壁和底部上的介電材料206之厚度可在約100和7000奈米之間,包括其中所有數值和範圍,例如在約200和5000奈米之間。
方法100可隨後接續進行程序106,其包括以一導電材料填充該襯有介電材料206的TSV開口222。該結果係以描繪於圖2C之IC結構200C例示,其顯示導電材料208被提供於IC結構200B之TSV開口222內以及IC結構200B之上表面上方而產生IC結構200C。導電材料208可使用但不限於諸如ALD、CVD、電漿輔助CVD(PECVD)、PVD或電鍍之沉積技術而在程序106中沉積。一般而言,本文所描述之各種導電材料,例如沉積於製程106中之導電材料208,可包括一或多個任何合適的導電材料(導體)。此類材料可包括任何合適的導電材料、合金或一多重導電材料之堆疊。在一些實施例中,本文所描述之各種導電材料可包括一或多個金屬或金屬合金,具有例如銅、釕、鈀、鉑、鈷、鎳、鉿、鋯、鈦、鉭及鋁等金屬。在一些實施例中,本文所描述之各種導電材料可包括一或多個金屬之一或多個下述材料:導電合金、氧化物(例如導電金屬氧化物)、碳化物(例如,碳化鉿、碳化鋯、碳化鈦、碳化鉭及碳化鋁、鎢、碳化鎢),或氮化物(例如,氮化鉿、氮化鋯、氮化鈦、氮化鉭及氮化鋁)。
接著,該方法100可包括一程序108,其包括移除該導電材料208之多餘部分,以在該IC結構的上表面上方及在該等虛設TSV開口224上方暴露該介電材料206。此結果係以描繪於圖2D之IC結構200D例示。移除多餘材料之程序通常稱為「平坦化」。在各種實施例中,可使用濕式或乾式平坦化程序來進行程序108之平坦化。在一實施例中,程序108之平坦化可使用化學機械平坦化(CMP)來執行,其可理解為以下程序:利用一拋光表面、一研磨劑及一漿液來移除導電材料208之覆蓋層並且將IC結構200C之表面平坦化,以暴露介電材料206及在TSV開口222內之導電材料208之上表面。
方法100可隨後接續進行程序110,其包括在已填充有介電材料206之虛設TSV開口224中提供用於去耦電容器之開口。描繪於圖2E之IC結構200E繪示了程序110之一示例結果,其顯示可形成開口234-1至234-1來代替先前的虛設TSV開口224。任何適合的各向異性蝕刻程序,例如乾式蝕刻,可用於程序110中,並可能結合圖案化(例如光微影圖案化、電子束微影等)以從虛設TSV開口224移除一些或全部的介電材料206。在一些實施例中,於程序110自虛設TSV開口224蝕刻介電材料206的期間,可將該IC結構加熱至高溫,例如,加熱至約室溫與200℃之間的溫度,包括其中所有數值及範圍,以促使蝕刻副產物有足夠的揮發性自表面移除。
在各種實施例中,開口234的寬度可稍小於虛設TSV開口224的寬度(在一些介電材料206保留在虛設TSV開口224的側壁和底部上的情況,如圖2E和後續圖2F至2I之範例所示),抑或相同(在所有介電材料206自虛設TSV開口224移除的情況,在本圖式中未具體展示)。如圖2E之範例中所示,在一些實施例中,當一些介電材料206已自中心移除之後,可在虛設TSV開口234中保留一介電材料206襯墊。此襯墊之厚度可如上文涉及TSV開口222中之介電材料206襯墊所述者。在其他實施例中,開口234可實質上與開口224相同(亦即,沒有介電材料206襯墊)。
方法100可隨後接續進行程序112,其包括沉積一第一電容器電極材料作為一襯墊,其係加襯於虛設TSV開口234之該等側壁和底部。此結果係以描繪於圖2F之IC結構200F例示,其顯示第一電容器電極210係加襯於虛設TSV開口234之內表面並少許延伸至IC結構200F之上表面的上方。在各種實施例中,該第一電容器電極材料210可包括任何上述該等導電材料,而且可於程序112中使用上述任何保形沉積程序進行沉積,並可能與微影圖案化結合。在一些實施例中,在該等虛設TSV開口234之該等側壁及底部上的該第一電容器電極材料210之厚度可在大約10與70奈米之間,包括其中所有數值和範圍,例如在大約20與50奈米之間。
方法100可隨後接續進行程序114,其包括沉積一電容器絕緣體材料作為一襯墊,其係加襯於已襯有第一電容器電極材料210的虛設TSV開口234之該等側壁及底部。此結果係以描繪於圖2G中之IC結構200G來例示,其顯示電容器絕緣體材料212係加襯於已襯有第一電容器電極材料210的虛設TSV開口234之內表面。圖2G亦例示了可在IC結構200F上方均勻地沉積電容器絕緣體材料212,從而導致亦在IC結構200F之上表面上方提供電容器絕緣體材料212之層。
在一些實施例中,可使用但不限於諸如旋塗、浸塗、ALD、PVD或CVD等任何合適的沉積技術於程序114中沉積電容器絕緣體材料212。在各種實施例中,該電容器絕緣體材料212可包括典型地被使用作為一層間介電質(ILD)的一或多個材料。舉例來說,可使用已知在IC中之適用性的介電材料來形成電容器絕緣體材料212之層,該等介電材料諸如低k介電材料。可用作電容器絕緣體材料212之介電材料的範例可包括但不限於二氧化矽(SiO2)、摻碳氧化物(CDO)、氮化矽、氟矽酸鹽玻璃(FSG)、氮化矽及有機矽酸鹽(諸如矽倍半氧烷、矽氧烷或有機矽酸鹽玻璃)。在一些實施例中,電容器絕緣體材料212可包括有機聚合物,諸如聚醯亞胺、聚降冰片烯、苯環丁烯、全氟環丁烷、或聚四氟乙烯(PTFE)。可用作電容器絕緣體材料212之低k介電材料之又其他範例包括基於矽的聚合性介電質,諸如氫矽倍半氧烷(HSQ)及甲基矽倍半氧烷(HSQ)。在一些實施例中,於程序114所沉積之電容器絕緣體材料212之厚度可在約1與7奈米之間,包括其中所有數值及範圍,例如在約2與5奈米之間。
方法100可隨後接續進行程序116,其包括在該等開口已襯有該第一電容器電極材料210及該電容器絕緣體材料212後,於虛設TSV開口234之剩餘部分內沉積一第二電容器電極材料。此結果係以描繪於圖2H之IC結構200H來例示,其顯示一第二電容器電極材料214係填充虛設TSV開口234之剩餘部分。在各種實施例中,該第二電容器電極材料214可包括任何上述該等導電材料,而且可於程序116中使用任何合適的沉積製程,諸如ALD、CVD、電漿輔助CVD(PECVD)、PVD或電鍍。在一些實施例中,該第一電容器電極材料210及該第二電容器電極材料214可具有實質上相同的材料組成。在其他實施例中,該第一電容器電極材料210及該第二電容器電極材料214的材料組成可為不同。在一些實施例中,該程序116可進一步包括移除該電容器絕緣體材料210及該第二電容器電極材料214的一或多個部分,以暴露該第一電容器電極材料210的一或多個部分(在圖2H中展示為部分240),使得在後續程序中可做出連至該等部分的電氣接點。
在該等虛設TSV開口234內,該第一電容器電極材料210及該第二電容器電極材料214還有在其等之間的該電容器絕緣體材料212形成去耦電容器244。因此,圖2H例示5個此類去耦電容器244-1至244-5。在此類去耦電容器中,該第一電容器電極係由該第一電容器電極材料210形成,該第二電容器電極係由該第二電容器電極材料214形成,而該電容器絕緣體/介電質係由該電容器絕緣體材料212形成。
視情況,方法100亦可包括程序118,其包括對去耦電容器244之該等第一及第二電容器電極提供電連接(互連件)。此結果係以描繪於圖2I之IC結構200I例示,其顯示用於對TSV開口222中之導電材料208提供電連接性的互連件252,用於對去耦電容器244之該第一電容器電極提供電連接性的互連件254,並進一步顯示用於對去耦電容器244之該第二電容器電極提供至電連接性的互連件256。互連件252、254及256可由導電材料218形成,該導電材料可包括以上所描述之任何導電材料,並可供用於介電材料216之層內,該介電材料可包括以上所描述之任何介電質/ILD材料。在其他實施例中,互連件252、254及256中之任一者的數目及位置可不同於圖2I中所示者。
方法100可結束於程序120,其包括薄化支撐結構202之背側以實現在支撐結構202之前側與背側之間延伸的TSV。此結果係以描繪於圖2J之IC結構200J例示,其顯示背側262-1可薄化至導電材料208暴露(亦即在背側262-1表面處),致使可對其作電連接,從而實現TSV 242。 示例性裝置
本文所揭示之具有以虛設的TSV為基礎之去耦電容器的IC結構可含括在任何適宜的電子裝置中。圖3-6繪示可包括一或多個本文所揭IC結構的各種設備範例。
圖3A及圖3B係根據本文所揭示之任何實施例的晶圓及晶粒的俯視圖,其包括具有一或多個以虛設的TSV為基礎之去耦電容器的一或多個IC結構。該晶圓1100可以由半導體材料構成,並且可包括一或多個晶粒1102其具有形成在該晶圓1100之一表面上的IC結構。每個晶粒1102可為一半導體產品的重複單元,該半導體產品包括任何適宜的IC結構(例如圖2J所示IC結構200J,或IC結構200的任何進一步實施例)。在該半導體產品製造完成後(例如在製造本文所述之具有一或多個以虛設的TSV為基礎之去耦電容器的一或多個IC結構之後,且該IC結構係含括在一特定的電子組件中,例如在一電晶體中或在一記憶體裝置中),該晶圓1100可經歷一單粒化程序,在該程序中各個晶粒1102彼此分離以提供該半導體產品之離散「晶片」。尤其,包括本文所揭之一或多個IC結構(其具有一或多個以虛設的TSV為基礎之去耦電容器)的裝置可採用晶圓1100的形式(例如未單粒化)或該晶粒1102的形式(例如經單粒化)。晶粒1102可包括一或多個電晶體(例如一或多個如下文論及之圖4的電晶體1240)及/或用以將電氣信號路由至電晶體的支援電路系統,以及任何其他IC組件(例如,如本文所論述之具有一或多個以虛設的TSV為基礎之去耦電容器的一或多個IC結構)。在一些實施例中,該晶圓1100或該晶粒1102可包括一記憶體裝置(例如,一靜態隨機存取記憶體(SRAM)裝置)、一邏輯裝置(例如,一AND、OR、NAND、或NOR閘)、或任何其他合適電路元件。這些裝置中有多個可被組合在單一晶粒1102上。例如,由多個記憶體裝置所形成之記憶體陣列可與處理裝置(例如圖6之處理裝置1402)或與其他邏輯形成在相同的晶粒1102上,所述其他邏輯係經組配以將資訊儲存在該記憶體裝置中或執行儲存在該記憶體陣列中之指令。
圖4係根據本文所揭示之任何實施例的IC裝置1200的剖面側視圖,其可包括具有一或多個以虛設的TSV為基礎之去耦電容器的一或多個IC結構。IC裝置1200可形成在基體1202(例如,圖3A之晶圓1100)上,且可含括在一晶粒(例如,圖3B之晶粒1102)中。該基體1202可以是如本文所描述之任何基體。該基體1202可以是一經單粒化之晶粒(例如圖3B的該等晶粒1102)或一晶片(例如圖3A的該晶圓1100)的一部分。
IC裝置1200可包括安置在基體1202上之一或多個裝置層1204。該裝置層1204可包括形成在該基體1202上之一或多個電晶體1240(例如,金屬氧化物半導體場效電晶體(MOSFET))的特徵件。裝置層1204可包括例如一或多個源極及/或汲極(S/D)區1220、用來控制S/D區1220間之電晶體1240之電流的閘極1222,及用來將電氣信號路由至/路由出該S/D區1220的一或多個S/D接點1224。電晶體1240可包括為了清楚起見而未描繪的其他特徵件,諸如裝置隔離區域、閘極接點等。電晶體1240不限於圖4中所描繪之類型及組配,且可包括各式各樣其他類型及組配,舉例而言,諸如平面電晶體、非平面電晶體或兩者之組合。非平面電晶體可包括:FinFET電晶體,如雙閘極電晶體或三閘極電晶體;及環繞或全周閘極電晶體,如奈米帶及奈米線電晶體。
每個電晶體1240可包括由至少兩個層形成的閘極1222,即一閘極電極層及一閘極介電層。
該閘極電極層可在閘極互連支撐層上形成,且視電晶體會是PMOS或是NMOS電晶體而定,分別可由至少一種P型功函數金屬或N型功函數金屬組成。於一些實行方式中,該閘極電極層可由兩層或更多層的金屬層之堆疊所構成,其中一或多層金屬層為功函數金屬層,且至少一金屬層為填充金屬層。可包括其他金屬層以用於其他目的,諸如一屏障層或/及一黏附層。
對於PMOS電晶體而言,可用於閘極電極之金屬包括但不限於釕、鈀、鉑、鈷、鎳、及傳導金屬氧化物,例如,氧化釕。一P型金屬層將允許形成具有約4.9電子伏特(eV)與約5.2 eV之間的功函數之PMOS閘極電極。對於NMOS電晶體而言,可用於閘極電極之金屬包括但不限於鉿、鋯、鈦、鉭、鋁、此等金屬之合金、以及此等金屬之碳化物,諸如碳化鉿、碳化鋯、碳化鈦、碳化鉭、碳化鋁、鎢、碳化鎢。N型金屬層將允許形成具有約3.9 eV與約4.2 eV之間的功函數之NMOS閘極電極。
在一些實施例中,在以沿著源極-通道-汲極方向電晶體1240的剖面來檢視時,該閘極電極可形成為一U形結構,該U形結構包括大體上平行於該基體表面的底部部分及大體上垂直於該基體之頂表面的兩個側壁部分。在其他實施例中,形成該閘極電極之諸金屬層中至少一者可僅為一平面層,其與該基體之頂表面實質平行,並且不包括與該基體之頂表面實質垂直之側壁部分。在其他實施例中,該閘極電極可實作為U形結構及平面非U形結構之組合。舉例來說,該閘極電極可實作為在一或多個平面非U形層之頂上形成有一或多個U形金屬層。在一些實施例中,該閘極電極可由V形結構組成(例如,當FinFET電晶體之鰭片不具有「平坦」上表面而是具有圓形峰時)。
通常,電晶體1240之閘極介電層可包括一個層或一多層堆疊,且該一或多層可包括氧化矽、二氧化矽及/或一高k介電材料。含括於電晶體1240之閘極介電層中的高k介電材料可包括諸如鉿、矽、氧、鈦、鉭、鑭、鋁、鋯、鋇、鍶、釔、鉛、鈧、鈮及鋅等元素。可用於該閘極介電層中之高k材料的範例包括但不限於:氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭及鈮酸鉛鋅。在一些實施例中,當使用高k材料時,可在閘極介電層上進行一退火程序以改良其品質。
儘管未具體示於圖4中,但IC裝置1200可在IC裝置1200中之任何合適位置處包括一或多個以虛設的TSV為基礎之去耦電容器。
可使用本技術領域中已知的任何適合的製程,將S/D區1220形成在基體1202內並鄰近各電晶體1240之閘極1222。舉例而言,S/D區1220可使用植入/擴散程序或沉積程序來形成。在前者之程序中,諸如硼、鋁、銻、磷或砷等摻雜劑可被離子式植入到該基體1202中以形成該等S/D區1220。活化該等摻雜劑並致使它們進一步擴散到該基體1202中的一退火程序可跟在該離子式植入程序之後進行。在後者之程序中,一磊晶沉積程序可提供用以製造該等S/D區1220的材料。在一些實行方式中,S/D區1220可使用諸如矽鍺或碳化矽等矽合金來製造。在一些實施例中,該磊晶沉積矽合金可在原位以諸如硼、砷或磷等摻雜劑摻雜。在一些實施例中,S/D區1220可使用一種或多種替性代半導體材料來形成,諸如鍺或III-V族材料或合金。在其他實施例中,可使用金屬及/或金屬合金之一或多個層來形成S/D區1220。在一些實施例中,可在磊晶沉積之前執行一蝕刻程序以在基體1202中產生凹陷,而在該等凹陷中沉積用於S/D區1220之材料。
諸如電力及/或輸入/輸出(I/O)信號等電氣信號可經由安置在裝置層1204上之一個或多個互連層(在圖4中繪示為互連層1206至1210)而路由至及或/路由出該裝置層1204之電晶體1240。例如,裝置層1204的導電特徵件(例如,閘極1222及S/D接點1224)可以與該等互連層1206-1210的該等互連結構1228電氣耦接。該等一或多個互連層1206-1410可形成該IC裝置1200的一ILD堆疊1219。
該等互連結構1228可配置在該等互連層1206-1210內,以根據各式各樣的設計來路由電氣信號(特別是,該配置並不侷限於圖4所描繪之互連結構1228的該特定組配)。雖然在圖4中描繪了一特定數量的互連層1206-1210,但本揭示的諸實施例包括具有比所描繪者更多或更少互連層的IC裝置。
在一些實施例中,互連結構1228可包括填充有諸如金屬等導電材料的溝槽接點結構1228a(有時被稱為「線」)及/或通孔結構1228b(有時被稱為「孔」)。溝槽接點結構1228a可經配置以在實質上平行於基體1202之表面(其上形成有裝置層1204者)的一平面方向上路由電氣信號,且所述表面。舉例而言,就圖4以觀,溝槽接點結構1228a可在進出圖紙之方向上路由電氣信號。通孔結構1228b可經配置以在實質上垂直於基體1202之表面(其上形成有裝置層1204者)的平面方向上路由電氣信號。在一些實施例中,通孔結構1228b可將不同互連層1206至1210之溝槽接點結構1228a電氣耦接在一起。
該等互連層1206-1210可包括安置在該等互連結構1228之間的介電材料1226,如圖4所示。該介電材料1226可以採用本文所揭示之供IC結構之互連件間用的任何介電材料實施例之形式,例如,涉及本文所述之介電材料212或216的任何本文論述之實施例。
在一些實施例中,在互連層1206至1210中之不同互連層中的互連結構1228之間所安置的介電材料1226可具有不同組成。在其他實施例中,不同互連層1206至1210之間的介電材料1226之組成可相同。
第一互連層1206(稱為金屬1或「M1」)可直接形成在裝置層1204上。在一些實施例中,該第一互連層1206可包括溝槽接點結構1228a及/或通孔結構1228b,如圖所示。該第一互連層1206的該溝槽接點結構1228a可與該裝置層1204的接點(例如該等S/D接點1224)耦接。
一第二互連層1208(稱為金屬2或「M2」)可直接形成在該第一互連層1206上。在一些實施例中,該第二互連層1208可包括通孔結構1228b以將該第二互連層1208的該等溝槽接點結構1228a與該第一互連層1206的該等溝槽接點結構1228a耦接。儘管為了清晰起見而以線條結構性地描繪每一互連層內(例如在第二互連層1208內)的溝槽接點結構1228a及通孔結構1228b,但在一些實施例中,溝槽接點結構1228a及通孔結構1228b可在結構上及/或在材料上相連(例如,在雙鑲嵌程序期間同時填充)。
第三互連層1210(稱為金屬3或「M3」)(及依需要之額外互連層)可根據關於該第二互連層1208或該第一互連層1206所描述之類似的技術和組配而相繼地形成在該第二互連層1208上。
該IC裝置1200可以包括一阻焊材料1234(例如,聚醯亞胺或類似材料)及形成在該等互連層1206-1210上之一或多個接合墊1236。接合墊1236可與互連結構1228電氣耦接,且經組配來將電晶體1240之電氣信號路由至其他外部裝置。例如,焊接接合可被形成在一或多個接合墊1236上以將包括該IC裝置1200之一晶片與另一組件(例如一電路板)機械耦接及/或電氣耦接。該IC裝置1200可以具有其他替代的組配以路由來自該等互連層1206-1210的該等電氣信號,而非在其他實施例中所描繪者。例如,該等接合墊1236可替換成或可進一步包括:可把該等電氣信號路由至外部組件之其他類似特徵件(例如柱體)。
圖5為根據本文所揭示之何任實施例之IC裝置總成1300的剖面側視圖,該IC裝置總成可包括具有一或多個IC結構或與一或多個IC結構相關聯(例如藉IC結構電連接)的組件,且所述IC結構具有以虛設的TSV為基礎之去耦電容器。IC裝置總成1300包括安置於電路板1302(其可為例如主機板)上之若干組件。該IC裝置總成1300包括安置在該電路板1302之一第一面1340及該電路板1302之一相對的第二面1342上的組件;一般而言,組件可安置於面1340及1342中之一者或兩者上。詳言之,IC裝置總成1300之該等組件中之任何合適的組件可包括本文所揭示之以虛設的TSV為基礎之去耦電容器之任一者。
在一些實施例中,電路板1302可為印刷電路板(PCB),該印刷電路板包括藉由介電材料之層彼此分開且藉由導電通孔互連之多個金屬層。任何一或多個金屬層可形成為所欲電路圖案,以在耦接至電路板1302之該等組件間(可選地與其他金屬層一起)路由電氣信號。在其他實施例中,電路板1302可以是一非PCB基體。
圖5繪示之IC裝置總成1300包括中介件上封裝結構1336,其係藉由耦接組件1316耦接到電路板1302的第一面1340。該等耦接組件1316可把該中介件上封裝結構1336電氣及機械耦接到該電路板1302,並可包括焊球(如圖5所示)、一插座的公母部分、一黏著劑、一底填材料、及/或任何其他合適的電氣及/或機械耦接結構。
該中介件上封裝結構1336可包括藉由耦接組件1318耦接到一中介件1304的一IC封裝體1320。該等耦接組件1318可以採用任何合適的形式以供應用,諸如以上所論述之涉及該等耦合組件1316的該等形式。儘管在圖5中展示了單一IC封裝體1320,但多個IC封裝體可耦接至中介件1304;實際上,額外中介件可耦接至中介件1304。該中介件1304可提供用於橋接該電路板1302及該IC封裝體1320的一中介基體。IC封裝體1320可為或可包括例如晶粒(圖3B之晶粒1102)、IC裝置(例如圖4之IC裝置1200),或任何其他合適組件。在一些實施例中,IC封裝體1320可包括一或多個以虛設的TSV為基礎之去耦電容器,如本文所描述。通常,該中介件1304可把一連接擴展成一更寬的間距或把一連接重新路由到一不同的連接。例如,該中介件1304可把該IC封裝體1320(例如一晶粒)耦接到該等耦接組件1316的一球閘陣列(BGA)以供耦接到該電路板1302。在圖5例示之實施例中,IC封裝體1320及電路板1302附接至中介件1304的相對側;在其他實施例中,IC封裝體1320及電路板1302可附接至中介件1304之同一側。在一些實施例中,三個或更多個組件可藉由中介件1304互連。
該中介件1304可由環氧樹脂、玻纖強化環氧樹脂、陶瓷材料、或諸如聚醯亞胺等聚合物材料來形成。在一些實行方式中,中介件1304可由其他替代的剛性或可撓性材料形成,其可包括與前述用於半導體基體者相同的材料,例如矽、鍺、和其他III-V族和IV族材料。中介件1304可包括金屬互連件1308及通孔1310,並包括但不限於TSV 1306。中介件1304還可包括嵌入式裝置1314,其包括被動裝置及主動裝置兩者。此類裝置可包括但不限於:電容器、去耦電容器、電阻器、電感器、保險絲、二極體、變壓器、感測器、靜電放電(ESD)裝置、以及記憶體裝置。更複雜的裝置諸如射頻(RF)裝置、功率放大器、功率管理裝置、天線、陣列、感測器、及微機電系統(MEMS)裝置等亦可形成於中介件1304上。該中介件1304可進一步包括一或多個以虛設的TSV為基礎之去耦電容器,如本文所描述。該中介件上封裝結構1336可以採用本技術領域中已知的任何中介件上封裝結構的形式。
IC裝置總成1300可包括藉由耦接組件1322耦接至電路板1302之第一面1340的IC封裝體1324。該等耦接組件1322可以採用上文涉及該等耦接組件1316所論述之任何實施例的形式,而該IC封裝體1324可以採用上文涉及該IC封裝體1320所論述之任何實施例的形式。
圖5繪示之IC裝置總成1300包括一堆疊式封裝結構1334,其係藉由耦接組件1328而耦接到電路板1302的第二面1342。該堆疊式封裝結構1334可包括藉由該等耦接組件1330耦接在一起的一IC封裝體1326及一IC封裝體1332,以使得該IC封裝體1326被安置在該電路板1302與該IC封裝體1332之間。該等耦接組件1328及1330可採用上文論及之該等耦接組件1316之任何實施例的形式,而該等IC封裝體1326及1332可採用上文所論及之該IC封裝體1320之任何實施例的該形式。該堆疊式封裝結構1334可依據本技術領域中已知的任何堆疊式封裝結構構成。
圖6為根據本文所揭示之任何實施例的一示例運算裝置1400的方塊圖,該示例運算裝置可包括一或多個組件,該一或多個組件包括具有一或多個以虛設的TSV為基礎之去耦電容器。舉例來說,運算裝置1400之組件中之任何合適組件可包括晶粒(例如,圖3B之晶粒1102),其具有一或多個以虛設的TSV為基礎之去耦電容器,如本文所描述。運算裝置1400之任一或多個組件可包括或被含括於一IC裝置1200(圖4)。運算裝置1400之任一或多個組件可包括或被含括於一IC裝置總成1300(圖5)。
若干組件在圖6中繪示為含括於運算裝置1400中,但此等組件任何一或多者為了適合於應用可被省略或重複。在一些實施例中,含括於該運算裝置1400中之一些或所有的組件可以被附接到一個或多個主機板。在一些實施例中,此等組件中之一些或全部係製成於一單個單晶片系統(SoC)晶粒上。
此外,在各種實施例中,該運算裝置1400可不包括圖6中所例示之一個或多個組件,但是該運算裝置1400可包括用以耦接到該一個或多個組件之介面電路系統。例如,該運算裝置1400可不包括一顯示裝置1406,但是可包括可耦接有一顯示裝置1406之顯示裝置介面電路系統(例如一連接器及驅動器電路系統)。在另一組範例中,該運算裝置1400可不包括一音訊輸入裝置1424或一音訊輸出裝置1408,但可包括可耦接有一音訊輸入裝置1424或一音訊輸出裝置1408的音訊輸入或輸出裝置介面電路系統(例如,連接器及支援電路系統)。
該運算裝置1400可包括一處理裝置1402(例如,一或多個處理裝置)。在此使用之用語「處理裝置」或「處理器」可表示處理來自暫存器及/或記憶體之電子資料以便將該電子資料轉變成可儲存在暫存器及/或記憶體中之其他電子資料的任何裝置或一裝置之一部分。該處理裝置1402可包括:一或多個數位信號處理器(DSP)、特殊應用IC(ASIC)、中央處理單元(CPU)、圖形處理單元(GPU)、密碼處理器(執行硬體內之密碼演算法的專門處理器)、伺服器處理器或任何其他適當處理裝置。該運算裝置1400可包括一記憶體1404,該記憶體本身可包括一或多個記憶體裝置,諸如依電性記憶體(例如動態隨機存取記憶體(DRAM))、非依電性記憶體(例如唯讀記憶體(ROM))、快閃記憶體、固態記憶體及/或一硬驅動機。在一些實施例中,記憶體1404可包括與處理裝置1402共享晶粒之記憶體。此記憶體可作為快取記憶體使用且可包括嵌入式動態隨機存取記憶體(eDRAM)或自旋轉移力矩磁性隨機存取記憶體(STT-MRAM)。
在一些實施例中,該運算裝置1400可包括一通訊晶片1412(例如,一個或多個通訊晶片)。例如,通訊晶片1412可以被組配以管理用於該運算裝置1400之資料往來傳輸的無線通訊。用語「無線」及其衍生詞可用以描述可透過非固態媒體經由使用調變電磁輻射來傳達資料之電路、裝置、系統、方法、技術、通訊頻道等。該用語並未暗示該等相關連裝置不含任何導線,儘管在一些實施例中其可能不含。
通訊晶片1412可以實行若干無線標準或協定中之任一者,其包括但不限於電機電子工程師(IEEE)協會標準,包括Wi-Fi(IEEE 802.11家族)、IEEE 802.16標準(例如IEEE 802.16-2005修訂版)、長期演進(LTE)計劃與任何修正、更新、及/或修訂版(例如,先進LTE計劃、超級行動寬頻(UMB)計劃(也稱為“3GPP2”)等等)。IEEE 802.16相容寬頻無線存取(BWA)網路通常稱為WiMAX網路,即代表全球互通微波存取的縮寫,其係通過IEEE 802.16標準之一致性與互通性測試之產品的認證標記。通訊晶片1412可根據全球行動通訊系統(GSM)、通用封包無線電服務(GPRS)、通用行動電信系統(UMTS)、高速封包存取(HSPA)、演進型HSPA(E-HSPA)或LTE網路來操作:。通訊晶片1412可根據增強型資料GSM演進技術(EDGE)、GSM EDGE無線電存取網路(GERAN)、通用陸地無線電存取網路(UTRAN)或演進型UTRAN(E-UTRAN)來操作。通訊晶片1412可根據分碼多重存取(CDMA)、分時多重存取(TDMA)、數位增強型無線電信(DECT)、演進資料最佳化(EV-DO)及其衍生物以及命名為3G、4G、5G及其之外等任何其他無線協定而操作。通訊晶片1412可根據其他實施例中之其他無線協定來操作。運算裝置1400可包括天線1422以促進無線通訊及/或接收其他的無線通訊(諸如AM或FM無線電傳輸)。
在一些實施例中,通訊晶片1412可管理有線通訊,諸如電氣、光學或任何其他合適的通訊協定(例如,乙太網路)。如上所述,通訊晶片1412可包括多個通訊晶片。舉例來說,第一通訊晶片1412可專用於諸如Wi-Fi或藍芽等較短距離無線通訊,而第二通訊晶片1412可專用於諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO或其他等較長距離無線通訊。在一些實施例中,第一通訊晶片1412可專用於無線通訊,而第二通訊晶片1412可專用於有線通訊。
運算裝置1400可包括電池/電力電路系統1414。電池/電力電路系統1414可包括一個或多個能量儲存裝置(例如電池或電容器)及/或用以將運算裝置1400之組件耦接至與運算裝置1400分離之能量來源(例如AC線路電力)的電路系統。
運算裝置1400可包括一顯示裝置1406(或如上文論及之相對應的介面電路系統)。顯示裝置1406可包括任何的視覺指示器,舉例而言,諸如一抬頭顯示器、一電腦監視器、一投影機、一觸控螢幕顯示器、一液晶顯示器(LCD)、一發光二極體顯示器、或一平面顯示器。
運算裝置1400可包括一音訊輸出裝置1408(或如上文論及之相對應的介面電路系統)。舉例而言,音訊輸出裝置1408可包括產生可聽指示符之任何裝置,諸如揚聲器、耳機或耳掛式耳機。
運算裝置1400可包括音訊輸入裝置1424(或如上文論及之相對應的介面電路系統)。音訊輸入裝置1424可包括產生一表示聲音之信號的任何裝置,諸如麥克風、麥克風陣列或數位儀器(例如具有樂器數位介面(MIDI)輸出之儀器)。
運算裝置1400可包括全球定位系統(GPS)裝置1418(或如上文論及之相對應的介面電路系統)。GPS裝置1418可與基於衛星之系統通訊並可接收運算裝置1400之位置,如本技術領域中已知者。
該運算裝置1400可包括其他輸出裝置1410(或如上文論及之相對應的介面電路系統)。其他輸出裝置1410之範例可以包括一音訊編解碼器、一視訊編解碼器、一印表機、用以提供資訊至其他裝置之有線或無線發射機、或一附加儲存裝置。
運算裝置1400可包括一其他輸入裝置1420(或如上文論及之相對應的介面電路系統)。其他輸入裝置1420之範例可包括一加速計、一陀螺儀、一羅盤、一影像擷取裝置、一鍵盤、一諸如滑鼠等游標控制裝置、一電筆、一觸控板、一條碼讀取器、一快速回應(QR)碼讀取器、任何感測器或一無線射頻識別(RFID)讀取器。
運算裝置1400可以具有任何所欲的形狀因數,諸如一手持或行動運算裝置(例如,一手機、一智慧型手機、一行動網際網路裝置、一音樂播放器、一平板電腦、一膝上型電腦、一輕省筆電、一超輕薄筆電、一個人數位助理(PDA)、一超行動個人電腦、等等)、一桌上型運算裝置、一伺服器或其他網路運算組件、一印表機、一掃描器、一監視器、一機上盒、一娛樂控制單元、一車輛控制單元、一數位相機、一數位視訊記錄器、或一可穿戴式運算裝置。在一些實施例中,運算裝置1400可以是處理資料之任何其他的電子裝置。 精選範例
下列段落提供本文所揭實施例的各種範例。
範例1提供一種IC結構,其包括一支撐結構(例如一基體),該支撐結構具有一第一側(262-1)及與該第一側相對的一第二側(262-2);一穿矽通孔(TSV)(242-1或242-2),其在該第一側與該第二側之間延伸;以及一去耦電容器,其具有一第一電容器電極、一第二電容器電極、及在該第一電容器電極與該第二電容器電極之間的一電容器絕緣體。在如此之IC結構中,該第一電容器電極為一第一導電材料之一襯墊,其係於該支撐結構中之一開口的側壁及一底部上,而該支撐結構中之該開口自該第二側朝向該第一側延伸但未到達該第一側;該電容器絕緣體為一電容器絕緣體材料之一襯墊,其係於該支撐結構中襯有該第一導電材料之該開口的側壁及一底部上;且該第二電容器電極為一第二導電材料,其填充該支撐結構中襯有該第一導電材料與該電容器絕緣體材料之該開口之至少一部分。
範例2提供如範例1之IC結構,其中該支撐結構中之該開口的深度係在約1與25微米之間。
範例3提供如範例1或2之IC結構,該支撐結構中之該開口的深度比該第一側與該第二側之間的距離小了約1.1與4倍之間。
範例4提供如前述範例中任一項之IC結構,其中該支撐結構中之該開口的寬度在約250奈米與5000奈米之間。
範例5提供如前述範例中任一項之IC結構,其中該第一導電材料之該襯墊的厚度在約10與70奈米之間。
範例6提供如前述範例中任一項之IC結構,其中該電容器絕緣體材料之該襯墊之厚度在約1與7奈米之間。
範例7提供如前述範例中任一項之IC結構,其更包括耦接至該第一電容器電極的一第一互連件,及耦接至該第二電容器電極的一第二互連件。
範例8提供如前述範例中任一項之IC結構,其進一步包括一介電材料之一襯墊,其係於該第一導電材料與該支撐結構中之一開口之該等側壁和該底部之間。
範例9提供如範例8之IC結構,其中該介電材料之該襯墊之厚度在約100與7000奈米之間。
範例10提供如範例8或9之IC結構,其更包括在該TSV的側壁及底部上的該介電材料之一襯墊。
範例11提供如範例8至10中之任一項之IC結構,其中該介電材料為氧化矽或氮化矽。
範例12提供如前述範例中任一項之IC結構,其中該電容器絕緣體材料包括氧化鉿、氧化矽、氮化矽、氮氧化矽、矽碳氮氧化物、碳氧化矽、氧化鈦、氧化鋯、氧化錫、氧化鋁、氮化矽及氮化鋁中之一或多者。
範例13提供一種IC封裝體,其包括一IC晶粒,該IC晶粒具有一第一側(262-1)及與該第一側相對之一第二側(262-2);以及另一IC組件,其耦接至該IC晶粒。在如此之IC封裝體中,一穿矽通孔(TSV)(242-1或242-2)在該第一側與該第二側之間延伸;該IC晶粒包括一去耦電容器,其具有一第一電容器電極、一第二電容器電極及在該第一電容器電極與該第二電容器電極間之一電容器絕緣體;該第一電容器電極為一第一導電材料之一襯墊,其係於該IC晶粒中之一開口的側壁及一底部上,而該IC晶粒中之該開口自該第二側朝向該IC晶粒之該第一側延伸但未到達該第一側;該電容器絕緣體為一電容器絕緣體材料之一襯墊,其係於IC晶粒中襯有該第一導電材料之該開口的側壁及一底部上;且該第二電容器電極為一第二導電材料,其填充該IC晶粒中襯有該第一導電材料與該電容器絕緣體材料之該開口的至少一部分;以及該TSV至少部分地填充有一第三導電材料。
範例14提供如範例13之IC封裝體,該IC晶粒中之該開口的深度比該IC晶粒之該第一側與該第二側之間的距離小了約1.1與4倍之間。
範例15提供如範例13或14之IC封裝體,其中該另一組件為一封裝體基體、一可撓性基體或一中介件中之一者。
範例16提供如範例13至15中任一項之IC封裝體,其中該另一組件經由一或多個第一層級互連件耦接至該IC晶粒。
範例17提供如範例16之IC封裝體,其中該一或多個第一層級互連件包括一或多個焊料凸塊、焊柱或接合線。
範例18提供一種用於製造一IC結構的方法。該方法包括在一支撐結構中提供一TSV用開口及一去耦電容器用開口,其中該去耦電容器用開口的深度比該TSV用開口的深度小了約1.1與4倍之間;提供該去耦電容器之一第一電容器電極,作為在該去耦電容器用開口之側壁及一底部上的一第一導電材料之一襯墊;提供該去耦電容器之一電容器絕緣體,作為在襯有該第一導電材料之該去耦電容器用開口之側壁及一底部上的一電容器絕緣體材料之一襯墊;藉由提供一第二導電材料來提供該去耦電容器之一第二電容器電極,該第二導電材料係填充已襯有該第一導電材料與該電容器絕緣體材料之該去耦電容器用開口的至少一部分;以及提供一第三導電材料以至少部分地填充該TSV用開口。
範例19提供如範例18之方法,其進一步包括在提供該第一電容器電極之前,在該去耦電容器用開口之該等側壁及該底部上提供一介電材料之一襯墊。
範例20提供如範例18或19之方法,其中該TSV用開口自該支撐結構之一前側朝向該支撐結構之一背側延伸但未到達該背側,且該方法進一步包括使該支撐結構之該背側薄化以暴露來自該背側的該第三導電材料。
本揭示之所例示實行方式之上述說明、包括摘要所述內容,並不旨在窮舉或將本揭示限制為所揭之該等精確的形式。本文中描述了本揭示之具體的實行方式以及範例以供例示之目的,惟如相關領域的習知技藝者將體認到的,在本揭示的該範圍內各種等效的修改是有可能的。根據以上詳細描述,可對本揭示作出該等修改。
100:方法 102,104,106,108,112,114,116,118,120:程序 200,200A,200B,200C,200D,200E,200F,200G,200H,200I,200J:IC結構 202:支撐結構 204:光阻 206,216,1226:介電材料 208,218:導電材料 210:第一電容器電極材料 212:電容器絕緣體材料 214:第二電容器電極材料 222,222-1,222-2:TSV開口 224,224-1~224-5:虛設TSV開口 234-1~234-5:開口 240:部分 242,1306:TSV 242-1,242-2:穿矽通孔(TSV) 244,244-1~244-5:去耦電容器 252,254,256,252-1~252-2,254-1~254-2,256-1~256-3:互連件 262-1:背側,第一側 262-2:前側,第二側 1100:晶圓 1102:晶粒 1200:IC裝置 1202:基體 1204:裝置層 1206:第一互連層,互連層 1208:第二互連層,互連層 1210:第三互連層,互連層 1219:ILD堆疊 1220:源極及/或汲極區,S/D區 1222:閘極 1224:S/D接點 1228:互連結構 1228a:溝槽接點結構 1228b:通孔結構 1234:阻焊材料 1236:接合墊 1240:電晶體 1300:IC裝置總成 1302:電路板 1304:中介件 1308:金屬互連件 1310:通孔 1314:嵌入式裝置 1316,1318,1322,1328,1330:耦接組件 1320,1324,1326,1332:IC封裝體 1334:堆疊式封裝結構 1336:中介件上封裝結構 1340:第一面 1342:第二面 1400:運算裝置 1402:處理裝置 1404:記憶體 1406:顯示裝置 1408:音訊輸出裝置 1410:其他輸出裝置 1412:通訊晶片,第一通訊晶片,第二通訊晶片 1414:電力電路系統 1418:全球定位系統裝置,GPS裝置 1420:其他輸入裝置 1422:天線 1424:音訊輸入裝置
藉由以下結合隨附圖式之詳細描述將會很容易地理解實施例。為了便於描述,相同元件符號表示相同的結構元件。在隨附圖式之諸圖中,係以示例方式而非限制性地繪示實施例。
圖1為根據一些實施例之示例方法的流程圖,其係用於製造以虛設的TSV為基礎之去耦電容器。
圖2A-2J係根據一些實施例繪示依據圖1之方法製造示例IC結構的各種階段。
圖3A及圖3B係根據本文所揭示之任何實施例的晶圓及晶粒的俯視圖,其包括具有以虛設的TSV為基礎之去耦電容器的一或多個IC結構。
圖4係根據本文所揭示之任何實施例的IC裝置的剖面側視圖,其可包括具有以虛設的TSV為基礎之去耦電容器的一或多個IC結構。
圖5係根據本文所揭示之任何實施例的IC裝置總成的剖面側視圖,其可包括具有以虛設的TSV為基礎之去耦電容器的一或多個IC結構。
圖6為根據本文所揭示之任何實施例的示例運算裝置的方塊圖,其可包括具有以虛設的TSV為基礎之去耦電容器的一或多個IC結構。
200J:IC結構
202:支撐結構
204:光阻
206,216:介電材料
208,218:導電材料
210:第一電容器電極材料
212:電容器絕緣體材料
214:第二電容器電極材料
242-1,242-2:穿矽通孔(TSV)
244-1~244-5:去耦電容器
252-1~252-2,254-1~254-2,256-1~256-3:互連件
262-1:背側,第一側
262-2:第二側

Claims (20)

  1. 一種積體電路(IC)結構,其包含: 一支撐結構,其具有一第一側及與該第一側相對之一第二側; 一穿矽通孔(TSV),其在該第一側與該第二側之間延伸;以及 一去耦電容器,其具有一第一電容器電極、一第二電容器電極、及在該第一電容器電極與該第二電容器電極間之一電容器絕緣體, 其中: 該第一電容器電極為在該支撐結構中之一開口之側壁及一底部上的一第一導電材料之一襯墊,該支撐結構中之該開口係自該第二側朝向該第一側延伸但未到達該第一側, 該電容器絕緣體為一電容器絕緣體材料之一襯墊,其係於該支撐結構中襯有該第一導電材料之該開口的側壁及一底部上,且 該第二電容器電極為一第二導電材料,其填充該支撐結構中襯有該第一導電材料與襯有該電容器絕緣體材料之該開口的至少一部分。
  2. 如請求項1之IC結構,其中該支撐結構中之該開口的深度係在約1與25微米之間。
  3. 如請求項1之IC結構,該支撐結構中之該開口的深度比該第一側與該第二側之間的一距離小了約1.1與4倍之間。
  4. 如請求項1之IC結構,其中該支撐結構中之該開口的寬度係在約250與5000奈米之間。
  5. 如請求項1之IC結構,其中該第一導電材料之該襯墊的厚度係在約10與70奈米之間。
  6. 如請求項1之IC結構,其中該電容器絕緣體材料之該襯墊的厚度係在約1與7奈米之間。
  7. 如請求項1之IC結構,其更包含: 一第一互連件,其耦接至該第一電容器電極,及 一第二互連件,其耦接至該第二電容器電極。
  8. 如請求項1之IC結構,其進一步包含一介電材料之一襯墊,其係於該第一導電材料與該支撐結構中之一開口之該等側壁及該底部之間。
  9. 如請求項8之IC結構,其中該介電材料之該襯墊的厚度係在約100與7000奈米之間。
  10. 如請求項8之IC結構,其進一步包含在該TSV之側壁及一底部上的該介電材料之一襯墊。
  11. 如請求項8之IC結構,其中該介電材料為氧化矽或氮化矽。
  12. 如請求項1之IC結構,其中該電容器絕緣體材料包括氧化鉿、氧化矽、氮化矽、氮氧化矽、矽碳氮氧化物、碳氧化矽、氧化鈦、氧化鋯、氧化錫、氧化鋁、氮化矽及氮化鋁中之一或多者。
  13. 一種積體電路(IC)封裝體,其包含: 一IC晶粒,其具有一第一側及與該第一側相對之一第二側;以及 另一IC組件,其耦接至該IC晶粒, 其中: 一穿矽通孔(TSV)在該第一側與該第二側之間延伸, 該IC晶粒包括一去耦電容器,其具有一第一電容器電極、一第二電容器電極、及在該第一電容器電極與該第二電容器電極間之一電容器絕緣體, 該第一電容器電極為在該IC晶粒中之一開口之側壁及一底部上的一第一導電材料之一襯墊,該IC晶粒中之該開口自該IC晶粒之該第二側朝向該第一側延伸但未到達該第一側, 該電容器絕緣體為一電容器絕緣體材料之一襯墊,其係於該IC晶粒中襯有該第一導電材料之該開口的側壁及一底部上, 該第二電容器電極為一第二導電材料,其填充該IC晶粒中襯有該第一導電材料與襯有該電容器絕緣體材料之該開口的至少一部分,以及 該TSV至少部分地填充有一第三導電材料。
  14. 如請求項13之IC封裝體,該IC晶粒中之該開口的深度比該IC晶粒之該第一側與該第二側之間的一距離小了約1.1與4倍之間。
  15. 如請求項13之IC封裝體,其中該另一組件為一封裝體基體、一可撓性基體或一中介件中之一者。
  16. 如請求項13之IC封裝體,其中該另一組件經由一或多個第一層級互連件耦接至該IC晶粒。
  17. 如請求項16之IC封裝體,其中該一或多個第一層級互連件包括一或多個焊料凸塊、焊柱或接合線。
  18. 一種用於製作一積體電路(IC)結構之方法,該方法包含: 在一支撐結構中提供一穿矽通孔(TSV)用開口及一去耦電容器用開口,其中該去耦電容器用開口的深度比該TSV用開口的深度小了約1.1與4倍之間; 提供該去耦電容器之一第一電容器電極,作為在該去耦電容器用開口之側壁及一底部上的一第一導電材料之一襯墊; 提供該去耦電容器之一電容器絕緣體,作為在襯有該第一導電材料之該去耦電容器用開口之側壁及一底部上的一電容器絕緣體材料之一襯墊; 藉由提供一第二導電材料來提供該去耦電容器之一第二電容器電極,該第二導電材料係填充襯有該第一導電材料與襯有該電容器絕緣體材料之該去耦電容器用開口的至少一部分;以及 提供一第三導電材料以至少部分地填充該TSV用開口。
  19. 如請求項18之方法,其進一步包含在提供該第一電容器電極之前,在該去耦電容器用開口之該等側壁及該底部上提供一介電材料之一襯墊。
  20. 如請求項18之方法,其中該TSV用開口自該支撐結構之一前側朝向該支撐結構之一背側延伸但未到達該背側,且該方法進一步包括使該支撐結構之該背側薄化以暴露來自該背側的該第三導電材料。
TW109141904A 2020-05-27 2020-11-27 以虛設的穿矽通孔為基礎之去耦電容器 TW202213830A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/884,094 2020-05-27
US16/884,094 US11923150B2 (en) 2020-05-27 2020-05-27 Decoupling capacitors based on dummy through-silicon-vias

Publications (1)

Publication Number Publication Date
TW202213830A true TW202213830A (zh) 2022-04-01

Family

ID=78509237

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109141904A TW202213830A (zh) 2020-05-27 2020-11-27 以虛設的穿矽通孔為基礎之去耦電容器

Country Status (4)

Country Link
US (2) US11923150B2 (zh)
KR (1) KR20210146771A (zh)
DE (1) DE102020133249A1 (zh)
TW (1) TW202213830A (zh)

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI400731B (zh) 2008-08-29 2013-07-01 Ind Tech Res Inst 電容元件及其製造方法
US10181454B2 (en) 2010-03-03 2019-01-15 Ati Technologies Ulc Dummy TSV to improve process uniformity and heat dissipation
US8338939B2 (en) 2010-07-12 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation processes using TSV-last approach
CN102543729B (zh) 2010-12-31 2014-03-12 中芯国际集成电路制造(上海)有限公司 电容的形成方法及其电容结构
JP6118015B2 (ja) 2011-05-12 2017-04-19 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation シリコンボードにおけるシリコン貫通配線(tsv)の形成
KR102114340B1 (ko) * 2013-07-25 2020-05-22 삼성전자주식회사 Tsv 구조 및 디커플링 커패시터를 구비한 집적회로 소자 및 그 제조 방법
US9536784B1 (en) 2015-06-25 2017-01-03 Globalfoundries Inc. Integrated circuit (IC) chips with through silicon vias (TSV) and method of forming the IC
US10439021B2 (en) 2016-12-01 2019-10-08 Globalfoundries Singapore Pte. Ltd. Capacitor structure

Also Published As

Publication number Publication date
DE102020133249A1 (de) 2021-12-02
US20210375551A1 (en) 2021-12-02
US11923150B2 (en) 2024-03-05
KR20210146771A (ko) 2021-12-06
US20240128023A1 (en) 2024-04-18

Similar Documents

Publication Publication Date Title
TW202013646A (zh) 裝置層互連
US11410908B2 (en) Integrated circuit devices with front-end metal structures
US11690211B2 (en) Thin film transistor based memory cells on both sides of a layer of logic devices
US10615117B2 (en) Self-aligned via
US20200144369A1 (en) Integrated circuit components with substrate cavities
TW202119594A (zh) 用以建立三維記憶體和邏輯並具有背側接點的電晶體
US11024538B2 (en) Hardened plug for improved shorting margin
EP3913659A1 (en) Back end of line integration for self-aligned vias
EP4040473A1 (en) Decoupling capacitors based on dummy through-silicon-via plates
EP3381064A1 (en) Electrical contacts for magnetoresistive random access memory devices
TW202205358A (zh) 使用矽替換的非平面矽鍺電晶體之製造技術
US11652045B2 (en) Via contact patterning method to increase edge placement error margin
US20220399310A1 (en) Hybrid manufacturing with modified via-last process
US11342409B2 (en) Isolation regions in integrated circuit structures
WO2018111289A1 (en) Interconnects provided by subtractive metal spacer based deposition
US11521923B2 (en) Integrated circuit package supports
US11923150B2 (en) Decoupling capacitors based on dummy through-silicon-vias
US20230064541A1 (en) Bilayer memory stacking with computer logic circuits shared between bottom and top memory layers
US20230067765A1 (en) Bilayer memory stacking with lines shared between bottom and top memory layers
WO2018231195A1 (en) Air gap structures in integrated circuit components
US20220415904A1 (en) Back-side reveal for power delivery to backend memory
US11417775B2 (en) Nanowire thin film transistors with textured semiconductors
TW202315059A (zh) 在上金屬層中具有空氣間隙的後端記憶體
US20210183761A1 (en) Line patterning in integrated circuit devices