TW202315059A - 在上金屬層中具有空氣間隙的後端記憶體 - Google Patents

在上金屬層中具有空氣間隙的後端記憶體 Download PDF

Info

Publication number
TW202315059A
TW202315059A TW111109372A TW111109372A TW202315059A TW 202315059 A TW202315059 A TW 202315059A TW 111109372 A TW111109372 A TW 111109372A TW 111109372 A TW111109372 A TW 111109372A TW 202315059 A TW202315059 A TW 202315059A
Authority
TW
Taiwan
Prior art keywords
layer
air gap
conductive
integrated circuit
memory
Prior art date
Application number
TW111109372A
Other languages
English (en)
Inventor
亞西雪克 夏瑪
陳胤涵
威爾弗雷德 戈麥斯
費堤 漢洛路
崔維斯 拉喬伊
凡 勒
阿蕾克雅 尼瑪加達
米莉安 里薛柯
柳惠宰
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202315059A publication Critical patent/TW202315059A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/312DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with a bit line higher than the capacitor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

示例IC裝置包含前端層和具有金屬化堆疊的後端層。該金屬化堆疊包含具有複數個記憶體胞的後端記憶體層,該後端記憶體層具有多個後端電晶體,以及該金屬化堆疊包含具有複數個導電互連體(例如,複數個導電線)和在複數個互連體中的相鄰者之間的空氣間隙的層。在IC裝置的金屬化堆疊的上金屬層中提供空氣間隙可以有利地減少IC裝置中的寄生效應,因為這種效應通常與周圍介質的介電質常數成比例。反過來,減少寄生效應會導致改進後端記憶體的性能或對後端記憶體的需求。

Description

在上金屬層中具有空氣間隙的後端記憶體
本發明係有關一種在上金屬層中具有空氣間隙的後端記憶體。
嵌入式記憶體對於現今單晶片系統(SoC)技術的性能非常重要。低功率和高密度嵌入式記憶體用於許多不同的電腦產品,並且總是需要進一步改進。
概述
本揭露的系統、方法和裝置均具有多種創新方面,其中沒有一個單獨負責本文揭露的所有期望屬性。本說明書中描述的標的的一個或多個實施方案的細節在以下描述和附隨圖式中闡述。
揭露了具有後端記憶體和在上金屬層中空氣間隙的IC裝置,以及相關的系統和方法。示例IC裝置包含具有複數個前端電晶體(例如,邏輯電晶體)的前端層和具有金屬化堆疊的後端層,該金屬化堆疊包含具有複數個記憶體胞的後端記憶體層,該後端記憶體層具有多個後端電晶體,以及該金屬化堆疊包含具有複數個導電互連體(例如,複數個導電線)和在複數個互連體中的相鄰者之間的空氣間隙的層。可替換地,前端層也可以稱為前端製程(FEOL)層,而後端層也可以稱為後端製程(BEOL)層,或複數個BEOL層。後端記憶體層可以在前端層和具有空氣間隙的層之間,在具有空氣間隙的層之上不包含其他後端記憶體,這意味著空氣間隙可以設置在金屬化堆疊的上金屬層中。在IC裝置的金屬化堆疊的上金屬層中提供空氣間隙可以有利地減少IC裝置中的寄生效應(例如,寄生電容),因為這種效應通常與周圍介質的介電質常數成比例。由於空氣的介電質常數大約等於1並且低於通常包含在金屬化堆疊的互連體之間的任何固體介電質材料的介電質常數,因此用空氣間隙替換至少一些固體介電質材料有效地降低了在互連體周圍的周圍環境介質的平均介電質常數,從而減少寄生效應。反過來,減少寄生效應會導致改進後端記憶體的性能或對後端記憶體的需求。例如,在IC裝置的上金屬層中引入空氣間隙可以導致減少IC裝置的下金屬層中所包含的後端記憶體的位元線的延遲。
在下文中,有些描述可能會提到後端記憶體是基於TFT的記憶體。然而,本揭露的實施方式同樣適用於使用層轉移而不是使用TFT(或除了TFT之外還使用層轉移)所實現的後端記憶體。此外,一些描述可能將電晶體的特定的源極或汲極(S/D)區或接點稱為源極區/接點或汲極區/接點。然而,除非另有說明,電晶體的哪個區/接點被認為是源極區/接點以及哪個區/接點被認為是汲極區/接點並不重要,因為這在場效應電晶體(FET)的領域中很常見,源極和汲極的指定經常可以互換。因此,本文提供的源極區和汲極區/接點的一些說明性實施方式的描述適用於源極區和汲極區/接點的指定可以顛倒的實施方式。例如,雖然本文提供的一些描述可能指的是電晶體是底閘電晶體,但是本揭露的實施方式不僅限於這種設計並且包含各種其他架構的電晶體,或者不同架構的混合。例如,在各種實施方式中,本文描述的電晶體可以包含底閘電晶體、頂閘電晶體、鰭場效電晶體、奈米線電晶體、奈米帶電晶體、平面電晶體等,所有這些都在本揭露的範圍內。此外,雖然本揭露的描述可以涉及在IC裝置的給定層中提供的邏輯裝置或記憶體胞,但是本文描述的IC裝置的每一層還可以包含除了本文描述的邏輯或記憶體裝置之外的其他類型的裝置。例如,在一些實施方式中,具有邏輯電晶體的FEOL層也可以包含記憶體胞,及/或具有記憶體胞的BEOL層也可以包含邏輯電晶體。
在以下詳細描述中,將使用習於此技藝者通常用以傳遞他們工作的本質給其它習於此技藝者的用語來描述說明性實施方案之各式態樣。例如,用語「互連體」可用於描述由電性導電材料形成的用於向與IC相關聯的一個或多個組件或/及各種此類組件之間提供電導電性的任何元件。通常,用語「互連體」可以指導電線(或簡稱為「線」,有時也稱為「跡線」或「溝槽」)和導電通孔(或簡稱為「通孔」)。通常,在互連體的上下文中,用語「導電線」可用於描述藉由設置在IC晶粒平面內的絕緣體材料(例如,低k介電質材料)所隔離的電性導電元件。這種線通常被堆疊成幾層級,或幾層金屬化堆疊。另一方面,用語「通孔」可用於描述將兩個或多個不同層級的線互連的電性導電元件。為此,可以基本上垂直於IC晶粒的平面提供通孔並且可以將相鄰層中的兩條線或不相鄰層中的兩條線互連。用語「金屬化堆疊」可用於指代一個或多個互連體的堆疊,用於提供與IC晶片的不同電路組件的連接性。有時,線和通孔可分別稱為「金屬跡線」和「金屬通孔」,以強調這些元件包含諸如金屬之類的電性導電材料這一事實。
在另一個示例中,用語「封裝」和「IC封裝」是同義詞,用語「晶粒」和「IC晶粒」是同義詞,用語「絕緣」是指「電絕緣」,用語「導電」是指「電性導電」,除非另有說明。儘管某些元件在本文中可能以單數形式提及,但此類元件可包含多個子元件。例如,「一種電性導電材料」可以包含一種或多種電性導電材料。如果使用的話,用語「氧化物」、「碳化物」、「氮化物」等是指分別含有氧、碳、氮等的化合物,用語「高k介電質」是指具有比氧化矽更高的介電常數的材料,而用語「低k介電質」是指具有比氧化矽更低的介電常數的材料。此外,用語「連接」可用於描述被連接物之間的直接電或磁連接,而無需任何中間裝置,而用語「耦接」可用於描述被連接事物之間的直接電或磁連接,或經由一個或多個被動或主動中間裝置的間接連接。用語「電路」可用於描述一或更多主動及/或被動組件,其被安排彼此合作用以提供所欲的功能。用語「基本上」、「接近」、「約」、「接近」和「大約」一般是指在基於本文所述或作為本領域已知的特定值的上下文的目標值的+/-20%以內。類似地,指示各種元件方位的用語,例如「共平面」、「垂直」、「正交」、「平行」或元件之間的任何其他角度,一般是指在基於本文所述或作為本領域已知的特定值的上下文的目標值的+/-5至20%以內。
基於本揭露的目的,「A及/或B」之詞組意指(A)、(B)、或(A及B)。為了本揭露之目的,詞組「A、B及/或C」意指(A)、(B)、(C)、(A及B)、(A及C)、(B及C)、或(A、B、及C)。用語「之間」,當用於測量範圍時,包含測量範圍的末端。如本文所用,符號「A/B/C」是指(A)、(B)及/或(C)。
說明可以使用「在實施方式中」、或是「在某些實施方式中」等詞組,它們都可意指一或更多相同或相異的實施方式。再者,與本揭露的實施方式相關地使用之「包括」、「包含」、「具有」等用語是同義的。本揭露可以使用基於透視的描述,例如「之上」、「之下」、「頂」、「底」和「側」;此類描述用於促進討論並且不旨在限制所揭露實施方式的應用。附隨圖式不一定按比例繪製。除非另外說明,否則用以描述共同物件之順序形容詞「第一」、「第二」、以及「第三」等之使用,僅指示正在提及之相同物件之不同實例,而不旨在默示如此描述之物件必須係為無論時間、空間、排序或任何其他方式的給定之順序。
在下面的詳細描述中,參考形成其一部分的附隨圖式,並且其中經由說明的方式示出了可以實踐的實施方式。須瞭解,可以使用其它實施方式,以及,在不悖離本揭露的範圍之下,可以作出結構或邏輯的變化。因此,以下詳細描述不應被視為具有限制意義。為方便起見,如果存在用不同字母指定的圖集,例如圖2A至2B,則在此可以不帶字母將這樣的圖集稱為例如「圖2」。
在圖式中,本文所述的各種裝置和組合件的示例結構的一些示意圖可能以精確的直角和直線顯示,但應理解,應當理解,當使用例如掃描式電子顯微鏡(SEM)影像或穿透式電子顯微鏡(TEM)影像檢查本文所述的任何結構時,此類示意圖示可能無法反映現實生活中的製程限制,這可能導致特徵看起來不那麼「理想」。在這種真實結構的影像中,可能的處理缺陷也可能是可見的,例如,不完美的材料邊緣、錐形通孔或其他開口、角落的無意倒圓或不同材料層的厚度變化、偶爾出現的螺釘、邊緣或結晶區內的組合差排,及/或單原子或原子叢集的偶然差排缺陷。可能存在此處未列出但在裝置製造領域中很常見的其他缺陷。
以最有助於瞭解申請專利的標的之方式,可依序說明作為多個離散動作或操作之不同操作。但是,說明的次序不應被解釋為意指這些操作必須是次序相依的。特別是,可不以呈現的次序來予以執行這些操作。所描述的操作可以以與所描述的實施方式相異的順序執行。可以執行各種附加操作,及/或在其他實施方式中可以省略所描述的操作。
如本文所述的在上金屬層中具有後端記憶體和空氣間隙的各種IC裝置可在與IC相關聯的一個或多個組件中實現或與其相關聯,或/及可在各種此類組件之間實現。在各種實施方式中,與IC相關聯的組件包含例如電晶體、二極體、電源、電阻器、電容器、電感器、感測器、收發器、接收器、天線等。與IC相關聯的組件可包含安裝在IC上的組件或連接到IC的組件。IC可以是類比的或數位的,並且可以用於多種應用,例如微處理器、光電、邏輯塊、音訊放大器等,這取決於與IC相關聯的組件。IC可以用作晶片組的一部分,用於在電腦中執行一個或多個相關功能。 示例後端記憶體
為了說明如本文所述在上金屬層中具有後端記憶體和空氣間隙的IC裝置,首先了解可能在某些IC配置中起作用的現象可能是有用的。以下基礎資訊可以被視為可以正確解釋本揭露的基礎。提供此類資訊僅用於解釋目的,因此不應以任何方式解釋為限制本揭露的廣泛範圍及其潛在應用。
一些記憶體裝置可以被認為是「獨立」裝置,因為它們包含在不包含計算邏輯的晶片中(這裡使用的用語「計算邏輯裝置」或簡稱為「計算邏輯」或「邏輯裝置」,指的是用於執行計算/處理操作的IC組件,例如電晶體)。其他記憶體裝置可以與計算邏輯一起被包含在晶片中並且可以被稱為「嵌入式」記憶體裝置。使用嵌入式記憶體來支援計算邏輯可以藉由使記憶體和計算邏輯更緊密地結合在一起並消除增加延遲的介面來提高性能。本揭露的各種實施方式涉及嵌入式記憶體陣列以及相應的方法和裝置。
本揭露的一些實施方式可以涉及動態隨機存取記憶體(DRAM),尤其是嵌入式DRAM(eDRAM),因為這種類型的記憶體在過去已經被引入以解決其他類型的記憶體的密度和待機功率的限制。然而,本揭露的實施方式同樣適用於使用其他技術所實現的後端記憶體。因此,一般而言,本文所述的後端記憶體可被實現為eDRAM胞、自旋轉移矩隨機存取記憶體(STTRAM)胞、電阻式隨機存取記憶體(RRAM)胞或任何其他非揮發性記憶體胞。
作為示例,DRAM胞可以包含用於儲存位元值或胞的記憶體狀態(例如,邏輯「1」或「0」)的電容器,以及控制對單元的存取電晶體(例如,存取將資訊寫入胞或存取以從胞中讀取資訊)。這種記憶體胞可稱為「1T-1C記憶體胞」,強調它使用一個電晶體(即用語「1T-1C記憶體胞」中的「1T」)和一個電容器(即在用語「1T-1C記憶體胞」中的「1C」)。1T-1C記憶體胞的電容器可以耦接到存取電晶體的一個S/D端子/區(例如,連接到存取電晶體的源極端子/區),而存取電晶體的另一個S/D端子/區(例如,到汲極端子/區域)可以耦接到位元線(BL),並且存取電晶體的閘極端子可以耦接到字線(WL)。由於這種記憶體胞可以用少至單個存取電晶體來製造,因此與相同製程技術中的某些其他類型的記憶體相比,它可以提供更高的密度和更低的待機功率。
傳統上,各種1T-1C記憶體胞已經與在半導體基板的最上層中所實現的FEOL(基於邏輯製程)存取電晶體來實現。然而,使用標準邏輯電晶體作為1T-1C記憶體胞的存取電晶體會帶來一些挑戰。
一個挑戰與存取電晶體的洩漏有關,即當電晶體處於「關閉」狀態時,電流在電晶體的源極和汲極之間流動。由於在規模化技術中減少邏輯電晶體的洩漏很困難,因此在先進技術節點(例如,10奈米(nm)、7奈米、5奈米及以上)中實現1T-1C記憶體可能具有挑戰性。特別是,給定一定的存取電晶體洩漏,1T-1C記憶體胞的電容器的電容應該足夠大,以便可以在電容器上儲存足夠的電荷以滿足相應的刷新時間。然而,不斷減小電子元件尺寸的需求決定了記憶體陣列的巨集面積(Macro Area)不斷減小,從而限制了有多大的給定電容器的頂部面積(即佔用區)能被允許,這意味著電容器需要更高,以便同時具有足夠小的佔用面積和足夠大的電容。隨著電容器尺寸的不斷擴大,這反過來又給蝕刻開口以形成電容器帶來了挑戰,因為具有小佔用面積的高電容器需要更高的高寬比的開口,這並不容易實現。
與在1T-1C記憶體胞中使用邏輯電晶體相關的另一個挑戰與電容器這樣的記憶體胞的位置有關。即,可能希望在靠近其對應存取電晶體的金屬層中提供電容器。由於邏輯電晶體被實現為直接提供在半導體基板上的FEOL電晶體,因此1T-1C記憶體胞的相應電容器必須嵌入較低的金屬層中,以便足夠靠近邏輯存取電晶體。隨著下金屬層的節距在先進技術節點中大幅擴展,將電容器嵌入下金屬層對基於1T-1C的記憶體擴展提出了重大挑戰。
另一個挑戰在於,給定基板的可用表面積,在該區域中只能形成這麼多的FEOL電晶體,從而顯著限制了記憶體陣列的記憶體胞的密度。
在IC裝置的後端(即在一個或多個BEOL層中)實現記憶體可以解決上述一些挑戰。
可以使用TFT作為在BEOL層中所嵌入的記憶體胞的存取電晶體來實現後端記憶體。TFT是一種特殊的場效應電晶體,藉由可能是非導電層和非半導體層的支撐層上方沉積主動半導體材料的薄膜以及介電質層和金屬接點所製成。至少一部分主動半導體材料形成TFT的通道。這不同於常規的、非TFT、FEOL邏輯電晶體,其中主動半導體通道材料通常是半導體基板的一部分,例如矽晶圓的一部分。使用TFT作為記憶體胞的存取電晶體提供多種優勢,並實現了傳統FEOL邏輯電晶體無法實現的獨特架構。例如,一個優點是TFT可以具有比邏輯電晶體低得多的洩漏,從而可以放寬對1T-1C記憶體胞的電容器上的大電容的要求。換言之,在1T-1C記憶體胞中使用較低洩漏的TFT允許記憶體胞使用具有更低電容和更小高寬比的電容器,同時仍滿足其他方法的相同資料保留要求,從而減輕了電容器的縮小挑戰。
附加地或替代地,對於基於TFT的記憶體,後端記憶體可以使用層轉移來實現以形成嵌入BEOL層中的記憶體胞的存取電晶體。層轉移可包含在另一基板上磊晶生長高度結晶半導體材料層,然後轉移該層或其一部分,以將其嵌入在第二基板上方所提供的BEOL層中。後端電晶體的通道區則包含這種轉移的半導體材料層的至少一部分。執行層轉移可以有利地允許在BEOL層中形成非平面電晶體,例如鰭場效電晶體、奈米線電晶體或奈米帶電晶體。在一些實施方式中,電晶體或其部分(例如,S/D區)可以在層轉移發生之前形成在第一基板上(即,在其上生長有一層高度結晶半導體材料的基板上),然後轉移具有這種電晶體的層或其部分。
用於提供後端記憶體的層轉移方法可能特別適合於形成具有由基本單晶半導體材料形成的通道區的存取電晶體。另一方面,基於TFT的後端記憶體可被視為單片整合方法的一個示例,因為用於通道區域的半導體材料沉積在IC裝置的BEOL層中,而不是在其他地方磊晶生長然後轉移,這可能特別適合於形成具有由多晶體、多晶形(polymorphous)或非晶半導體材料或各種其他薄膜通道材料所形成的通道的存取電晶體。給定後端裝置(例如後端電晶體)的通道區的半導體材料是藉由單片整合方法還是藉由層轉移所提供的,可以藉由檢查該裝置的主動半導體材料的晶粒尺寸(例如,後端電晶體的通道區的半導體材料)來識別。半導體材料的平均晶粒尺寸在大約0.5和1毫米之間(在這種情況下,該材料可以是多晶的)或小於大約0.5毫米(在這種情況下,該材料可以是多晶體)可能表明該半導體材料已經沉積在裝置的BEOL層中(即單片整合方法),例如,來形成TFT。另一方面,半導體材料的平均晶粒尺寸等於或大於約1毫米(在這種情況下,材料可以是單晶材料)可以指示半導體材料已經藉由層轉移被包含在裝置的BEOL層中。用於形成後端記憶體的單片整合與層轉移方法的討論同樣適用於不屬於記憶體陣列的後端電晶體(例如,如果後端電晶體在IC裝置中實現以用作邏輯電晶體、開關或用於任何其他目的或任何其他電路)。
將存取電晶體移動到先進互補式金屬氧化物半導體(CMOS)製程的BEOL層,無論是藉由單片整合(例如,使用TFT)還是藉由層轉移,都意味著它們對應的電容器可以在具有相應厚度的上金屬層中實現層間介電質(ILD)層和更大的金屬節距以實現更高的電容。這緩解了嵌入電容器帶來的整合挑戰。此外,當至少一些存取電晶體被實現為後端電晶體時,可以在基板上方的不同BEOL層中提供不同記憶體胞的至少一部分,從而實現記憶體陣列的堆疊架構。在此上下文中,用語「之上」是指BEOL層離IC裝置(例如,圖5中所示的IC裝置500)的FEOL層更遠。
圖1提供根據本揭露的一些實施方式的1T-1C記憶體胞100的電路圖。如圖所示,1T-1C胞100可以包含存取電晶體110和電容器120。存取電晶體110具有閘極端子、源極端子和汲極端子,在圖1的示例中分別表示為端子G、S和D。在下文中,用語「端子」和「電極」可以互換使用。此外,對於S/D端子,用語「端子」和「區」可以互換使用。
如圖1所示,在1T-1C胞100中,存取電晶體110的閘極端子可以耦接到WL 150,存取電晶體110的S/D端子之一可以耦接到BL 140,存取電晶體110的S/D端子中的另一個可以耦接到電容器120的第一電極。還如圖1所示,電容器120的另一個電極可以耦接到電容器板線(PL)160(有時也稱為「選擇線」(SL))。如本領域已知的,WL、BL和PL可以一起用於讀取和程式化電容器120。
BL 140、WL 150和PL 160中的每一個以及將這些線路耦接到本文所述的各種端子的中間元件可以由任何合適的電性導電材料形成,其可以包含合金或多個電性導電材料的堆疊。在一些實施方式中,這樣的電性導電材料可以包含一種或多種金屬或金屬合金,金屬例如釕、鈀、鉑、鈷、鎳、鉿、鋯、鈦、鉭和鋁。在一些實施方式中,這種電性導電材料可以包含一種或多種金屬的一種或多種電性導電合金氧化物或碳化物。
在一些實施方式中,存取電晶體110可以是TFT。在其他實施方式中,存取電晶體110可以不是TFT,例如,使用層轉移在IC裝置的後端中所提供的結晶半導體材料上所形成的電晶體。例如,在一些這樣的實施方式中,存取電晶體110可以是鰭場效電晶體、奈米線或奈米帶電晶體。
圖2A至2B分別是根據本揭露的一些實施方式的給定的基於TFT的記憶體胞200的示例存取TFT 210的橫截面(yz平面)和平面圖(yx平面)。例如,存取TFT 210可以是圖1的存取電晶體110,而記憶體胞200可以是圖1的記憶體胞100。圖3A至3B是根據本揭露的一些實施方式的圖2A至2B的基於TFT的記憶體胞200中的存取TFT 210的示例結構的橫截面視圖(xz和yz平面)。圖2和3中所示的記憶體胞200是記憶體胞的示例,其可以被實施以實現IC裝置的後端記憶體的一個或多個記憶體胞,如本文所述,具有後端記憶體和上金屬層中的空氣間隙例如,如本文所述的IC裝置400或500。在如本文所述的IC裝置400或500的一些實施方式中,多個記憶體胞200可以配置在堆疊架構中,即,當諸如圖2和圖3中所示的記憶體胞的不同記憶體胞堆疊在不同的BEOL層中時。
如圖2所示,基於TFT的記憶體胞200可以包含WL 250(其可以是圖1的WL 150的示例)以提供閘極信號。還如圖2所示,基於TFT的記憶體胞200還可以包含存取TFT 210,該存取TFT 210包含通道層並且被配置為因應閘極信號來控制記憶體胞的儲存狀態在通道層的第一區和第二區之間的轉移(在下文更詳細地描述通道層和第一和第二區域,例如,參考圖3)。在一些實施方式中,存取TFT 210可以被提供在耦接到記憶體胞200的WL 250上方。還如圖2所示,記憶體胞200還可以包含BL 240以轉移記憶體狀態並耦接到存取TFT 210的通道層的第一區,以及耦接到存取TFT 210的通道層的第二區的儲存節點230。儘管未在圖2中具體示出,但記憶體胞200還包含諸如圖1的電容器120的電容器,例如金屬-絕緣體-金屬(MIM)電容器,其耦接到儲存節點230並被組態為儲存記憶體胞200的記憶體狀態。
轉到圖2的細節,記憶體胞200中的存取TFT 210可耦接到WL 250或由其控制,在一些實施方式中,WL 250可作為存取TFT 210的閘極。BL 240(其可以是圖1的BL 140的示例)可以耦接到存取TFT 210的S/D區之一(或S/D接點或端子之一)和儲存節點230可以耦接到存取TFT 210的S/D區中的另一個。在一些實施方式中,BL 240可以作為第一S/D接點,並且儲存節點230可以作為存取TFT 210的第二S/D接點。BL 240可以連接到感測放大器和BL驅動器,其可以例如提供在與包含記憶體胞200的記憶體陣列相關聯的記憶體外圍電路中。在一些實施方式中,對於給定的記憶體胞200,WL 250可以形成在IC裝置的BEOL的金屬層Mx(其中x是表示特定層的整數)中,而存取TFT 210、儲存節點230、和BL 240可以形成在IC裝置的BEOL的金屬層Mx+1中,即金屬層Mx之上的金屬層,例如,在金屬層Mx正上方(如圖2和3所繪示)。然後可以在IC裝置的BEOL的金屬層Mx+2中形成記憶體胞200的電容器,例如直接在金屬層Mx+1正上方。
圖3A至3B說明存取TFT 210的進一步細節。如圖3A至3B所示,在一些實施方式中,存取TFT 210可以基本上被提供在WL 250之上。在一些實施方式中,存取TFT 210可以是底閘TFT,在於其包括閘極介電質216和閘極電極214的閘極堆疊可以被提供在其通道層(也稱為「主動層」)218之下,例如,在通道層218和WL 250之間,並且通道層218可以在閘極堆疊與BL 240和儲存節點230之間,BL 240形成存取TFT 210的S/D端子之一(例如汲極端子),以及儲存節點230形成存取TFT 210的另一個S/D端子,例如源極端子(同樣,在其他實施方式中,S/D端子的該示例指定可以顛倒)。因此,WL 250可以在如圖4所示的FEOL層420和閘極電極214之間,並且BL 240可以比通道層218離FEOL層420更遠。
通道層218可以由包含例如N型或P型材料系統的半導體材料系統所構成。在一些實施方式中,通道層218可以包含高遷移率氧化物半導體材料,例如氧化錫、氧化銻、氧化銦、氧化銦錫、氧化鈦、氧化鋅、氧化銦鋅、氧化銦鎵鋅(IGZO)、氧化鎵、氧氮化鈦、氧化釕或氧化鎢。一般來說,通道層218可以包含氧化錫、氧化鈷、氧化銅、氧化銻、氧化釕、氧化鎢、氧化鋅、氧化鎵、氧化鈦、氧化銦、氮氧化鈦、氧化銦錫、氧化銦鋅、氧化鎳、氧化鈮、過氧化銅、IGZO、碲化銦、輝鉬礦、二硒化鉬、二硒化鎢、二硫化鎢、N或P型非晶或多晶矽、鍺、砷化銦鎵、矽鍺、氮化鎵、氮化鋁鎵、亞磷酸銦和黑磷中的一種或多種,其中每一種都可能摻雜有鎵、銦、鋁、氟、硼、磷、砷、氮、鉭、鎢、鎂等中的一種或多種。特別地,通道層218可以由薄膜材料形成。一些這樣的材料可以在相對低的溫度下沉積,這允許在施加於後端製造的熱預算內沉積它們,以避免損壞前端組件,例如IC裝置400或500的FEOL層的邏輯裝置。在一些實施方式中,通道層218可以具有在大約5至75奈米之間的厚度,包含在其中的所有值和範圍。
存取TFT 210的S/D電極,分別由對應的BL 240和源極節點240所提供的各種圖中示出,可以包含任何合適的電性導電材料、合金或多種電性導電材料的堆疊。在一些實施方式中,存取TFT 210的S/D電極可以包含一種或多種金屬或金屬合金,金屬例如銅、釕、鈀、鉑、鈷、鎳、鉿、鋯、鈦、鉭和鋁、氮化鉭、鎢、摻雜的矽、摻雜的鍺或這些的合金和混合物。在一些實施方式中,存取TFT 210的S/D電極可以包含一種或多種金屬的一種或多種電性導電合金、氧化物或碳化物。在一些實施方式中,存取TFT 210的S/D電極可以包含摻雜半導體,例如矽或摻雜有N型摻雜物或P型摻雜物的另一種半導體。金屬可以提供更高的導電性,而摻雜的半導體在製造過程中可能更容易圖案化。在一些實施方式中,存取TFT 210的S/D電極可具有在大約2奈米至1000奈米之間、較佳地在大約2奈米和100奈米之間的厚度(即,沿本圖式中所示的示例座標系統的z軸所測得的尺寸)。
閘極介電質216可以橫向圍繞通道層218,並且閘極電極214可以橫向圍繞閘極介電質216,使得閘極介電質216設置在閘極電極214和通道層218之間。在各種實施方式中,閘極介電質216可以包含一種或多種高k介電質材料並且可以包含諸如鉿、矽、氧、鈦、鉭、鑭、鋁、鋯、鋇、鍶、釔、鉛、鈧、鈮和鋅。可以在閘極介電質216中所使用的高k材料的示例可以包含但不限於氧化鉿、氧化鉿矽、氧化鑭、氧化鋁鑭、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、鋇鍶鈦氧化物、鋇鈦氧化物、鍶鈦氧化物、氧化釔、氧化鋁、氧化鉭、鉭矽氧化物、鉛鈧鉭氧化物和鉛鋅鈮酸。在一些實施方式中,可以在製造存取TFT 210期間對閘極介電質216進行退火製程以提高閘極介電質216的品質。在一些實施方式中,閘極介電質216可以具有在大約0.5奈米和3奈米之間的厚度,包含在其中的所有值和範圍,例如在大約1和3奈米之間,或在大約1和2奈米之間。
在一些實施方式中,閘極介電質216可以是多層閘極介電質,例如,它可以在一層和一層IGZO中包含任何高k介電質材料。在一些實施方式中,閘極堆疊(即,閘極介電質216和閘極電極214的組合)可以被配置成使得IGZO設置在高k介電質和通道層218之間。在這樣的實施方式中,IGZO可以與通道層218接觸並且可以提供通道層218和多層閘極介電質216的其餘部分之間的界面。IGZO可以具有1:1的鎵銦比、大於1的鎵銦比(例如,2:1、3:1、4:1、5:1、6:1、7:1、8:1、9:1或10:1),及/或鎵與銦的比例小於1(例如1:2、1:3、1:4、1:5、1:6、1:7、1:8、1:9或1:10)。
取決於存取TFT 210是P型金屬氧化物半導體(PMOS)電晶體還是N型金屬氧化物半導體(NMOS)電晶體,閘極電極214可以包含至少一種P型功函數金屬或N型功函數金屬。對於PMOS電晶體,可用於閘極電極214的金屬可包含但不限於釕、鈀、鉑、鈷、鎳和導電金屬氧化物(例如,氧化釕)。對於NMOS電晶體,可用於閘極電極214的金屬包含但不限於鉿、鋯、鈦、鉭、鋁、這些金屬的合金和這些金屬的碳化物(例如,碳化鉿、鋯碳化物、碳化鈦、碳化鉭和碳化鋁)。在一些實施方式中,閘極電極214可以包含二或更多金屬層的堆疊,其中一或更多金屬層係功函數金屬層且至少一金屬層係填充金屬層。可以包含另外的金屬層用於其他目的,例如用作擴散障壁層,如下所述。
圖3A至3B進一步說明,底閘存取TFT 210可以進一步可選用地包含諸如擴散障壁層212之類的層,其可以被一層抗蝕刻材料(例如,蝕刻停止層211)圍繞。在一些實施方式中,擴散障壁層212可以是WL 250上的金屬或銅擴散障壁層(例如,用於減少或防止金屬或銅從WL 250擴散到閘極電極214中同時仍保持與WL 250和閘極電極214之間的電連接的導電材料),例如TaN、鉭(Ta)、氮化鈦鋯(例如TiXZr1-XN,例如X=0.53)、氮化鈦(例如TiN)、鈦鎢(TiW)、組合(如Ta上的TaN的堆疊結構)等。例如,擴散障壁層212可以包含單層或多層結構,包含鉭(Ta)和氮(n)的化合物,例如TaN或Ta層上的TaN層。在一些實施方式中,可以在WL 250上方形成一層諸如氮化矽或碳化矽的抗蝕刻材料(例如,蝕刻停止層211),帶有用於金屬(或銅)擴散障壁膜212(例如TaN或TaN/Ta堆疊)的通孔。閘極電極214可以是擴散障壁層212上的導電材料,例如金屬、導電金屬氧化物或氮化物等。例如,在一個實施方式中,閘極電極214可以是氮化鈦(TiN)。在另一實施方式中,閘極電極214可以是鎢(W)。
通道層218可以與BL 240接觸(例如,在通道層218的第一S/D區,例如,汲極區)和與儲存節點230(例如,在通道層218的第二S/D區(例如源極區),存取TFT 210的半導體通道區位於第一S/D區和第二S/D區之間)接觸。在一些實施方式中,這樣的通道區可以僅包含薄膜中的多數載子。因此,通道層218可能需要相對高的偏壓(例如,由WL 250、擴散障壁膜212和閘極電極214提供)來啟動。 具有後端記憶體和上金屬層中的空氣間隙的示例 IC 裝置
圖4提供根據本揭露的一些實施方式的在上金屬層中具有後端記憶體和空氣間隙的IC裝置400的示意圖。
如圖4所示,IC裝置400可以包含支撐結構410、FEOL層420和在FEOL層420之上的一個或多個BEOL層430。同樣如圖4所示,BEOL層430還可以包含後端記憶體層432和至少一個空氣間隙層434,使得後端記憶體層432位於FEOL層420和空氣間隙層434之間,並且FEOL層420在支撐結構410和一個或多個BEOL層430之間。
FEOL層420可以包含複數個前端/FEOL裝置,例如FEOL電晶體,例如鰭場效電晶體、奈米線電晶體、奈米帶電晶體等。在一些實施方式中,一些或所有的FEOL電晶體可以實現為具有後側接點的電晶體。在一些實施方式中,一些或所有的FEOL電晶體可以實現為具有前側接點的電晶體。通常,如果電晶體的兩個S/D接點都在電晶體的通道材料的一側(在電晶體的通道材料之上),則電晶體被描述為具有前側接點的電晶體。另一方面,如果電晶體的兩個S/D接點都低於電晶體的通道材料,或者如果一個S/D接點在相對於通道材料的一側(例如,在通道材料之上),而另一個S/D接點位於相向側(例如,在通道材料之下),則電晶體被描述為具有後側接點的電晶體。
BEOL層430的後端記憶體層432可以包含至少一層後端記憶體,例如具有如本文所述的複數個1T-1C記憶體胞的記憶體陣列,例如如本文所述的記憶體胞100/200,或一堆這樣的層/陣列。此外,BEOL層430還可以包含複數個後端互連體,其電耦接到(例如,與其至少部分電性導電接觸)FEOL層420的複數個FEOL裝置中的一個或多個及/或後端記憶體層432的複數個記憶體胞中的一個或多個。空氣間隙層434可以是這樣的後端互連體(例如,導電線),在至少一些相鄰互連體對之間具有空氣間隙。
在一些實施方式中,在FEOL層420中所實現的FEOL電晶體可以是計算邏輯的一部分,例如,用作在一個或多個BEOL層430中所實現的記憶體陣列的記憶體周邊電路。例如,FEOL電晶體可以負責計算邏輯功能,該功能與關於儲存在記憶體胞中的資料的讀/寫操作有關,其可以在一個或多個BEOL層430中實現。為此,FEOL層420的一些FEOL電晶體可以是一個或多個輸入/輸出(I/O)IC(例如,記憶體周邊電路)的一部分,該IC被組態為控制(例如,控制存取(讀/寫)、儲存、刷新)在IC裝置400中所實現的記憶體胞(例如,在一個或多個BEOL層430中所實現的記憶體胞)。在一些實施方式中,FEOL層420的一些FEOL電晶體可以是高性能計算邏輯的一部分,被組態為對儲存在IC裝置400中所實現的記憶體胞中的資料執行各種操作(例如,算術和邏輯操作、來自IC裝置400中所實現的一個或多個記憶體陣列的資料的管線化,以及可能還有來自外部裝置/晶片的資料)。在IC裝置400的一些實施方式中,計算邏輯可以被提供在FEOL 420和BEOL層430的一個或多個最低金屬層中,而一個或多個後端記憶體陣列可以提供在BEOL層430的較高層中。在IC裝置400的其他實施方式中,參考FEOL層420描述的計算邏輯可以提供在FEOL層420之上(例如,在一個或多個BEOL層430中),在BEOL層430的多個記憶體層之間,或與一個或多個BEOL層430的多個記憶體層組合。
一個或多個BEOL層430的各種BEOL層可以是/包含IC裝置400的金屬化堆疊的多個金屬層。BEOL的各種金屬層可用於將FEOL層420的計算邏輯中的邏輯裝置及/或一個或多個BEOL層430的記憶體層中的記憶體胞的各種輸入和輸出互連。一般而言,一個或多個BEOL層430的每個金屬層可以包含通孔部分和溝槽/互連體部分。金屬層的溝槽部分被組態用於沿著在xy平面(例如,在x或y方向)中延伸的電性導電(例如,金屬)線(有時也稱為「溝槽」)來傳輸信號和電力,而金屬層的通孔部分被組態用於通過在z方向上延伸的電性導電通孔來傳輸信號和電力,例如,傳輸到之上或之下的任何相鄰金屬層。因此,通孔將金屬結構(例如,金屬線或通孔)從一個金屬層連接到相鄰金屬層的金屬結構。儘管被稱為「金屬」層,一個或多個BEOL層430的各個層可以僅包含某些導電金屬圖案,例如銅(Cu)、鋁(Al)、鎢(W)或鈷(Co),或金屬合金,或更一般地,電性導電材料的圖案,形成在絕緣介質(如ILD)中。絕緣介質可以包含任何合適的ILD材料,例如氧化矽、碳摻雜的氧化矽、碳化矽、氮化矽、氧化鋁及/或氮氧化矽。
如圖4所示,FEOL層420可以被提供在支撐結構410上方,例如,半導體支撐結構,諸如基板、晶粒、晶圓或晶片。這種支撐結構可以例如是圖7A的晶圓2000,如下所述。這種半導體支撐結構可以是由包含例如N型或P型材料系統的半導體材料系統組成的半導體基板。在一實施方案中,半導體基板可為使用塊狀矽或絕緣體上矽(SOI)子結構所形成的晶體基板。在其他實施方案中,半導體基板可以使用替代材料形成,該替代材料可以與或不與矽結合,包含但不限於鍺、矽鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、砷化鋁鎵、砷化鋁、砷化銦鋁、銻化鋁銦、砷化銦鎵、氮化鎵、氮化銦鎵、氮化鋁銦或銻化鎵,或III-V族材料的其他組合(即來自III族和V族元素)、II-VI族(即來自元素週期表II和IV族的材料)或IV族材料(即來自元素週期表IV族的材料)。在一些實施方式中,基板可以是非結晶的。在一些實施方式中,基板可以是印刷電路板(PCB)基板。雖然於此說明形成基板的一些材料示例,但是,可以作為如這裡描述的FEOL層420建立於其上的基礎之任何材料落在本揭露的精神和範圍內。在各種實施方式中,FEOL層420的電晶體的通道材料可以包含或可以形成在任何這樣的基板材料上。
在一些實施方式中,FEOL層420的FEOL電晶體的通道材料可以由包含例如N型或P型材料系統的半導體材料系統所構成。在一些實施方式中,FEOL電晶體的通道材料可以包含高遷移率氧化物半導體材料,例如氧化錫、氧化銻、氧化銦、氧化銦錫、氧化鈦、氧化鋅、氧化銦鋅、氧化鎵、氮氧化鈦、氧化釕或氧化鎢。在一些實施方式中,FEOL電晶體的通道材料可以包含半導體材料的組合,其中一種半導體材料可以用於通道部分,而另一種材料,有時稱為「阻擋材料」,可以在通道部分與支撐結構(FEOL電晶體被提供在支撐結構上方)之間使用。在一些實施方式中,FEOL電晶體的通道材料可以包含單結晶半導體,例如矽(Si)或鍺(Ge)。在一些實施方式中,FEOL電晶體的通道材料可以包含具有來自元素週期表的III族的至少一種元素(例如,Al、Ga、In)的第一子晶格和元素週期表的V族的至少一種元素(例如P、As、Sb)的第二子晶格之化合物半導體。
對於一些示例N型電晶體實施方式(即,對於給定的FEOL電晶體是NMOS的實施方式),FEOL電晶體的通道部分可以有利地包含具有高電子遷移率的III-V族材料,例如但不限於InGaAs、InP、InSb和InAs。對於一些這樣的實施方式,通道部分可以是三元III-V合金,例如InGaAs、GaAsSb、InAsP或InPSb。對於一些In xGa 1-xAs鰭實施方式,In含量(x)可以在0.6和0.9之間,並且可以有利地至少為0.7(例如,In 0.7Ga 0.3As)。在一些具有最高遷移率的實施方式中,通道部分可以是本徵III-V族材料,即未有意摻雜任何電性活化雜質的III-V族半導體材料。在替代實施方式中,標稱雜質摻雜物級可以存在於通道部分內,例如以進一步微調閾值電壓Vt,或提供HALO袋形植入等。然而,即使對於雜質摻雜的實施方式,FEOL電晶體的通道部分內的雜質摻雜物級可能相對較低,例如低於每立方公分(cm -3)10 15個摻雜物原子,並且有利地低於10 13cm -3
對於一些示例P型電晶體實施方式(即,對於給定的FEOL電晶體是PMOS的實施方式),FEOL電晶體的通道部分可以有利地是具有高電洞遷移率的IV族材料,例如但不限於Ge或富含Ge的SiGe合金。對於一些示例實施方式,通道部分可以具有0.6和0.9之間的Ge含量,並且有利地可以是至少0.7。在具有最高遷移率的一些實施方式中,通道部分可以是本徵III-V(或對於P型裝置的IV)材料並且未有意摻雜任何電性活化雜質。在替代實施方式中,一個或多個標稱雜質摻雜物級可以存在於FEOL電晶體的通道部分內,例如以進一步設置閾值電壓(Vt),或提供HALO袋形植入等。然而,即使對於摻雜雜質的實施方式,通道部分內的雜質摻雜物級相對較低,例如低於10 15cm -3,並且有利地低於10 13cm -3
雖然FEOL層420最初可以被提供在如上所述的半導體支撐結構上,但在一些實施方式中,可以隨後移除這種半導體支撐結構以暴露FEOL層420的FEOL裝置的後側部分,從而後側電力傳遞結構可以被提供在FEOL層420的後側(因此,一個或多個BEOL層430設置在FEOL層420的前側,後側電力輸送結構設置在FEOL層420的後側,並且圖2中所示的支撐結構410是或包含這種後側電力輸送結構)處。後側電力輸送結構410可以包含複數個互連體(即,導電線和通孔),其被組態為向FEOL層420的一個或多個裝置(例如,邏輯電晶體)和一個或多個BEOL層430的一個或多個裝置(例如,後端記憶體胞)提供電力。在一些實施方式中,後側電力輸送結構410可以進一步包含各種IC組件(例如,電容器、電感器、電阻器等),用於減少IC裝置400的寄生效應,例如,用於減少與用於電力輸送的互連體相關聯的寄生效應。
圖5提供根據本揭露的一些實施方式的在上金屬層中具有後端記憶體和空氣間隙的示例IC裝置500的橫截面側視圖。在圖5和至少一些後續圖中用標號提及的許多元件在這些圖式中以不同的圖案示出,並且在含有這些圖式的每個圖式頁的底部提供顯示標號和圖案之間的對應關係的圖例。例如,圖例說明圖5使用不同的圖案來顯示半導體材料502、前端電晶體504、ILD材料506、互連體508等。此外,儘管在圖5和至少一些後續圖中可以說明特定數量的給定元件,但這也只是為了便於說明,並且可以在IC裝置中包含更多或更少的數量根據本揭露的各種實施方式的裝置。更進一步,圖5旨在顯示具有後端記憶體和上金屬層中的空氣間隙的示例IC裝置中的各種元件的相對配置,以及具有後端記憶體和上金屬層或其部分中的空氣間隙的各種IC裝置,可以包含未示出的其他元件或組件(例如,任何另外的材料,例如可以圍繞電晶體的閘極堆疊的間隔物材料、蝕刻停止材料等)。
IC裝置500可以是IC裝置400的示例實施方案,其在圖5中藉由標記圖5左側的支撐結構410、FEOL層420和BEOL層430來指示。如圖5所示,在一些實施方式中,支撐結構410可以包含半導體材料502,在其上可以提供前端電晶體504。半導體材料502可以是如上所述的任何半導體支撐結構的上部。前端電晶體504的細節未在圖5中示出,因為這種電晶體的各種架構是已知的,並且前端電晶體504可以包含本領域已知的任何架構的電晶體。前端電晶體504的通道區可以包含半導體材料502。儘管未在圖5中具體示出,但在一些實施方式中,半導體材料502可以僅被包含在支撐結構410的一部分中(例如,作為前端電晶體504的通道材料),而原始支撐結構的其餘的半導體材料(曾在其上構建前端電晶體504)可被移除並由例如後側電力傳遞結構或非半導體支撐結構(例如玻璃支撐結構)代替。
圖5示出了ILD材料506和前端電晶體504上方的複數個互連體508。ILD材料506的一部分直接在前端電晶體504的多個部分正上方和周圍,以及ILD材料506的該部分中的一個或多個互連體508可以被視為FEOL層420的一部分,而上面的一切都可以被視為BEOL層430的一部分,如圖5所標示。特別地,BEOL層430可以包含在圖5中標記為金屬層1(M1)、金屬層2(M2)等的複數個金屬層的金屬化堆疊。儘管未在圖5中具體示出,但如本領域已知的,蝕刻停止(ES)材料的層可存在於BEOL層430的相鄰金屬層的至少部分之間。
在一些實施方式中,即使當僅實現單層後端記憶體胞時,後端記憶體層432也可以佔據IC裝置500的金屬化堆疊的複數個連續金屬層。這在圖5中示出,後端記憶體層432位於金屬層M5、M6和M7中。特別地,圖5示出了存取電晶體510、用於存取電晶體510的S/D接點512和電容器514(在圖5中僅標記了其中一個以便不使圖混亂,即使顯示了兩個電容器514)。圖5進一步提供記憶體胞520的標記,如圖5中虛線矩形輪廓所示,其包含一個存取電晶體510和一個電容器514,其耦接到存取電晶體510的S/D接點512之一。因此,記憶體胞520是1T-1C記憶體胞的示例,例如如上所述的記憶體胞100,其中存取電晶體510是存取電晶體110的示例,而電容器514是電容器120的示例,如上所述。圖5中示出了兩個這樣的記憶體胞520,但是為了不使圖式混亂,只有一個用參考數字標記。記憶體胞520可以是根據上述任何實施方式的後端記憶體胞,例如參考圖1至3所解釋的eDRAM記憶體胞。例如,如圖5所示,在記憶體胞520的一些實施方式中,金屬層M5中的互連體508之一可以形成WL,例如如上所述的WL 250,而存取電晶體510、諸如儲存節點230的儲存節點、和諸如BL 240的BL可以被形成在BEOL 430的金屬層M6(即金屬層M5正上方的金屬層)中,然後電容器514可以被形成在金屬層M7(即金屬層M6正上方的金屬層)中。圖5進一步繪示了諸如PL 160的PL,其可以耦接到金屬層M7中的互連體508之一。在IC裝置500的其他實施方式中,具有作為記憶體胞520的記憶體胞的後端記憶體可以在BEOL層430的其他金屬層中被實現,在後端記憶體的給定的層/陣列中可以包含任意數量的記憶體胞520,諸如記憶體胞520之後端記憶體胞的多層可以被相互堆疊,從而實現三維(3D)堆疊後端記憶體。
圖5進一步繪示空氣間隙層434作為BEOL層430的另一層,在後端記憶體層432之上,作為金屬層,其可以包含在相鄰對之間具有空氣間隙534的複數個互連體508(只有一個空氣間隙534在圖5中被標記以便不使圖式混亂)。例如,在一些實施方式中,空氣間隙層434可以是金屬層M9的一部分,如圖5所示。然而,在其他實施方式中,空氣間隙層434可以被包含在BEOL層430的其他金屬層中並且可以包含任何數量的空氣間隙534。在一些實施方式中,空氣間隙層434可以被提供在後端記憶體的所有層之上,如圖5所示,即在BEOL層430的上金屬層中。因此,空氣間隙層434中的互連體508的平均節距(例如,中心到中心距離)可以相對較大,例如,至少大約200奈米,包含在其中的所有值和範圍,例如,在至少大約300奈米或至少大約350奈米。可以參考圖6A至6D解釋空氣間隙534的進一步細節。
如本文所述,在上金屬層中具有後端記憶體和空氣間隙的IC裝置可以使用任何合適的技術來製造,例如,減法、加法、鑲嵌、雙鑲嵌等。一些這樣的技術可以包含合適的沉積和圖案化技術。如本文所用,「圖案化」可以指使用任何合適的技術在一種或多種材料中形成圖案(例如,施加抗蝕劑,使用微影對抗蝕劑進行圖案化,然後使用乾蝕刻、濕蝕刻、或任何適當的技術)。
圖6A至6D提供根據本揭露的一些實施方式的在製造具有後端記憶體和上金屬層中的空氣間隙的IC裝置(例如,IC裝置400或IC裝置500)的不同階段的橫截面側視圖。圖6A至6D中所示的示例製造方法可以包含圖6A至6D中未具體示出的其他操作,例如本領域已知的各種清潔或平坦化操作。例如,在一些實施方式中,可以在本文所述的製造方法的任何製程之前、之後或期間清潔IC裝置的任何層,例如,以移除氧化物、表面結合的有機物和金屬污染物,以及地下污染。在一些實施方式中,可以使用例如化學溶液(例如過氧化物)及/或使用與臭氧結合的紫外線(UV)輻射及/或氧化該表面(例如使用熱氧化)然後移除該氧化物(例如,使用氫氟酸(HF))來進行清潔。在另一個示例中,可以在本文描述的製造方法的任何製程之前、之後或期間對本文描述的IC裝置的頂表面進行平面化,例如以移除過載或過量材料。在一些實施方式中,可以使用濕或乾平坦化製程進行平坦化,例如,平坦化是化學機械平坦化(CMP),其可以被理解為利用拋光表面、磨料和漿液以移除覆蓋並平整表面的製程。
該製造方法可以從圖6A所示的製程602開始,該製程包含提供金屬層612,在該金屬層612中將形成空氣間隙。金屬層612可以是空氣間隙層434的示例。在圖6A至6D中未顯示金屬層612之下的層,以便不使圖式混亂,但它們會出現類似於它們被繪示在例如圖5中的在那裡。因此,在製造方法繼續進行如圖6A至6D所示的製程之前,它首先包含在半導體材料(例如,半導體材料502)上方提供前端層(例如,FEOL 420),其包括複數個前端裝置(例如,前端電晶體504),然後使用本領域已知的任何製程在前端層上方提供包含複數個後端記憶體胞(例如,後端記憶體胞520)的層。然後,製程602包含在包含複數個後端記憶體胞的層上方(例如,在後端記憶體層432上方)提供ILD材料614的層(例如,ILD材料506,如上所述),以及在ILD材料614中形成複數個導電線616(其四個被顯示在圖6A至6D的示例中)。如圖6A所示,在一些實施方式中,導電線616可以包含可選用的襯墊618和電性導電填充材料620。如果包含在互連體616中,則襯墊618可以是黏合襯墊及/或障壁襯墊。例如,襯墊618可以是具有鉭、氮化鉭、氮化鈦、碳化鎢、鈷等中的一種或多種的襯墊。電性導電填充材料620可以包含銅、鎢、鋁、釕、鈷等中的一種或多種(例如,比例在1:1到1:100之間),或上述任何電性導電材料。在襯墊618及/或電性導電填充材料620中,任何單獨的材料(例如,上面列出的任何示例)的量可以在大約1%和75%之間,例如在大約5%和50%之間,表明這些材料是藉由材料的有意合金化而被包含,與潛在的意外摻雜或被包含的雜質(對於任何這些金屬,這會小於約0.1%)形成對比。圖6A還繪示了可以在ILD材料614的正下方及/或正上方提供ES材料622。在一些實施方式中,ES材料622可以包含矽和氮(例如,氮化矽)、矽和碳(例如,碳化矽),或者包含矽、氮和碳的材料。ES材料622可包含相對於周圍環境材料具有足夠蝕刻選擇性的任何材料,其中如本領域已知的,則兩種材料被描述為「足夠蝕刻選擇性」,如果用於蝕刻一種材料的蝕刻劑無法基本上蝕刻其他材料,反之亦然。
在一些實施方式中,互連體616可以是金屬線。在一些實施方式中,互連體616可以具有空氣間隙層434中的互連體508的平均節距624(例如,中心到中心距離),平均節距624可以相對較大,例如,至少大約200奈米,包含在其中的所有值和範圍,例如,在至少大約300奈米或至少大約350奈米。在一些實施方式中,互連體616的平均寬度可以是平均節距624的大約一半。
ILD材料614可以在製程602中使用任何合適的沉積技術來沉積,例如旋塗、浸塗、原子層沉積(ALD)、化學氣相沉積(CVD)或物理氣相沉積(PVD)(例如、蒸發沉積、磁控濺射或電子束沉積)。如果使用減材製造來形成互連體616,則可以使用任何合適的蝕刻技術,例如射頻(RF)反應離子蝕刻(RIE)或電感耦接電漿(ICP)RIE,可能與微影(例如,光微影或電子束微影)結合來形成用於將來的互連體616之ILD材料614中的開口,以定義這些開口的位置和尺寸。然後可以使用諸如ALD、CVD或PVD之類的任何合適的沉積技術將襯墊618和電性導電填充材料620沉積在ILD材料614中的開口內。特別地,例如使用諸如ALD的共形沉積技術,襯墊618可以被沉積為共形層以給互連體616的開口的側壁和底部加襯。
然後製造方法可以繼續進行製程604,如圖6B所示,如果上ES層622是在製程602中被沉積的,該製程包含移除上ES層622,並且使一些ILD材料614凹陷。在一些實施方式中,ILD材料614可以是凹陷的,使得它基本上與互連體616的底部對齊,如圖6B所示。ILD材料614可以在製程604中使用任何合適的蝕刻技術(例如上述的任何一種)來凹陷。在一些實施方式中,定時蝕刻可用於控制製程604的凹槽深度。
然後製造方法可以繼續進行製程606,如圖6C所示,其包含在藉由在製程604中使ILD材料614凹陷而暴露的所有表面上沉積密封材料626的層。在一些實施方式中,密封材料626可以包含以下中的一種或多種:包含矽和氮的材料(例如,SiN)、包含矽和碳的材料(例如,SiC)、包含矽、氧和氮的材料(例如,SiON),包含矽、碳和氫的材料(例如,SiCN),包含鉿和氧的材料(例如,HfO 2),包含鋁和氧的材料(例如,Al 2O 3),或所發現的任何材料組合在進一步處理過程中是密封的。在一些實施方式中,密封材料626可以使用諸如ALD的任何合適的共形沉積技術來沉積。密封材料626可以包含任何合適的材料以保護互連體616的電性導電材料免受空氣、濕氣等的影響。在一些實施方式中,該密封材料626的厚度可以在大約2到10奈米之間,包含其中的所有值和範圍,例如大約4奈米。
該製造方法還可以包含製程608,如圖6D所示,其中沉積第二ILD材料628,從而在相鄰的互連體616之間形成空氣間隙634。第二ILD材料628可以包含ILD材料614的任何材料,並且在各種實施方式中,這兩種ILD材料的材料成分可以但不必基本相同。可以沉積第二ILD材料628的沉積製程可以使得第二ILD材料628在空氣間隙634內的沉積最小化。例如,在一些實施方式中,基本上沒有第二ILD材料628可以存在於空氣間隙634中。在其他實施方式中,第二ILD材料628中的一些可以存在於空氣間隙634的側壁和底部上,例如,如圖6D中提供的插圖642所示,其繪示了虛線輪廓640內的IC裝置的一部分的替代視圖。因此,在這樣的實施方式中,一些第二ILD材料628可以存在於相鄰互連體616的側壁上,形成空氣間隙634的側壁,及/或一些第二ILD材料628可以存在於ILD材料614中的凹槽的底部,形成空氣間隙634的底部,如插圖642所示。在一些實施方式中,在沉積第二ILD材料628之前,可以沉積ES材料的另一層。在這樣的實施方式中,這樣的ES材料層可以以形成空氣間隙634的方式被沉積,然後可以沉積第二ILD材料628,如圖6D中提供的插圖644的ES材料630所示,繪示了IC裝置在虛線輪廓640內的一部分的另一替代視圖。在一些實施方式中,基本上沒有ES材料630可以存在於空氣間隙634中。在其他實施方式中,一些ES材料630可以存在於空氣間隙634的側壁和底部上,例如,如插圖644中所示。在一些這樣的實施方式中,一些第二ILD材料628可以存在於空氣間隙634的側壁和底部上,例如,如插圖644中所示,但是在其他這樣的實施方式中,可以基本上沒有第二ILD材料628存在於空氣間隙634的側壁和底部上。
在一些實施方式中,空氣間隙634的平均寬度,例如,在空氣間隙634的高度的大約一半處測量,如圖6D中的距離632所示,可以是至少大約70奈米,包含所有值和其中的範圍,例如,至少大約80奈米或至少大約100奈米。在一些實施方式中,由於密封空氣間隙634的材料(例如,第二ILD材料628或ES材料630)的沉積中的捏縮,空氣間隙634可具有方尖碑形狀,如圖6D所示。在一些實施方式中,空氣間隙634可以使得它們的寬度在遠離FEOL層420的距離處逐漸減小(即,空氣間隙634隨著它們接近它們的頂部而變得更窄,直到它們在頂部被捏縮)。空氣間隙634可以是如上所述的空氣間隙534的示例,並且如圖6D所示的互連體616可以是如上所述的空氣間隙層434的互連體508的示例。 示例裝置和系統
如本文所揭露的在上金屬層中具有後端記憶體和空氣間隙的IC裝置可以包含在任何合適的電子裝置中。圖7至11繪示了裝置和組件的各種示例,其可以包含一個或多個IC裝置,如本文所揭露的,該IC裝置具有後端記憶體和上金屬層中的空氣間隙。
圖7A至7B是根據本文揭露的任何實施方式的晶圓2000和晶粒2002的俯視圖,其可包含具有後端記憶體和上金屬層中的空氣間隙的一個或多個IC裝置。在一些實施方式中,根據本文揭露的任何實施方式,晶粒2002可以被包含在IC封裝中。例如,任何晶粒2002可以用作圖9中所示的IC封裝2200中的任何晶粒2256。晶圓2000可以由半導體材料所構成,並且可以包含一個或多個具有在晶圓2000的表面上形成的IC結構的晶粒2002。每個晶粒2002可以是半導體產品的重複單元,該半導體產品包含任何合適的IC(例如,如本文所述,包含後端記憶體和上金屬層中的空氣間隙的IC裝置)。在半導體產品的製造完成之後(例如,在製造一個或多個具有後端記憶體和如本文所述的上金屬層中的空氣間隙的IC裝置之後,例如本文所述的IC裝置400/500的任何實施方式之後),晶圓2000可以經歷切割製程,其中每個晶粒2002與彼此分開以提供半導體產品的分立「晶片」。特別地,如本文所揭露的在上金屬層中包含後端記憶體和空氣間隙的裝置可以採用晶圓2000的形式(例如,未分割的)或晶粒2002的形式(例如,分割的)。晶粒2002可以包含一個或多個電晶體(例如,如本文所述的FEOL層420的一個或多個電晶體和BEOL層430的後端記憶體的一個或多個電晶體及/或圖8的一個或多個FEOL電晶體2140,如下所述)、一個或多個記憶體胞(例如,如本文所述的一個或多個1T-1C記憶體胞)、及/或支撐電路(例如,如本文所述的一個或多個互連體),以將電信號路由到電晶體及/或記憶體胞,以及任何其他IC組件。在一些實施方式中,晶圓2000或晶粒2002可施行或包含記憶體裝置、邏輯裝置(例如AND、OR、NAND或NOR閘)或任何其他合適的電路元件。這些裝置中的多個裝置可以被組合在單晶粒2002上。例如,由在給定層中的多個記憶體胞形成的記憶體陣列可被形成在相同晶粒2002上作為處理裝置 (例如,圖11之處理裝置2402)或其它邏輯,其被組態以將資訊儲存在記憶體裝置中或執行儲存在記憶體陣列中之指令。
圖8是根據本文揭露的任何實施方式的IC裝置2100的一側的橫截面側視圖,該IC裝置2100可以包含後端記憶體和在上金屬層中的空氣間隙。例如,IC裝置2100可以是上述IC裝置400/500的示例。特別地,如本文所述的後端記憶體層432和空氣間隙層434可以在IC裝置2100的任何BEOL層中實現,例如在圖8所示的任何互連體層2106至2110中。因為存在這樣的後端記憶體層432和空氣間隙層434可以整合在IC裝置2100中的各種可能性,所以後端記憶體層432和空氣間隙層434未在圖8中具體示出。在一些實施方式中,IC裝置2100可以作為IC封裝2300中的任何晶粒2256。
如圖8所示,IC裝置2100可以形成在基板2102(例如,圖7A的晶圓2000)上並且可以包含在晶粒(例如,圖7B的晶粒2002)中。基板2102可以包含可以作為IC裝置2100的基礎的任何材料。基板2102可以是半導體基板並且可以包含上面參考支撐結構410描述的任何示例。儘管這裡描述了基板2102的幾個示例,但是可以作為IC裝置2100可以構建在其上的基礎的任何材料或結構都落入本揭露的精神和範圍內。基板2102可以是單個晶粒(例如,圖7B的晶粒2002)或晶圓(例如,圖7A的晶圓2000)的一部分。
IC裝置2100可以包含設置在基板2102上的一個或多個裝置層2104。裝置層2104提供具有上述FEOL層420的邏輯裝置的一層或多層的一個示例。裝置層2104可以包含形成在基板2102上的一個或多個電晶體2140(例如,金屬氧化物半導體場效電晶體(MOSFET))的特徵。電晶體2140提供瞭如上所述的FEOL層420的任何電晶體的一個示例。裝置層2104可以包含例如一個或多個S/D區2120、控制S/D區2120之間的電晶體2140中的電流流動的閘極2122、以及一個或多個將電信號路由到S/D區2120/從S/D區2120路由電信號的S/D接點2124。電晶體2140可以包含為了清楚起見未描繪的附加特徵,例如裝置隔離區、閘極接點等。
各電晶體2140可包含形成為至少二層的閘極2122、閘極介電質層及閘極電極層。通常,電晶體2140的閘極介電質層可以包含一層或層的堆疊,並且可以包含上面參考閘極介電質216描述的任何材料。在一些實施方式中,當使用高介電常數材料時,退火製程可實施於閘極2122的閘極介電質上以增進它的品質。
閘極電極可被形成於閘極介電質層上且可包含至少一P型功函數金屬或N型功函數金屬,依電晶體2140要作為PMOS或NMOS電晶體而定。在一些實施方案中,閘極電極可包含二或更多金屬層的堆疊,其中一或更多金屬層係功函數金屬層且至少一金屬層係填充金屬層。針對其他目的,可還包含金屬層,例如障壁層。閘極2122的閘極電極可以包含上面參考閘極電極214描述的任何材料。
在一些實施方式中,當沿源極-通道-汲極方向看作電晶體2140的橫截面時,閘極2122的閘極電極可包含U型結構,其包含與實質上平行於基板的表面的底部部分及實質上垂直於基板的頂表面的二側壁部分。在其他實施方式中,形成閘極電極的金屬層的至少一者可簡單的為平面層,其實質上平行於基板的頂表面且不包含實質上垂直於基板的頂表面的側壁部分。在其他實施方式中,閘極電極可包含U形結構及平面、非U形結構的組合。例如,閘極電極可包含形成於一或更多個平面、非U形層頂上的一或更多個U形金屬層。在一些實施方式中,閘極電極可以包含V形結構(例如,當鰭場效電晶體的鰭不具有「平坦」的上表面,而是具有圓形的峰頂時)。
在一些實施方式中,一對側壁間隔物可形成於閘極堆疊的對向側上以將閘極堆疊托住。側壁間隔物可由材料形成,例如氮化矽、氧化矽、碳化矽、摻雜有碳的氮化矽、和氮氧化矽。用於形成側壁間隔物的製程係所屬技術領域中可知的,且一般包含沉積及蝕刻製程步驟。在一些實施方式中,可使用複數個間隔物對,例如,二對、三對或四對側壁間隔物可形成於閘極堆疊的對置側上。
S/D區2120可以形成在基板2102內,例如,與每個電晶體2140的閘極相鄰。例如,可以使用佈植/擴散製程或蝕刻/沉積製程來形成S/D區2120。於較前的製程,摻雜物,例如硼、鋁、銻、磷或砷,可被離子佈植到基板2102中以形成S/D區2120。可在離子佈植製程後,退火製程活化摻雜物且造成它們更進一步擴散進入基板2102中。在之後的製程中,基板2102可首先被蝕刻以形成凹陷於S/D區2120的位置。磊晶沉積製程可之後被實施而以用於製造S/D區2120的材料填充凹陷。在一些實施方案中,S/D區2120可使用矽合金製造,例如矽鍺或碳化矽。在一些實施方式中,磊晶沉積的矽合金可以用諸如硼、砷或磷的摻雜物原位摻雜。在一些實施方式中,S/D區2120可使用一或更多替代半導體材料,例如鍺或III-V族材料或合金來形成。在進一步實施方式中,金屬及/或金屬合金的一或更多層可用於形成S/D區2120。
各種電晶體2140不限於圖8中所示的類型和配置,並且可以包含各種其他類型和配置,例如平面電晶體、非平面電晶體(例如,鰭場效電晶體、奈米線或奈米帶電晶體)。
諸如電力及/或輸入/輸出(I/O)信號的電信號可以經由設置在裝置層2104上的一個或多個互連體層(在圖8作為互連體層2106至2110)路由至裝置層2104的電晶體2140及/或自裝置層2104的電晶體2140路由。例如,裝置層2104的電性導電特徵(例如,閘極2122和S/D接點2124)可以與互連體層2106至2110的互連體結構2128電耦接。一個或多個互連體層2106至2110可以形成IC裝置2100的ILD堆疊2119。互連體結構2128是本文描述的互連體508/616的示例。IC裝置2100的ILD堆疊2119是BEOL層430的金屬化堆疊的示例,如本文所述。互連體層2106至2110是本文描述的金屬層M1、M2等的示例。
互連體結構2128可以配置在互連體層2106至2110內,以根據各種設計來路由電信號(具體地,該配置不限於圖8中所示的互連體結構2128的特定配置)。儘管在圖8中描繪了特定數量的互連體層2106至2110,但是本揭露的實施方式包含具有比所描繪的更多或更少的互連體層的IC裝置。
在一些實施方式中,互連體結構2128可以包含填充有諸如金屬的電性導電材料的溝槽結構2128a(有時稱為「線」)及/或通孔結構2128b(有時稱為「洞」)。溝槽結構2128a可以配置成在平面的方向上路由電信號,該平面實質上與形成裝置層2104的基板2102的表面平行。例如,從圖8的角度來看,溝槽結構2128a可以從圖8的視角沿著進出頁面的方向路由電信號。通孔結構2128b可以配置成在平面的方向上路由電信號,該平面實質上與形成裝置層2104的基板2102的該表面垂直。在一些實施方式中,通孔結構2128b可以將不同互連體層2106至2110的溝槽結構2128a電耦接在一起。
如圖8所示,互連體層2106至2110可以包含設置在互連體結構2128之間的介電質材料2126,如圖8所示。在一些實施方式中,設置在互連體層2106至2110中的相異互連體層中的互連體結構2128之間的介電質材料2126可以具有相異的組成物;在其他實施方式中,相異互連體層2106至2110之間的介電質材料2126的組成物可以是相同的。介電質材料2126可以包含上述任何絕緣體/介電質材料。
可以在裝置層2104上直接形成第一互連體層2106(稱為金屬1或「M1」)。在一些實施方式中,第一互連體層2106可以包含溝槽結構2128a及/或通孔結構2128b,如圖所示。第一互連體層2106的溝槽結構2128a可以與裝置層2104的接點(例如,S/D接點2124)耦接。
可以在第一互連體層2106上直接形成第二互連體層2108(稱為金屬2或「M2」)。在一些實施方式中,第二互連體層2108可以包含通孔結構2128b以將第二互連體層2108的溝槽結構2128a與第一互連體層2106的溝槽結構2128a耦接。儘管為了清楚起見,溝槽結構2128a和通孔結構2128b在結構上用每條互連體層內的線(例如,在第二互連體層2108內)描繪,但是溝槽結構2128a和通孔結構2128b可以在結構上及/或在材料上連續(例如,在雙鑲嵌製程期間同時被填充)於一些實施方式中。
根據結合第二互連體層2108或第一互連體層2106描述的類似技術和組態,可以在第二互連體層2108上陸續形成第三互連體層2110(被稱為金屬3或「M3」)(及額外互連體層,視需要)。
互連體層2106至2110可以是金屬層M1至M3,如上所述。另外的金屬層可以存在於IC裝置2100中,同樣如上所述。
圖9是根據本文揭露的任何實施方式的示例IC封裝2200的側橫截面視圖,該示例IC封裝2200可以包含一個或多個具有後端記憶體和在上金屬層中的空氣間隙的IC裝置。在一些實施方式中,IC封裝2200可以是系統級封裝(SiP)。
封裝基板2252可以由介電質材料(例如,陶瓷、構建膜、在其中具有填料顆粒的環氧樹脂膜等)形成,並且可以具有延伸穿過面2272和面2274之間的介電質材料的導電路徑,或面2272上的不同位置之間,及/或面2274上的不同位置之間。這些導電路徑可以採用上面參考圖8討論的任何互連體結構2128的形式。
封裝基板2252可以包含導電接點2263,其經由封裝基板2252耦接到導電路徑2262,從而允許晶粒2256及/或中介物2257內的電路電耦接到各個導電接點2264(或封裝基板2252中包含的其他裝置,未示出)。
IC封裝2200可以包含透過中介物2257的導電接點2261、第一級互連體2265、和封裝基板2252的導電接點2263耦接到封裝基板2252的中介物2257。圖9中所繪示的第一級互連體2265是焊凸塊,但是可以使用任何合適的第一級互連體2265。在一些實施方式中,IC封裝2200中可以不包含中介物2257;相反,晶粒2256可以藉由第一級互連體2265在面2272處直接耦接到導電接點2263。
IC封裝2200可以包含透過晶粒2256的導電接點2254、第一級互連體2258和中介物2257的導電接點2260耦接到中介物2257的一個或多個晶粒2256。導電接點2260可以經由中介物2257耦接到導電路徑(未示出),從而允許晶粒2256內的電路電耦接到導電接點2261中的各者(或耦接到中介物2257中所包含的其他裝置,未示出)。圖9中所繪示的第一級互連體2258是焊凸塊,但是可以使用任何合適的第一級互連體2258。如此處所用,「導電接點」可以指用作相異組件之間的界面的電性導電材料(例如,金屬)的一部分;導電接點可以凹陷,與元件表面齊平或從組件表面延伸,並且可以採用任何合適的形式(例如,導電墊或插座)。
在一些實施方式中,下填材料2266可以圍繞第一級互連體2265設置在封裝基板2252和中介物2257之間,並且模具化合物2268可以圍繞晶粒2256和中介物2257設置並且與封裝基板2252接觸。在一些實施方式中,下填材料2266可以與模具化合物2268相同。可以用於下填材料2266和模具化合物2268的示例材料是環氧樹脂模製材料,如果合適的話。第二級互連體2270可以耦接到導電接點2264。圖9中所繪示的第二級互連體2270是焊球(例如,用於球柵格陣列配置),但是可以使用任何合適的第二級互連體2270(例如,栓銷柵格陣列配置中的栓銷或者平面柵格陣列配置中的平面)。第二級互連體2270可用於將IC封裝2200耦接到另一組件,例如電路板(例如,主機板)、中介物、或另一IC封裝,如本領域中已知的並且參考圖10如下文所述。
晶粒2256可以採取本文討論的晶粒2002的任何實施方式的形式(例如,可以包含IC裝置2100的任何實施方式)。在IC封裝2200包含多個晶粒2256的實施方式中,IC封裝2200可以被稱為多晶片封裝(MCP)。晶粒2256可以包含執行任何期望功能的電路。例如,晶粒2256中的一個或多個可以是邏輯晶粒(例如,基於矽的晶粒),並且晶粒2256中的一個或多個可以是記憶體晶粒(例如,高頻寬記憶體),包含如本文所述的嵌入式記憶體晶粒。在一些實施方式中,任何晶粒2256可以包含一個或多個具有後端記憶體和上金屬層中的空氣間隙的IC裝置,例如,如上所述;在一些實施方式中,至少一些晶粒2256可以不包含任何具有後端記憶體和上金屬層中的空氣間隙的IC裝置。
圖9中所示的IC封裝2200可以是倒裝晶片封裝,但也可以使用其他封裝架構。例如,IC封裝2200可以是球柵格陣列(BGA)封裝,例如嵌入式晶圓級球柵格陣列(eWLB)封裝。在另一示例中,IC封裝2200可以是晶圓級晶片規模封裝(WLCSP)或面板扇出(FO)封裝。儘管在圖9的IC封裝2200中繪示了兩個晶粒2256,但是IC封裝2200可以包含任何期望數量的晶粒2256。IC封裝2200可以包含額外的被動組件,例如設置在封裝基板2252的第一面2272或第二面2274上的表面安裝電阻器、電容器、和電感器、或中介物2257的任一面上。更一般地,IC封裝2200可以包含本領域中已知的任何其他主動或被動組件。
圖10是根據本文揭露的任何實施方式的IC裝置組合件2300的橫截面側視圖,該IC裝置組合件2300可以包含多個組件,該些組件具有一個或多個具有後端記憶體和在上金屬層中的空氣間隙的IC裝置。IC裝置組合件2300包含設置在電路板2302(其可以是例如主機板)上的多個組件。IC裝置組合件2300包含設置在電路板2302的第一面2340和電路板2302的對置的第二面2342上的組件;通常,組件可以設置在面2340和2342中的一或兩者上。特別地,IC裝置組合件2300的組件中的任何合適的組件可以根據本文揭露的實施方式中的任一個包含具有後端記憶體和上金屬層中的空氣間隙的一個或多個IC裝置中的任一個;例如,下面參考IC裝置組合件2300討論的任何IC封裝可以採用上面參照圖9討論的IC封裝2200的任何實施方式的形式(例如,可以包含在晶粒2256上所提供的具有後端記憶體和上金屬層中的空氣間隙的一個或多個IC裝置)。
在一些實施方式中,電路板2302可以是PCB,其包含藉由介電質材料的層彼此分開並藉由電性導電通孔互連的多個金屬層。可以以期望的電路圖案形成任何一或更多個金屬層,以在耦接到電路板2302的組件之間路由電信號(可選地與其他金屬層結合)。在其他實施方式中,電路板2302可以是非PCB基板。
圖10中所繪示的IC裝置組合件2300包含藉由耦接組件2316耦接到電路板2302的第一面2340的封裝上中介物結構(package-on-interposer structure)2336。耦接組件2316可以將封裝上中介物結構2336電耦接和機械耦接到電路板2302,並且可包含焊球(例如,如圖10所示)、插座的凸形和凹形部分、黏合劑、下填材料及/或任何其它合適的電及/或機械耦接結構。
封裝上中介物結構2336可包含藉由耦接組件2318耦接至中介物2304的IC封裝2320。耦接組件2318可採取任何合適的形式用於應用,諸如上面參考耦接組件2316所討論的形式。IC封裝2320可以是或者包含例如晶粒(圖7B的晶粒2002)、IC裝置(例如,圖8的IC裝置2100)或任何其他合適的組件。特別地,IC封裝2320可以包含一個或多個IC裝置,如本文所述,具有後端記憶體和上金屬層中的空氣間隙。儘管圖10中示出了單IC封裝2320,但是多個IC封裝可被耦接至中介物2304;實際上,額外的中介物可以被耦接到中介物2304。中介物2304可提供用於橋接電路板2302和IC封裝2320的中介基板。通常,中介物2304可延展連接至更寬節距,或將連接體再路由至不同連接體。例如,中介物2304可以將IC封裝2320(例如,晶粒)耦接到耦接組件2316的BGA以耦接到電路板2302。於圖10所繪示的實施方式中,IC封裝2320和電路板2302被附接至中介物2304的對置側;於其他實施方式中,IC封裝2320和電路板2302可被附接到中介物2304的相同側。在某些實施方式中,三或更多個組件可經由中介層2304互連。
中介物2304可以由環氧樹脂、玻璃纖維增強環氧樹脂、陶瓷材料、或是例如聚醯亞胺等聚合物材料所形成。於一些實施方案中,中介物2304可由替代的剛性或可撓性材料形成,其可包含與上述用於半導體基板的相同材料,例如矽、鍺及其它III-V族及IV族材料。中介物2304可以包含金屬互連體2308和通孔2310,包含但不限於矽穿孔(TSV)2306。中介物2304可更包含嵌入式裝置2314,包含被動及主動裝置的兩者。這些裝置可包含但不限於電容器、解耦電容器、電阻器、電感器、保險絲、二極體、變壓器、感測器、及靜電放電(ESD)保護裝置、以及記憶體裝置。例如RF裝置、功率放大器、功率管理裝置、天線、陣列、感測器、及為微機電系統(MEMS)裝置等更複雜的裝置也可以被形成於中介物2304上。封裝上中介物結構2336可以採用本領域中已知的任何封裝上中介物結構的形式。
IC裝置組合件2300可包含藉由耦接組件2322耦接到電路板2302的第一面2340的IC封裝2324。耦接組件2322可以採用上面參考耦接組件2316所討論的任何實施方式的形式,並且IC封裝2324可以採用上面參考IC封裝2320所討論的任何實施方式的形式。
圖10中所繪示的IC裝置組合件2300包含藉由耦接組件2328耦接到電路板2302的第二面2342的疊合式封裝(package-on-package)結構2334。疊合式封裝結構2334可以包含藉由耦接組件2330耦接在一起的IC封裝2326和IC封裝2332,使得IC封裝2326設置在電路板2302和IC封裝2332之間。耦接組件2328和2330可以採用上面討論的耦接組件2316的任何實施方式的形式,並且IC封裝2326和2332可以採用上面討論的IC封裝2320的任何實施方式的形式。可以根據本領域中已知的任何疊合式封裝結構來組態疊合式封裝結構2334。
圖11是根據本文揭露的任何實施方式的示例計算裝置2400的方塊圖,該示例計算裝置2400可以包含具有一個或多個具有後端記憶體和在上金屬層中的空氣間隙的IC裝置的一個或多個組件。例如,計算裝置2400的任何合適的組件可以包含晶粒(例如,晶粒2002(圖7B)),該晶粒包含具有後端記憶體和在上金屬層中的空氣間隙的一個或多個IC裝置,根據本文揭露的實施方式的任一個。計算裝置2400的任何組件可以包含IC裝置2100(圖8)及/或IC封裝2200(圖9)。計算裝置2400的任何組件可以包含IC裝置組合件2300(圖10)。
在圖11中繪示包含在計算裝置2400中的多個組件,但是可以省略或複製這些組件中的任何一個或更多個,以適合於應用。在一些實施方式中,計算裝置2400中包含的一些或所有組件可以附接到一或更多個主機板。在一些實施方式中,這些組件中的一些或全部被製造到單個SoC晶粒上。
另外,在各種實施方式中,計算裝置2400可以不包含圖11中所繪示的一或更多個組件,但是計算裝置2400可以包含用於耦接到一或更多個組件的介面電路。例如,計算裝置2400可以不包含顯示裝置2406,但是可以包含顯示裝置2406可以耦接到的顯示裝置介面電路(例如,連接器和驅動器電路)。在另一組示例中,計算裝置2400可以不包含音訊輸入裝置2418或音訊輸出裝置2408,但是可以包含音訊輸入裝置2418或音訊輸出裝置2408可以耦接到的音訊輸入或輸出裝置介面電路(例如,連接器和支援電路)。
計算裝置2400可以包含處理裝置2402(例如,一或更多個處理裝置)。如此處所使用,用語「處理裝置」或「處理器」可意指處理來自暫存器及/或記憶體的電子資料以將該電子資料轉換成可儲存在暫存器及/或記憶體中的其它電子資料之任何裝置或裝置的一部份。處理裝置2402可以包含一或更多個數位信號處理器(DSP)、特殊應用IC(ASIC)、中央處理單元(CPU)、圖形處理單元(GPU)、加密處理器(在硬體內執行加密算法的專用處理器)、伺服器處理器、或任何其他合適的處理裝置。計算裝置2400可以包含記憶體2404,其本身可以包含一或更多個記憶體裝置,諸如易失性記憶體(例如,DRAM),非揮發性記憶體(例如,唯讀記憶體(ROM)),快閃記憶體、固態記憶體、及/或硬體驅動器。在一些實施方式中,記憶體2404可以包含與處理裝置2402共享晶粒的記憶體。該記憶體可用作快取記憶體。記憶體2404可包含一個或多個IC裝置,如本文所述,具有後端記憶體和上金屬層中的空氣間隙。
在一些實施方式中,計算裝置2400可以包含通訊晶片2412(例如,一或更多個通訊晶片)。例如,通訊晶片2412可以被組態用於管理用於向計算裝置2400轉移資料和從計算裝置2400轉移資料的無線通訊。用語「無線」及其衍生詞可被用來描述電路、裝置、系統、方法、技術、通訊頻道、等等,其可經由使用透過非固態媒體之經調變的電磁輻射來通訊資料。該用語並不意味著關聯的裝置不含有任何導線,儘管在一些實施方式中它們可能沒有。
通訊晶片2412可以施行任何無線標準或是協定,包含但不限於電機電子工程師學會(IEEE)標準包含:Wi-Fi(IEEE 602.11系列)、IEEE 602.16標準(例如IEEE 602.16-2005修正版)、長程演化(LTE)計劃及任何修正、更新、及/或修訂(例如進階LTE計劃、超行動寬頻(UMB)計劃(也稱為「3GPP2」)等等)。IEEE 602.16共容寬頻無線存取(BWA)網路一般稱為WiMAX網路,WiMAX是縮寫,代表全球互通微波存取,用於通過IEEE 602.16標準之一致性及互通性測試的產品之認證標章。通訊晶片2412可根據全球行動通訊系統(GSM)、一般分封無線電服務(GPRS)、通用行動電信系統(UMTS)、高速封包存取(HSPA)、演進HSPA(E-HSPA)、或LTE網路而操作。通訊晶片2412可以根據GSM演進增強資料(EDGE)、GSM EDGE無線電存取網路(GERAN)、通用陸面無線電存取網路(UTRAN)、或演進UTRAN(E-UTRAN)而操作。通訊晶片2412可根據碼分多重存取(CDMA)、分時多存取(TDMA)、數位增強無線電信(DECT)、演進資料最佳化(EV-DO)、及其衍生、以及以3G、4G、5G、及更新的世代標示的任何其它無線協定而操作。在其它實施方式中,通訊晶片2412可以根據其它無線協定而操作。計算裝置2400可以包含天線2422以促進無線通訊及/或接收其他無線通訊(諸如AM或FM無線電傳輸)。
在一些實施方式中,通訊晶片2412可以管理有線通訊,例如電、光或任何其他合適的通訊協定(例如,乙太網)。如上所述,通訊晶片2412可以包含多個通訊晶片。舉例而言,第一通訊晶片2412專用於例如Wi-Fi或藍牙等較短程無線通訊,而第二通訊晶片2412專用於例如全球定位系統(GPS)、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO、或等等較長程無線通訊。在一些實施方式中,第一通訊晶片2412可以專用於無線通訊,第二通訊晶片2412可以專用於有線通訊。
計算裝置2400可以包含電池/電力電路2414。電池/電力電路2414可以包含一或更多個能量儲存裝置(例如,電池或電容器)及/或用於將計算裝置2400的組件耦接到與計算裝置2400分開的能量源的電路(例如,AC線電力)。
計算裝置2400可以包含顯示裝置2406(或對應的介面電路,如上所述)。顯示裝置2406可以包含任何視覺指示器、諸如抬頭顯示器、電腦監視器、投影機、觸控螢幕顯示器、液晶顯示器(LCD)、發光二極體顯示器、或平板顯示器,舉例來說。
計算裝置2400可以包含音訊輸出裝置2408(或對應的介面電路,如上所述)。音訊輸出裝置2408可以包含產生可聽指示器的任何裝置,例如喇叭、耳機、或耳塞。
計算裝置2400可以包含音訊輸入裝置2418(或對應的介面電路,如上所述)。音訊輸入裝置2418可以包含產生表示聲音的信號的任何裝置,例如麥克風、麥克風陣列或數位樂器(例如,具有音樂數位介面(MIDI)輸出的樂器)。
計算裝置2400可以包含GPS裝置2416(或對應的介面電路,如上所述)。GPS裝置2416可以與基於衛星的系統通訊,並且可以接收計算裝置2400的位置,如本領域中已知的。
計算裝置2400可以包含其他輸出裝置2410(或對應的介面電路,如上所述)。其他輸出裝置2410的示例可以包含音訊編解碼器、視訊編解碼器、影印機、用於向其他裝置提供資訊的有線或無線發射器、或額外儲存裝置。
計算裝置2400可以包含其他輸入裝置2420(或對應的介面電路,如上所述)。其他輸入裝置2420的示例可以包含加速度計、陀螺儀、羅盤、影像擷取裝置、鍵盤、諸如滑鼠的游標控制裝置、觸控筆、觸控板、條碼讀取器、快速響應(QR)代碼讀取器、任何感測器或射頻識別(RFID)讀取器。
計算裝置2400可以具有任何期望的形式因子,例如手持或行動計算裝置(例如,手機、智慧電話、行動網際網路裝置、音樂播放器、平板電腦、膝上型電腦、隨身型易網機、超薄行動電腦、個人數位助理(PDA)、超薄行動個人電腦等)、桌上型計算裝置、伺服器或其他聯網計算組件、影印機、掃描器、監視器、機上盒、娛樂控制單元、車輛控制單元、數位相機,數位視訊記錄器或可穿戴計算裝置。在一些實施方式中,計算裝置2400可以是處理資料的任何其他電子裝置。 選擇示例
以下段落提供了此處揭露的實施方式的各種示例。
示例1提供一種包含前端(例如,FEOL)層和後端層的IC裝置,前端層包含複數個前端裝置;以及後端層包含包含複數個後端記憶體胞的層和包含複數個導電互連體的層,該複數個導電互連體耦接到該複數個後端記憶體胞和該複數個前端裝置中的一個或多個,其中該複數個導電互連體中的至少一些相鄰導電互連體對位於該相鄰導電互連體之間具有空氣間隙,以及包含該複數個後端記憶體胞的該層位於該前端層和包含該複數個導電互連體的該層之間。
示例2提供根據示例1的IC裝置,其中複數個導電互連體的平均節距(例如,中心到中心距離)為至少大約200奈米,包含其中的所有值和範圍,例如,至少大約300奈米或至少大約350奈米。
示例3提供根據示例1或2的IC裝置,其中在空氣間隙的高度的大約一半處的空氣間隙的寬度為至少大約70奈米,包含其中的所有值和範圍,例如,至少大約80奈米或至少大約100奈米。
示例4提供根據前述示例中任一項的IC裝置,其中該空氣間隙在距該前端層的第一距離處的寬度大於該空氣間隙在距該前端層第二距離處的寬度,其中該第二距離大於該第一距離。因此,空氣間隙變得更窄並且可能在頂部被捏縮。
示例5提供根據前述示例中任一項的IC裝置,其中空氣間隙具有方尖碑形狀。
示例6提供根據前述示例中任一項的IC裝置,進一步包含密封材料的層,其共形於該複數個導電互連體的側壁和多個頂表面以及位於該複數個導電互連體的多個底部之間。在一些進一步的示例中,空氣間隙的側壁和底部也可以包含密封材料的層。在各種示例中,該密封材料的層的厚度可以在大約2到10奈米之間,包含其中的所有值和範圍,例如大約4奈米。
示例7提供根據前述示例中任一項的IC裝置,進一步包含障壁材料的層,其在該複數個導電互連體的多個內側壁上方以及在該複數個導電互連體的至少一些的底部處。
示例8提供根據前述示例中任一項所述的IC裝置,其中密封材料的層至少部分地在該空氣間隙的第一側壁、底部和第二側壁處;在中間具有該空氣間隙的相鄰該導電互連體的個別對包含第一導電互連體和第二導電互連體;在該空氣間隙的該第一側壁處的該密封材料與該第一導電互連體的導電材料接觸;在該空氣間隙的該第二側壁處的該密封材料與該第二導電互連體的導電材料接觸;以及在該空氣間隙的該底部處的該密封材料與該後端層的ILD材料接觸。
示例9提供根據示例8的IC裝置,其中該ILD材料為第一ILD材料,第二ILD材料的層至少部分地在該空氣間隙的該第一側壁、該底部、和該第二側壁處,在該空氣間隙的該第一側壁處的該密封材料位於該第一導電互連體的該導電材料和在該空氣間隙的該第二側壁處的該第二ILD材料之間,在該空氣間隙的該第二側壁處的該密封材料位於該第二導電互連體的該導電材料和在該空氣間隙的該第二側壁處的該第二ILD材料之間,以及在該空氣間隙的該底部處的該密封材料位於該第一ILD材料和在該空氣間隙的該底部處的該第二ILD材料之間。
示例10提供根據9示例的IC裝置,其中ES材料的層至少部分地在該空氣間隙的該第一側壁、該底部和該第二側壁處,在該空氣間隙的該第一側壁處的該ES材料位於在該空氣間隙的該第一側壁處的該密封材料和在該空氣間隙的該第二側壁處的該第二ILD材料之間,在該空氣間隙的該第二側壁處的該ES材料位於在該空氣間隙的該第二側壁處的該密封材料和在該空氣間隙的該第二側壁處的該第二ILD材料之間,以及在該空氣間隙的該底部處的該ES材料位於在該空氣間隙的該底部處的該密封材料和在該空氣間隙的該底部處的該第二ILD材料之間。
示例11提供根據示例10的IC裝置,其中該ES材料進一步在該複數個導電互連體的至少一些的多個頂表面上方。
示例12提供根據示例8至11中任一項的IC裝置,其中該第一導電互連體的該導電材料為第一障壁材料,以及該第一導電互連體進一步包含第一填充材料,以及該第二導電互連體的該導電材料為第二障壁材料,以及該第二導電互連體進一步包含第二填充材料。
示例13提供根據前述示例中任一項的IC裝置,其中該複數個後端記憶體胞包含嵌入式DRAM(eDRAM)胞。
示例14提供根據前述示例中任一項的IC裝置,其中該複數個後端記憶體胞的個別者包含存取電晶體和電容器。
示例15提供根據示例14的IC裝置,其中該存取電晶體是TFT。
示例16提供根據示例14或15的IC裝置,其中電容器是圓柱形MIM電容器。
示例17提供根據前述示例中任一項的IC裝置,其中該複數個導電互連體為複數導電線。
示例18提供包含根據前述示例中任一項的IC裝置的IC封裝;以及另外的IC組件,其耦接到該IC裝置。例如,該IC裝置可包含具有複數個前端電晶體的前端層,以及在該前端層上方的金屬化堆疊,該金屬化堆疊包含具有複數個記憶體胞的後端記憶體層、具有複數個導電線的層、和位於該複數個導電線中的相鄰者之間的多個空氣間隙,該複數個記憶體胞具有多個後端電晶體,其中該後端記憶體層位於該前端層和具有該複數個導電線的該層之間。
示例19提供根據示例18的IC封裝,其中具有該複數個導電線以及具有位於該複數個導電線中的相鄰者之間的該些空氣間隙的該層在該金屬化堆疊的第七層(M7)處或在該金屬化堆疊的第七層之上。
示例20提供根據示例18或19的IC封裝,比具有該複數個導電線以及具有位於該複數個導電線中的相鄰者之間的該些空氣間隙的該層離該前端層更遠的該金屬化堆疊中沒有包含記憶體胞。
在根據示例18至20中任一項的IC封裝的一些進一步的示例中,該另外的IC組件包含封裝基板、中介物或另外的IC晶粒中的一個。
在根據示例18至20中任一項的IC封裝的一些進一步示例中,該IC裝置包含記憶體裝置、計算裝置、可穿戴裝置、手持電子裝置、以及無線通訊裝置中的至少一個,或為記憶體裝置、計算裝置、可穿戴裝置、手持電子裝置、以及無線通訊裝置中的至少一個的一部分。
示例21提供一種包含載體基板的電子裝置;以及根據前述示例中任一項的IC裝置和根據前述示例中任一項的IC封裝中的一個或多個,其耦接到該載體基板。
示例22提供根據示例21的電子裝置,其中,該載體基板為主機板。
示例23提供根據示例21的電子裝置,其中該載體基板是PCB。
示例24提供根據示例21至23中任一項的電子裝置,其中,該電子裝置是可穿戴電子裝置(例如,智慧手錶)或手持電子裝置(例如,行動電話)。
示例25提供根據示例21至24中任一項的計算裝置,其中該電子裝置進一步包含一個或多個通訊晶片和天線。
示例26提供根據示例21至25中任一項的電子裝置,其中該電子裝置是RF收發器。
示例27提供根據示例21至25中任一項的電子裝置,其中該電子裝置是RF通訊裝置(例如RF收發器)的開關、功率放大器、低雜訊放大器、濾波器、濾波器組、雙工器、上轉換器或下轉換器。
示例28提供根據示例21至25中任一項的電子裝置,其中該電子裝置是計算裝置。
示例29提供根據示例21至28中任一項的電子裝置,其中,該電子裝置包含在無線通訊系統的基站中。
示例30提供根據示例21至28中任一項的電子裝置,其中所述電子裝置被包含在無線通訊系統的使用者設備裝置(即,行動裝置)中。
示例31提供一種製造IC裝置的方法。該方法包含在半導體材料上方提供前端(例如FEOL)層,該前端層包括複數個前端裝置;在該前端層上方提供包含複數個後端記憶體胞的層;在該包含該複數個後端記憶體胞的層上方提供第一ILD材料的層;在該第一ILD材料的該層中形成複數個導電線;使該第一ILD材料凹陷,使得該複數個導電線的多個頂表面和多個側表面的至少一部分被暴露;在已暴露的該些頂表面和側壁的該至少一部分上方以及位於該複數個導電線中的相鄰者之間被凹陷的該第一ILD材料上方沉積密封材料的共形層;以及在沉積該密封材料的該共形層之後,在已暴露的該些頂表面上方沉積第二ILD材料,使得多個空氣間隙保持位於該複數個導電線中的該相鄰者之間,其中該第一ILD材料已經被凹陷。
示例32提供根據示例31的方法,其中該第二ILD材料存在於該空氣間隙的側壁和底部的至少部分上。
示例33提供根據示例31或32的方法,進一步包含在沉積該密封材料的該共形層之後以及在沉積該第二ILD材料之前,在已暴露的該些頂表面上方沉積ES材料,使得多個空氣間隙保持位於該複數個導電線中的該相鄰者之間,其中該第一ILD材料已經被凹陷。
示例34提供根據示例33的方法,其中該ES材料存在於該空氣間隙的側壁和底部的至少部分上。
示例35提供根據示例31至34中任一項的方法,其中複數個導電線的平均節距(例如,中心到中心距離)為至少大約200奈米,包含其中的所有值和範圍,例如,至少大約300奈米或至少大約350奈米。
示例36提供根據示例31至35中任一項的方法,其中在空氣間隙的高度的大約一半處的空氣間隙的平均寬度為至少大約70奈米,包含其中的所有值和範圍,例如,至少大約80奈米或至少大約100奈米。
示例37提供根據示例31至36中任一項的方法,其中該空氣間隙在該IC裝置的金屬化堆疊的金屬層中,該金屬層是第7層(M7)或更高層。
示例38提供根據示例31至37中任一項的方法,其中比具有該空氣間隙的層離該前端層更遠的該IC裝置中不存在後端記憶體胞。
示例39提供根據示例31至38中任一項的方法,其中該複數個後端記憶體胞包含多個薄膜電晶體。
示例40提供根據示例31至39中任一項的方法,進一步包含用於形成根據前述示例中任一項的該IC裝置的製程(例如,用於形成根據示例1至17中任一項的IC裝置),及/或用於形成根據前述示例中任一項的IC封裝的製程(例如,用於形成根據示例18至20中任一項的IC封裝),及/或用於形成根據前述示例中任一項的電子裝置的製程(例如,用於形成根據示例21至30中任一項的電子裝置)。
本揭露的已描述實施方案的上述說明,包含發明摘要中所述的說明,並非是竭盡性的或是要將本揭露限定於揭示的精準形式。雖然於此基於說明之目的而舉例說明本揭露的特定實施方案,但是,如同習於此技藝者將瞭解般,各式各樣的等效修改可能在本揭露的範圍之內。考慮上述詳細說明,對該揭露作出這些修改。
100:記憶體胞 110:存取電晶體 120:電容器 140:位元線 150:字線 160:電容器板線 200:記憶體胞 210:存取TFT 211:蝕刻停止層 212:擴散障壁層 214:閘極電極 216:閘極介電質 218:通道層 230:儲存節點 240:位元線 250:字線 400:IC裝置 410:支撐結構 420:FEOL層 430:BEOL層 432:後端記憶體層 434:空氣間隙層 500:IC裝置 502:半導體材料 504:前端電晶體 506:ILD材料 508:互連體 510:存取電晶體 512:S/D接點 514:電容器 520:記憶體胞 534:空氣間隙 602:製程 604:製程 606:製程 608:製程 612:金屬層 614:ILD材料 616-1:導電線 616-2:導電線 616-3:導電線 616-4:導電線 618:襯墊 620:填充材料 622:ES材料 624:平均節距 626:密封材料 628:ILD材料 630:ES材料 632:距離 634-1:空氣間隙層 634-2:空氣間隙層 640:虛線輪廓 642:插圖 644:插圖 2000:晶圓 2002:晶粒 2100:IC裝置 2102:基板 2104:裝置層 2106:互連體層 2108:互連體層 2110:互連體層 2119:ILD堆疊 2120:S/D區 2122:閘極 2124:S/D接點 2126:介電質材料 2128:互連體結構 2128a:溝槽結構 2128b:通孔結構 2140:FEOL電晶體 2200:IC封裝 2252:封裝基板 2254:導電接點 2256:晶粒 2257:中介物 2258:第一級互連體 2260:導電接點 2261:導電接點 2262:導電路徑 2263:導電接點 2264:導電接點 2265:第一級互連體 2266:下填材料 2268:模具化合物 2270:第二級互連體 2272:面 2274:面 2300:IC裝置組合件 2302:電路板 2304:中介物 2306:矽穿孔 2308:金屬互連體 2310:通孔 2314:嵌入式裝置 2316:耦接組件 2318:耦接組件 2320:IC封裝 2322:耦接組件 2324:IC封裝 2326:IC封裝 2328:耦接組件 2330:耦接組件 2332:IC封裝 2334:疊合式封裝結構 2336:封裝上中介物結構 2340:面 2342:面 2400:計算裝置 2402:處理裝置 2404:記憶體 2406:顯示裝置 2408:音訊輸出裝置 2410:其他輸出裝置 2412:通訊晶片 2414:電池/電力電路 2416:GPS裝置 2418:音訊輸入裝置 2420:其他輸入裝置 2422:天線 S:源極 D:汲極 G:閘極 M1:金屬層 M2:金屬層 M3:金屬層 M4:金屬層 M5:金屬層 M6:金屬層 M7:金屬層 M8:金屬層 M9:金屬層 M10:金屬層 M x:金屬層 M x+1:金屬層
藉由以下詳細描述並結合附隨圖式,將容易理解實施方式。為了便於此說明,類似代號代表類似的結構元件。在附隨圖式的圖中,經由舉例方式和非經由限定方式,來繪示實施方式。
[圖1]提供根據本揭露的一些實施方式的一個存取電晶體(1T)和一個電容器(1C)(1T-1C)記憶體胞的電路圖。
[圖2A至2B]分別是根據本揭露的一些實施方式的具有存取TFT的示例基於薄膜電晶體(TFT)的記憶體胞的橫截面和平面視圖。
[圖3A至3B]是根據本揭露的一些實施方式的圖2A至2B的記憶體胞中的存取TFT的示例結構的橫截面視圖。
[圖4]提供根據本揭露的一些實施方式的在上金屬層中具有後端記憶體和空氣間隙的積體電路(IC)裝置的示意圖。
[圖5]提供根據本揭露的一些實施方式的在上金屬層中具有後端記憶體和空氣間隙的示例IC裝置的橫截面側視圖。
[圖6A至6D]提供根據本揭露的一些實施方式的在製造具有後端記憶體和上金屬層中的空氣間隙的IC裝置的不同階段的橫截面側視圖。
[圖7A至7B]是根據本文揭露的任何實施方式的晶圓和晶粒的俯視圖,其包含具有後端記憶體和上金屬層中的空氣間隙的IC裝置。
[圖8]是根據本文揭露的任何實施方式的IC裝置的一側的橫截面側視圖,該IC裝置可以實現後端記憶體和在上金屬層中的空氣間隙。
[圖9]是根據本文揭露的任何實施方式的IC封裝的橫截面側視圖,該IC封裝可以包含一個或多個具有後端記憶體和在上金屬層中的空氣間隙的IC裝置。
[圖10]是根據本文揭露的任何實施方式的IC裝置組合件的橫截面側視圖,該IC裝置組合件可以包含一個或多個具有後端記憶體和在上金屬層中的空氣間隙的IC裝置。
[圖11]是根據本文揭露的任何實施方式的示例計算裝置的方塊圖,該示例計算裝置可以包含一個或多個具有後端記憶體和在上金屬層中的空氣間隙的IC裝置。
160:電容器板線
230:儲存節點
240:位元線
250:字線
410:支撐結構
420:FEOL層
430:BEOL層
432:後端記憶體層
434:空氣間隙層
500:IC裝置
502:半導體材料
504:前端電晶體
506:ILD材料
508:互連體
510:存取電晶體
512:S/D接點
514:電容器
520:記憶體胞
534:空氣間隙
M1:金屬層
M2:金屬層
M3:金屬層
M4:金屬層
M5:金屬層
M6:金屬層
M7:金屬層
M8:金屬層
M9:金屬層
M10:金屬層

Claims (20)

  1. 一種積體電路(IC)裝置,包括: 前端層,其包括複數個前端裝置;以及 後端層,其包括包含複數個後端記憶體胞的層和包含複數個導電互連體的層,該複數個導電互連體耦接到該複數個後端記憶體胞和該複數個前端裝置中的一個或多個, 其中: 該複數個導電互連體中的至少一些相鄰導電互連體對位於該相鄰導電互連體之間具有空氣間隙,以及 包含該複數個後端記憶體胞的該層位於該前端層和包含該複數個導電互連體的該層之間。
  2. 如請求項1之積體電路裝置,其中,該複數個導電互連體的平均節距至少大約200奈米。
  3. 如請求項1之積體電路裝置,其中,在該空氣間隙的高度的大約一半處該空氣間隙的寬度至少大約70奈米。
  4. 如請求項1之積體電路裝置,其中,該空氣間隙在距該前端層的第一距離處的寬度大於該空氣間隙在距該前端層第二距離處的寬度,其中,該第二距離大於該第一距離。
  5. 如請求項1至4中任一項之積體電路裝置,其中,該空氣間隙具有方尖碑形狀。
  6. 如請求項1至4中任一項之積體電路裝置,進一步包含: 密封材料的層,其共形於該複數個導電互連體的側壁和多個頂表面以及位於該複數個導電互連體的多個底部之間。
  7. 如請求項1至4中任一項之積體電路裝置,進一步包含障壁材料的層,其在該複數個導電互連體的多個內側壁上方以及在該複數個導電互連體的至少一些的底部處。
  8. 如請求項1至4中任一項之積體電路裝置,其中: 密封材料至少部分在該空氣間隙的第一側壁、底部和第二側壁處, 在中間具有該空氣間隙的相鄰該導電互連體的個別對包含第一導電互連體和第二導電互連體, 在該空氣間隙的該第一側壁處的該密封材料與該第一導電互連體的導電材料接觸, 在該空氣間隙的該第二側壁處的該密封材料與該第二導電互連體的導電材料接觸,以及 在該空氣間隙的該底部處的該密封材料與該後端層的層間介電質(ILD)材料接觸。
  9. 如請求項8之積體電路裝置,其中: 該層間介電質材料為第一層間介電質材料, 第二層間介電質材料至少部分地在該空氣間隙的該第一側壁、該底部、和該第二側壁處, 在該空氣間隙的該第一側壁處的該密封材料位於該第一導電互連體的該導電材料和在該空氣間隙的該第二側壁處的該第二層間介電質材料之間, 在該空氣間隙的該第二側壁處的該密封材料位於該第二導電互連體的該導電材料和在該空氣間隙的該第二側壁處的該第二層間介電質材料之間,以及 在該空氣間隙的該底部處的該密封材料位於該第一層間介電質材料和在該空氣間隙的該底部處的該第二層間介電質材料之間。
  10. 如請求項9之積體電路裝置,其中: 蝕刻停止(ES)材料至少部分地在該空氣間隙的該第一側壁、該底部、和該第二側壁處, 在該空氣間隙的該第一側壁處的該蝕刻停止材料位於在該空氣間隙的該第一側壁處的該密封材料和在該空氣間隙的該第二側壁處的該第二層間介電質材料之間, 在該空氣間隙的該第二側壁處的該蝕刻停止材料位於在該空氣間隙的該第二側壁處的該密封材料和在該空氣間隙的該第二側壁處的該第二層間介電質材料之間,以及 在該空氣間隙的該底部處的該蝕刻停止材料位於在該空氣間隙的該底部處的該密封材料和在該空氣間隙的該底部處的該第二層間介電質材料之間。
  11. 如請求項10之積體電路裝置,其中: 該蝕刻停止材料進一步在該複數個導電互連體的至少一些的多個頂表面上方。
  12. 如請求項8之積體電路裝置,其中: 該第一導電互連體的該導電材料為第一障壁材料,以及該第一導電互連體進一步包含第一填充材料,以及 該第二導電互連體的該導電材料為第二障壁材料,以及該第二導電互連體進一步包含第二填充材料。
  13. 如請求項1至4中任一項之積體電路裝置,其中,該複數個後端記憶體胞包含嵌入式動態隨機存取記憶體(eDRAM)胞。
  14. 如請求項1至4中任一項之積體電路裝置,其中,該複數個後端記憶體胞的個別者包含存取電晶體和電容器。
  15. 如請求項1至4中任一項之積體電路裝置,其中,該複數個導電互連體為複數導電線。
  16. 一種積體電路(IC)封裝,包括: 積體電路裝置;以及 另外的積體電路組件,其耦接到該積體電路裝置, 其中,該積體電路裝置包含: 具有複數個前端電晶體的前端層,以及 在該前端層上方的金屬化堆疊,該金屬化堆疊包含: 具有複數個記憶體胞的後端記憶體層,該複數個記憶體胞具有多個後端電晶體, 具有複數個導電線的層,其中該後端記憶體層位於該前端層和具有該複數個導電線的該層之間,以及 位於該複數個導電線中的相鄰者之間的多個空氣間隙。
  17. 如請求項16之積體電路封裝,其中,具有該複數個導電線以及具有位於該複數個導電線中的相鄰者之間的該些空氣間隙的該層在該金屬化堆疊的第七層處或在該金屬化堆疊的第七層之上。
  18. 如請求項16至17中任一項之積體電路封裝,其中,比具有該複數個導電線以及具有位於該複數個導電線中的相鄰者之間的該些空氣間隙的該層離該前端層更遠的該金屬化堆疊中沒有包含記憶體胞。
  19. 一種製造積體電路(IC)裝置的方法,該方法包括: 在半導體材料上方提供前端層,該前端層包括複數個前端裝置; 在該前端層上方提供包含複數個後端記憶體胞的層; 在該包含該複數個後端記憶體胞的層上方提供第一層間介電質(ILD)材料的層; 在該第一層間介電質材料的該層中形成複數個導電線; 使該第一層間介電質材料凹陷,使得該複數個導電線的多個頂表面和多個側表面的至少一部分被暴露; 在已暴露的該些頂表面和側壁的該至少一部分上方以及位於該複數個導電線中的相鄰者之間被凹陷的該第一層間介電質材料上方沉積密封材料的共形層;以及 在沉積該密封材料的該共形層之後,在已暴露的該些頂表面上方沉積第二層間介電質材料,使得多個空氣間隙保持位於該複數個導電線中的該相鄰者之間。
  20. 如請求項19之方法,進一步包含: 在沉積該密封材料的該共形層之後以及在沉積該第二層間介電質材料之前,在已暴露的該些頂表面上方沉積蝕刻停止材料,使得多個空氣間隙保持位於該複數個導電線中的該相鄰者之間。
TW111109372A 2021-06-18 2022-03-15 在上金屬層中具有空氣間隙的後端記憶體 TW202315059A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/351,301 2021-06-18
US17/351,301 US20220406782A1 (en) 2021-06-18 2021-06-18 Backend memory with air gaps in upper metal layers

Publications (1)

Publication Number Publication Date
TW202315059A true TW202315059A (zh) 2023-04-01

Family

ID=81748720

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111109372A TW202315059A (zh) 2021-06-18 2022-03-15 在上金屬層中具有空氣間隙的後端記憶體

Country Status (3)

Country Link
US (1) US20220406782A1 (zh)
EP (1) EP4105975A1 (zh)
TW (1) TW202315059A (zh)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4929588B2 (ja) * 2004-12-03 2012-05-09 富士通セミコンダクター株式会社 半導体装置及びその製造方法
KR102119829B1 (ko) * 2013-09-27 2020-06-05 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
JP2020155490A (ja) * 2019-03-18 2020-09-24 キオクシア株式会社 半導体装置
US11133248B2 (en) * 2019-11-11 2021-09-28 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Semiconductor structure and method for fabricating the same

Also Published As

Publication number Publication date
US20220406782A1 (en) 2022-12-22
EP4105975A1 (en) 2022-12-21

Similar Documents

Publication Publication Date Title
US20220139911A1 (en) Use of a placeholder for backside contact formation for transistor arrangements
US11450669B2 (en) Stacked thin-film transistor based embedded dynamic random-access memory
US11335686B2 (en) Transistors with back-side contacts to create three dimensional memory and logic
US11690211B2 (en) Thin film transistor based memory cells on both sides of a layer of logic devices
US11056492B1 (en) Dense memory arrays utilizing access transistors with back-side contacts
US20220415841A1 (en) Vertically stacked and bonded memory arrays
TW202147622A (zh) 具有非對稱閘極包圍之非平面電晶體配置
US20220392957A1 (en) Stacked backend memory with resistive switching devices
EP4040473A1 (en) Decoupling capacitors based on dummy through-silicon-via plates
US20230084611A1 (en) Two transistor capacitorless memory cell with stacked thin-film transistors
US20220093474A1 (en) Extension of nanocomb transistor arrangements to implement gate all around
TW202315059A (zh) 在上金屬層中具有空氣間隙的後端記憶體
US20220415904A1 (en) Back-side reveal for power delivery to backend memory
US20230064541A1 (en) Bilayer memory stacking with computer logic circuits shared between bottom and top memory layers
US20230067765A1 (en) Bilayer memory stacking with lines shared between bottom and top memory layers
US11923150B2 (en) Decoupling capacitors based on dummy through-silicon-vias
EP4152369A1 (en) Integrated circuit devices with finfets over gate-all-around transistors
US20220344262A1 (en) Back-side power delivery with glass support at the front
EP4109532A1 (en) Three-dimensional capacitors with double metal electrodes
US20220375939A1 (en) Thin-film transistor memory with glass support at the back
US20240105589A1 (en) Integrated circuit (ic) device with metal layer including staggered metal lines
US20220415811A1 (en) Integrated circuit devices with backend memory and electrical feedthrough network of interconnects
US20220399342A1 (en) Three-dimensional transistor arrangements with recessed gates