TW202213692A - 半導體元件及製造方法 - Google Patents

半導體元件及製造方法 Download PDF

Info

Publication number
TW202213692A
TW202213692A TW110110732A TW110110732A TW202213692A TW 202213692 A TW202213692 A TW 202213692A TW 110110732 A TW110110732 A TW 110110732A TW 110110732 A TW110110732 A TW 110110732A TW 202213692 A TW202213692 A TW 202213692A
Authority
TW
Taiwan
Prior art keywords
redistribution
inner support
layers
layer
interconnect
Prior art date
Application number
TW110110732A
Other languages
English (en)
Other versions
TWI769758B (zh
Inventor
吳俊毅
余振華
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/097,206 external-priority patent/US11894318B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202213692A publication Critical patent/TW202213692A/zh
Application granted granted Critical
Publication of TWI769758B publication Critical patent/TWI769758B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02373Layout of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking
    • H01L2924/35121Peeling or delaminating

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種元件,包含:重佈線結構,包含導電特徵、介電層以及介電層中的第一介電層內的內部支撐件,其中內部支撐件不含被動元件及主動元件;第一內連線結構,附接至重佈線結構的第一側;第二內連線結構,附接至重佈線結構的第一側,其中第二內連線結構側向鄰近於第一內連線結構,其中內部支撐件與第一內連線結構及第二內連線結構兩者側向重疊。

Description

半導體元件及製造方法
半導體工業繼續藉由連續減小最小特徵大小來改善各種電子組件(例如電晶體、二極體、電阻器、電容器等)的整合密度,從而允許更多組件,因此更多功能整合至給定區域中。具有高功能性的積體電路需要許多輸入/輸出接墊。然而,對於小型化至關重要的應用,可能需要小型封裝。
隨著對於縮小的電子元件的需求增長,對於更小且更創造性的半導體晶粒封裝技術的需要已出現。此類封裝系統的實例為疊層式封裝(Package-on-Package;PoP)技術。在PoP元件中,頂部半導體封裝堆疊於底部半導體封裝的頂部上,以提供高位準的整合以及組件密度。PoP技術大致使得能夠生產具有增強的功能性及印刷電路板(printed circuit board;PCB)上的小佔據面積的半導體元件。
以下揭露內容提供用以實施本發明的不同特徵的許多不同實施例或實例。下文描述組件及配置的具體實例以簡化本揭露。當然,此等組件及配置僅為實例且不意欲為限制性的。舉例而言,在以下描述中,第一特徵在第二特徵上方或第二特徵上的形成可包含第一特徵及第二特徵直接接觸地形成的實施例,且亦可包含額外特徵可在第一特徵與第二特徵之間形成以使得第一特徵及第二特徵可不直接接觸的實施例。另外,本揭露內容可在各種實例中重複附圖標號及/或字母。此重複是出於簡單及清楚的目的,且本身並不指示所論述的各種實施例及/或組態之間的關係。
此外,為易於描述,本文中可使用諸如「在...之下」、「在...下方」、「下部」、「在...上方」、「上部」以及類似術語的空間相對術語來描述如諸圖中所示出的一個元件或特徵相對於另一元件或特徵的關係。除圖式中所描繪的定向以外,空間相對術語意欲涵蓋元件在使用或操作中的不同定向。裝置可以其他方式定向(旋轉90度或位於其他定向),且本文中所使用的空間相對描述詞可同樣相應地進行解釋。
在本揭露中,描述封裝結構及其形成的各種態樣。在一些實施例中,一或多個內部支撐件併入在封裝的重佈線結構內。內部支撐件可為例如虛擬晶粒或塊狀材料。尤其在多個內連線或積體電路晶粒附接至重佈線結構時,可置放內部支撐件以向封裝提供結構支撐且減少翹曲或開裂。以此方式減小封裝內的應力可改善效能及良率。
圖1至圖10示出根據一些實施例的重佈線結構100(參看圖10)的形成中的中間步驟。重佈線結構100包含增加結構穩定性且減少翹曲的一或多個內部支撐件110(參看圖6至圖7)。在後續步驟中,多個內連線結構200(參看圖11至圖12)附接至重佈線結構100。圖1至圖10中所繪示的內連線區200'及內連線區200'是指隨後被附接內連線結構200(參看圖11)的區,且每一內連線區200'具有與對應內連線結構200相同的尺寸。圖2及圖7示出結構的平面圖,且圖1、圖3至圖6以及圖8至圖10示出穿過圖2及圖7中所繪示的參考橫截面A-A的橫截面圖。
圖1至圖4示出重佈線結構100的第一重佈線層108A的形成,所述第一重佈線層108A包含多個導電線105A至導電線105E、多個介電層106A至介電層106D以及多個導通孔107A至導通孔107D。第一重佈線層108A經繪示為說明性實例,且其他實施例中可使用更多或更少的導電線、介電層及/或導通孔。可使用與下文所描述不同的材料及/或技術來形成第一重佈線層108A。
轉而參看圖1,繪示根據一些實施例的第一載體基板102,其上已形成釋放層(release layer)103、保護層104以及導電線105A。圖2A示出圖1中所繪示的結構的平面圖,其中圖1的橫截面穿過圖2A中所繪示的參考橫截面A-A。為了清楚起見,已從圖2A中省略圖1中所繪示的特徵中的一些,諸如導電線105A。圖2A示出四個內連線區200',但在其他實施例中,可存在更多或更少的內連線區200',內連線區200'的大小或形狀可與所繪示不同,或內連線區200'可具有與所繪示不同的配置。在一些實施例中,相鄰內連線區200'可藉由介於約40微米至約5000微米範圍內的分隔距離D1分隔開,但其他分隔距離也是可能的。
第一載體基板102可包含例如矽類材料,諸如矽基板(例如矽晶圓)、玻璃材料、氧化矽或其他材料,諸如氧化鋁、類似物或組合。在一些實施例中,第一載體基板102可為面板結構,所述面板結構可為例如由諸如玻璃材料、塑膠材料或有機材料的適合介電材料形成的支撐基板。面板結構可為例如矩形面板。
作為說明性實例,根據一些實施例,圖2B及圖2C繪示不同類型的第一載體基板102。圖2B繪示第一載體基板102為矽晶圓的實施例,且圖2C繪示第一載體基板102為面板結構的實施例。圖2B至圖2C繪示形成在第一載體基板102上的多個重佈線結構100。以此方式,多個結構可同時形成在第一載體基板102上。形成在第一載體基板102上的結構可隨後被單一化,以作為形成多個個別的封裝結構300(參看圖18)的製程的一部分。
返回至圖1,釋放層103可形成於第一載體基板102的頂部表面上,以促進第一載體基板102的後續剝離。釋放層103可由聚合物類材料形成,其可與第一載體基板102一起自將在後續步驟中形成的上覆結構移除。在一些實施例中,釋放層103為在加熱時損失其黏著特性的環氧樹脂類熱釋放材料,諸如光-熱轉換(light-to-heat-conversion;LTHC)釋放塗層。在其他實施例中,釋放層103可為在暴露於UV光下時損失其黏著特性的紫外線(ultra-violet;UV)膠。釋放層103可以液體形式施配且經固化,也可為疊層在第一載體基板102上的疊層膜,或可為類似物。釋放層103的頂部表面可經水平化(leveled),且可具有高度平面性。在一些實施例中,可使用晶粒貼合膜(Die Attach Film;DAF)(圖中未繪示)來替代釋放層103或額外附加於釋放層103。
在一些實施例中,保護層104可形成於釋放層103上。保護層104的底部表面可與釋放層103的頂部表面接觸。在一些實施例中,保護層104由聚合物形成,所述聚合物諸如聚苯并噁唑(PBO)、聚醯亞胺、苯并環丁烯(BCB)或類似物。在其他實施例中,保護層104由以下形成:氮化物,諸如氮化矽;氧化物,諸如氧化矽、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、硼矽酸鹽玻璃(borosilicate glass;BSG)、硼摻磷矽酸鹽玻璃(boron-doped phosphosilicate glass;BPSG)或類似物;或類似材料。保護層104可藉由任何可接受的沉積製程形成,諸如旋塗、CVD、疊層、類似物或其組合。
重佈線結構100的導電線105A形成在保護層104上。導電線105A可包括例如導電線、重佈線層或重佈線、接墊或在保護層104的主表面上方延伸的其他導電特徵。作為形成導電線105A的實例,晶種層形成在保護層104上方。在一些實施例中,晶種層為金屬層,其可為單層或包括由不同材料形成的多個子層的複合層。在一些實施例中,晶種層包括鈦層及所述鈦層上方的銅層。可使用例如物理氣相沉積(physical vapor deposition;PVD)或類似者形成晶種層。光阻接著形成於晶種層上且在晶種層上經圖案化。光阻可藉由旋塗或類似者形成且可暴露於光以用於圖案化,其中光阻的圖案對應於導電線105A。圖案化形成穿過光阻的開口以暴露晶種層,且接著導電材料形成在光阻的開口中以及晶種層的暴露部分上。導電材料可藉由諸如電鍍或無電極電鍍的鍍覆或類似者形成。導電材料可包括金屬,比如銅、鈦、鎢、鋁、類似物或其組合。接著,將光阻及晶種層上未形成導電材料的部分移除。可藉由可接受的灰化或剝離製程,諸如使用氧電漿、化學剝離製程或類似者來移除光阻。一旦移除光阻,便諸如藉由使用可接受的蝕刻製程(諸如藉由濕式蝕刻或乾式蝕刻)來移除晶種層的暴露部分。晶種層及導電材料的剩餘部分形成導電線105A。形成導電線105A的其他技術也是可能的。
在圖3中,根據一些實施例,導通孔107A形成在導電線105A上方。導通孔107A在導電線105A上延伸,且形成重佈線結構100的導電線105A與隨後形成的導電線105B之間的電連接。作為形成導通孔107A的實例,光阻在保護層104及導電線105A上方形成且經圖案化。光阻可藉由旋塗或類似者形成且可暴露於光以用於圖案化。光阻的圖案化形成穿過光阻的開口以暴露底層導電線105A的部分,使得光阻中的開口對應於導通孔107A的圖案。導電材料接著形成在光阻的開口中以及導電線105A的暴露部分上。導電材料可藉由諸如電鍍或無電極電鍍的鍍覆或類似者形成。導電材料可包括金屬,比如銅、鈦、鎢、鋁、類似物或其組合。光阻可藉由可接受的灰化或剝離製程移除。共同地,導電線105A及導通孔107A形成重佈線結構100的金屬化圖案。
根據一些實施例,在形成導通孔107A之後,介電層106A形成在導通孔107A及導電線105A上且圍繞導通孔107A及導電線105A。在形成之後,介電層106A包圍導通孔107A及導電線105A。介電層106A及金屬化圖案(包含導通孔107A及導電線105A)形成重佈線結構100的第一重佈線層108A中的一者。在一些實施例中,介電層106為包封體,諸如預浸料、樹脂、樹脂塗佈銅(resin coated copper;RCC)、模製化合物、聚醯亞胺、光可成像介電質(photo-imageable dielectric;PID)、環氧樹脂或類似者,且可藉由諸如壓縮模製、轉移模製或類似者的適合技術來施加。包封體可以液體或半液體形式施加且隨後經固化。在一些實施例中,形成介電層106A,使得內埋或覆蓋導電線105A及導通孔107A,且接著對介電層106A執行平坦化製程以暴露導通孔107A。在平坦化製程之後,介電層106A及導通孔107A的最頂部表面可在製程變化內實質上齊平(例如平面)。平坦化製程可包含例如研磨製程及/或化學機械拋光(chemical-mechanical polish;CMP)製程。在一些實施例中,介電層106A可包括其他材料,諸如氧化矽、氮化矽或類似者。在一些實施例中,介電層106A形成為具有介於約5微米至約50微米範圍內的厚度,但其他厚度也是可能的。
在圖4中,根據一些實施例,重複上文所論述的步驟及製程以形成第一重佈線層108A的額外重佈線層。圖4中所繪示的第一重佈線層108A包含額外介電層106B、介電層106C以及介電層106D,額外導電線105B、導電線105C、導電線105D以及導電線105E,以及額外導通孔107B、導通孔107C以及導通孔107D。第一重佈線層108A繪示為包括五個導電線層的重佈線層的實例,但更多或更少層的介電層、導電線或導通孔可形成於第一重佈線層108A中。若更少重佈線層待形成,則可省略下文所論述的一些步驟及製程。若更多重佈線層待形成,則可重複下文所論述的一些步驟及製程。
可使用如針對介電層106A、導電線105A以及導通孔107A所描述的類似技術形成第一重佈線層108A中的額外重佈線層。舉例而言,導電線105B可形成於介電層106A及導通孔107A上。導電線105B與底層導通孔107A實體接觸且電接觸。導電線105B可以與導電線105A類似的方式以及由與導電線105A類似的材料形成。導通孔107B可接著形成在導電線105B上,且可以與導通孔107A類似的方式以及由與導通孔107A類似的材料形成。介電層106B可接著形成在介電層106A、導電線105B以及導通孔107B上方。介電層106B可以與介電層106A類似的方式以及由與介電層106A類似的材料形成。可對介電層106B執行平坦化製程以暴露導通孔107B。可執行與此等步驟或製程類似的步驟或製程以形成導電線105B、導電線105C、導電線105D以及導電線105E,導通孔107C及導通孔107D以及介電層106C及介電層106D。在一些實施例中,導電線及/或導通孔可形成為具有不同大小。舉例而言,導電線或導通孔中的一或多者可具有與其他導電線或導通孔不同的寬度、間距或厚度。在一些實施例中,介電層中的一或多者可由與其他介電層不同的材料形成或具有與其他介電層不同的厚度。
儘管已描述用於形成導通孔107A至導通孔107D、介電層106A至介電層106D以及導電線105A至導電線105E的一個製程,但應瞭解,可使用其他製程來形成第一重佈線層108A。舉例而言,藉由形成包括對應於導通孔的通孔部分及對應於導電線的線部分的單個金屬化圖案,可同時形成重佈線層的導通孔及導電線。在此類實施例中,金屬化圖案的線部分位於介電層的主表面上且沿著介電層的主表面延伸,且金屬化圖案的通孔部分延伸穿過介電層以將導電線實體耦接且電耦接至底層導電特徵。在此類實施例中,同一重佈線層的導通孔與導電線之間無晶種層形成。
圖5至圖10示出根據一些實施例的重佈線結構100的第二重佈線層108B(參看圖10)的形成中的中間步驟,在包含內部支撐件110(參看圖6)的併入。在圖5中,第二重佈線層108B的導通孔107E形成在導電線105E上,且可以與導通孔107A至導通孔107D類似的方式以及與導通孔107A至導通孔107D類似的材料形成。導通孔107E形成在導電線105E上以與導電線105E實體接觸且電接觸。
在圖6中,一或多個內部支撐件110附接至第一重佈線層108A。內部支撐件110為併入在重佈線結構100內以向重佈線結構100提供額外結構支撐的被動結構。內部支撐件110可不含被動元件及/或主動元件。藉由內部支撐件110提供的穩定性可在接合至多個內連線結構200(參看圖11)期間或之後減少重佈線結構100的應力、翹曲或開裂。在一些情況下,可將內部支撐件110視為重佈線結構100內的「虛擬結構」或「虛擬晶粒」。在一些實施例中,內部支撐件110具有介於約15微米至約100微米範圍內的厚度,但其他厚度也是可能的。在一些情況下,選擇內部支撐件110的厚度以在使整體重佈線結構100的厚度增加極小或不增加整體重佈線結構100的厚度的同時提供足夠的結構支撐。
支撐件110可由具有適合的機械硬度或剛性的材料形成。在一些實施例中,內部支撐件110可由半導體材料形成,所述半導體材料諸如矽、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、類似物或其組合。在一些實施例中,內部支撐件110可由介電材料形成,所述介電材料諸如陶瓷材料、石英、另一電惰性材料、類似物或其組合。在一些實施例中,內部支撐件110可為金屬或金屬合金,諸如錫鎳合金(例如「合金42」)或類似物。在一些實施例中,內部支撐件110由兩種或多於兩種不同材料形成,諸如多個不同材料層。在一些實施例中,基於材料的機械硬度或剛性選擇內部支撐件110的材料舉例而言,在一些實施例中,可選擇具有介於約10吉帕(GPa)至約160吉帕(GPa)範圍內的楊氏模數的內部支撐件110的材料,但其他值也是可能的。在一些實施例中,基於重佈線結構100的熱膨脹係數(Coefficient of Thermal Expansion;CTE)選擇內部支撐件110的材料。舉例而言,可選擇具有與重佈線結構100或其內的特徵的CTE類似的CTE的特定材料作為內部支撐件110的材料。在一些實施例中,可選擇具有介於約2 ppm至約10 ppm範圍內的CTE的材料作為內部支撐件的材料,但其他值也是可能的。
圖6示出附接至第一重佈線層108A的暴露導電線(例如導電線105E)的內部支撐件110,但在其他實施例中,內部支撐件110可附接至第一重佈線層108A的暴露介電層(例如介電層106D)。內部支撐件110上的黏著劑109將內部支撐件110黏著至第一重佈線層108A(例如黏著至導電線105E或介電層106D)。黏著劑109可為任何適合的黏著劑、環氧樹脂、黏著膜、晶粒貼合膜(DAF)或類似者。黏著劑109可施加至內部支撐件110或可施加於第一重佈線層108A的表面上。在一些實施例中,黏著劑109具有介於約2微米至約20微米(諸如3微米)範圍內的厚度,但其他厚度也是可能的。可使用取放(pick-and-place)技術或類似技術來置放內部支撐件110。
在一些實施例中,內部支撐件110置放於結構上以與兩個或多於兩個內連線區200'側向重疊,內連線結構200(參看圖11)隨後在內連線區200'中附接。圖6中所繪示的內部支撐件110大致在相鄰內連線區200'之間側向居中,使得內部支撐件110與相鄰內連線區200'中的兩個的部分側向重疊。在一些情況下,由於多個內連線結構200附接至重佈線結構100,故位於多個內連線結構200之間且/或與多個內連線結構200重疊的側向定位內部支撐件110可減少重佈線結構100內的應力,此可減少重佈線結構100的翹曲或開裂。
轉而參看圖7,根據一些實施例,繪示與圖6的結構類似的結構的說明性平面圖。圖7中所繪示的實例橫截面A-A對應於圖6中所繪示的橫截面圖。舉例而言,圖7中所繪示的內部支撐件110可與圖6中所繪示的內部支撐件110類似。圖7的平面圖為說明性實例,且為了清楚起見,圖7中未繪示圖6中所繪示的一些特徵(諸如導電線105E及導通孔107E)。圖7示出具有四個內連線區200'的第一重佈線層108A。四個內部支撐件110附接至第一重佈線層108A且與內連線區200'的相鄰對側向重疊。以此方式,內連線區200'的每一相鄰對具有對應內部支撐件110,其可在內連線結構200經附接(參看圖13)之後減少重佈線結構100中的應力。圖7繪示四個內部支撐件110,但在其他實施例中,可使用更多或更少的內部支撐件110。在一些實施例中,內連線區的每一相鄰對可具有兩個或多於兩個對應內部支撐件110,其與相鄰對中的內連線區200'中的每一者重疊。在一些實施例中,附接至第一重佈線層108A的不同內部支撐件110可具有不同的大小或形狀。對於具有多個內部支撐件110的結構的一些實例,參看下文針對圖27至圖30B描述的實施例。
仍參考圖7,在一些實施例中,內部支撐件110可具有介於約80微米至約6000微米範圍內的寬度W1,但其他寬度也是可能的。在一些實施例中,內部支撐件110的邊緣與內連線區200'的邊緣之間的重疊距離D2介於約20微米至約500微米範圍內,但其他重疊距離也是可能的。在一些情況下,更大的重疊距離D2可為重佈線結構100提供更多結構支撐。在一些實施例中,內部支撐件110可具有介於約2毫米至約60毫米範圍內的長度L1,但其他長度也是可能的。在一些實施例中,內連線區200'可具有介於約15毫米至約70毫米範圍內的長度L2,但其他長度也是可能的。內部支撐件110可具有介於內連線區200'的長度L2的約2.5%至約86%範圍內的長度L1。在一些情況下,具有更大長度L1的內部支撐件110可向重佈線結構100提供更多結構支撐。在一些實施例中,內部支撐件110的端部與內連線區200'的邊緣之間的分隔距離D3可介於約20微米至約500微米範圍內,但其他分隔距離也是可能的。內部支撐件110可大致在內連線區200'內側向居中以使得內部支撐件110的兩個端部的分隔距離D3大約相同,或內部支撐件110可側向偏移以使得內部支撐件110的一個端部的分隔距離D3不同於內部支撐件110的另一端部的分隔距離D3。
在圖8中,第二重佈線層108B的介電層106E形成在內部支撐件110、介電層106D、導電線105E以及導通孔107B上方。介電層106E可以與介電層106A至介電層106D類似的方式以及由與介電層106A至介電層106D類似的材料形成,但介電106E可為與介電層106A至介電層106D中的一或多者不同的材料。在圖9中,對介電層106E、導通孔107E及/或內部支撐件110執行平坦化製程,諸如CMP製程及/或機械研磨製程。平坦化製程暴露導通孔107E。在一些實施例中,平坦化製程暴露介電層106E、導通孔107E以及內部支撐件110,且介電層106E、導通孔107E以及內部支撐件110的頂部表面可為齊平的。在其他實施例中,在執行平坦化製程之後,介電層106E仍覆蓋內部支撐件110。
在圖10中,第二重佈線層108B的介電層106F、導通孔107F以及導電線105F至導電線105G形成在介電層106E上方。以此方式,重佈線結構100由第一重佈線層108A及第二重佈線層108B形成,其中一或多個內部支撐件110安置在第一重佈線層108A與第二重佈線層108B之間。在其他實施例中,第一重佈線層108A或第二重佈線層108B可具有與所繪示不同數目個導電線、導通孔或介電層。以此方式,重佈線結構100可具有任何適合數目個重佈線層(例如導通孔、導電線及/或介電層),且內部支撐件110可位於重佈線結構100的任何適合介電層內。若第二重佈線層108B中的更少重佈線層待形成,則可省略下文所論述的一些步驟及製程。若更多重佈線層待形成,則可重複下文所論述的一些步驟及製程。
可使用如針對第一重佈線層108A所描述的類似材料且使用類似技術形成第二重佈線層108B的介電層106F、導通孔107F以及導電線105F至導電線105G。舉例而言,導電線105F可形成於介電層106E及導通孔107E上。導電線105F與底層導通孔107E實體接觸且電接觸。導電線105F可以與導電線105A至導電線105E類似的方式以及由與導電線105A至導電線105E類似的材料形成。導通孔107F可接著形成在導電線105F上,且可以與導通孔107A至導通孔107E類似的方式以及由與導通孔107A至導通孔107E類似的材料形成。介電層106F可接著形成在介電層106E、導電線105F以及導通孔107F上方。介電層106F可以與介電層106A至介電層106E類似的方式以及由與介電層106A至介電層106E類似的材料形成。可對介電層106F執行平坦化製程以暴露導通孔107F。可執行與此等步驟或製程類似的步驟或製程以形成導電線105G。在一些實施例中,導電線及/或導通孔可形成為具有不同大小。舉例而言,導電線或導通孔中的一或多者可具有與其他導電線或導通孔不同的寬度、間距或厚度。在一些實施例中,介電層中的一或多者可由與其他介電層不同的材料形成或具有與其他介電層不同的厚度。
在一些實施例中,凸塊下金屬化結構(under-bump metallization structure;UBM,圖中未繪示)形成在重佈線結構100的最頂部導電線(例如導電線105G)上。UBM可例如包含三個導電材料層,諸如鈦層、銅層以及鎳層。然而,可使用適合於形成UBM的材料及層的其他配置(諸如,鉻/鉻-銅合金/銅/金的配置、鈦/鈦鎢/銅的配置或銅/鎳/金的配置)。可用於UBM的任何適合材料或材料層全部意欲包含於當前申請案的範疇內。可藉由在重佈線結構100上方形成UBM的每一層來創建UBM。儘管取決於所要材料,可替代地使用諸如濺鍍、蒸鍍或PECVD製程的其他形成製程,但每一層的形成可使用諸如電鍍或無電極電鍍的鍍覆製程來進行。一旦已形成所要層,便可經由適合的微影遮蔽及蝕刻製程移除層的部分以移除非所要材料且以諸如環形、八邊形、正方形或矩形形狀的所要形狀保留UBM,但可替代地形成任何所要形狀。在一些實施例中,作為重佈線結構100的形成的部分,UBM形成在最頂部重佈線層上方,可包含使用與用於形成重佈線結構100的最頂部重佈線層相同的微影步驟。舉例而言,UBM層可沉積於最頂部重佈線層上方,且接著在同一製程中移除最頂部重佈線層的多餘材料及UBM。在一些實施例中,UBM可為重佈線結構100的最頂部重佈線層的部分,且可例如延伸穿過重佈線結構100的最頂部介電層(例如介電層106F)。
圖11示出根據一些實施例的內連線結構200。內連線結構200隨後接合至重佈線結構100以形成封裝結構300(參看圖18)且向重佈線結構100提供額外佈線及穩定性。舉例而言,內連線結構200可減少重佈線結構100的翹曲。在一些實施例中,內連線結構200可為例如插入件或「半成品基板」,且可不含主動元件。內連線結構200可具有介於約200微米與約3000微米之間的厚度,但其他厚度也是可能的。
在一些實施例中,內連線結構200可包含形成在核心基板202上的佈線層(例如佈線結構212及佈線結構213)。核心基板202可包含材料,諸如味之素累積膜(Ajinomoto build-up film;ABF)、預浸漬複合纖維(「預浸料(prepreg)」)材料、環氧樹脂、模製化合物、環氧樹脂模製化合物、玻璃纖維強化樹脂材料、印刷電路板(PCB)材料、二氧化矽填充物、聚合物材料、聚醯亞胺材料、紙、玻璃纖維、無紡玻璃織品、玻璃、陶瓷、其他層合物、類似者或其組合。在一些實施例中,核心基板可為雙側敷銅層合物(copper-clad laminate;CCL)基板或類似者。核心基板202可具有介於約30微米與約2000微米之間的厚度,但其他厚度也是可能的。
內連線結構200可具有形成在核心基板202的每一側上的一或多個佈線結構212/213及延伸穿過核心基板202的穿孔210。佈線結構212/213及穿孔210提供額外電佈線及互連。穿孔210可將佈線結構212與佈線結構213互連。佈線結構212/213可包含一或多個佈線層208/209及一或多個介電層218/219。在一些實施例中,佈線層208/209及/或穿孔210可包括一或多層銅、鎳、鋁、其他導電材料、類似物或其組合。在一些實施例中,介電層218/219可包含諸如累積材料、ABF、預浸料材料、層合物材料、與上文針對核心基板202或介電層106A至介電層106F所描述的材料類似的另一材料、類似物或其組合。圖11中所繪示的內連線結構200展示具有總共六個佈線層的兩個佈線結構212/213,但在其他實施例中,內連線結構200可僅包含一個佈線結構(例如212或213)或佈線結構212/213可包含更多或更少的佈線層。佈線結構212/213的每一佈線層可具有介於約5微米與約50微米之間的厚度,且佈線結構212/213可各自具有介於約2微米與約50微米之間的總厚度,但其他厚度也是可能的。
在一些實施例中,核心基板202中用於穿孔210的開口可用填充物材料211填充。填充物材料211可為穿孔210的導電材料提供結構支撐及保護。在一些實施例中,填充物材料211可為諸如模製材料、環氧樹脂、環氧樹脂模製化合物、樹脂、包含單體或寡聚物(諸如丙烯酸化胺基甲酸酯、經橡膠改質的丙烯酸化環氧樹脂,或多官能單體)的材料、類似物或其組合。在一些實施例中,填充物材料211可包含顏料或染料(例如用於彩色),或修改流變性、改善黏著性或影響填充物材料211的其他特性的其他填充物及添加劑。在一些實施例中,穿孔210的導電材料可完全填充穿孔210,省略填充物材料211。
在一些實施例中,內連線結構200可包含形成在內連線結構200的一或多個側上方的鈍化層207。鈍化層207可為諸如氮化物、氧化物、聚醯亞胺、低溫聚醯亞胺、阻焊劑、其組合或類似物的材料。一旦形成,鈍化層207便可經圖案化(例如,使用適合的微影及蝕刻製程)以暴露佈線結構212/213的佈線層208/209的部分。
圖12至圖18示出根據一些實施例的內連線結構200A至內連線結構200B至重佈線結構100以形成封裝結構300(參看圖18)的接合中的中間步驟。內連線結構200A至內連線結構200B可為與圖11中所描述的內連線結構200類似的內連線結構。內連線結構200A至內連線結構200B可類似或可彼此不同。舉例而言,內連線結構200A至內連線結構200B的大小可相同或可具有不同尺寸。可附接比圖中所繪示更多或更少的內連線結構200。內連線結構200A至內連線結構200B附接至對應內連線區200'中的重佈線結構100。
參考圖12,根據一些實施例,導電連接件220可形成於內連線結構200A至內連線結構200B上。導電連接件220可為球柵陣列封裝(ball grid array;BGA)連接件、焊料球、金屬柱、受控塌陷晶片連接(controlled collapse chip connection;C4)凸塊、微凸塊、化學鍍鎳鈀浸金技術(electroless nickel-electroless palladium-immersion gold;ENEPIG)形成的凸塊,或類似者。導電連接件220可包含導電材料,諸如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物或其組合。在一些實施例中,導電連接件220藉由經由蒸鍍、電鍍、列印、焊料轉移、植球或類似者初始地形成焊料層來形成。一旦焊料層已形成於結構上,便可執行回焊以便將材料塑形成所要凸塊形狀。在另一實施例中,導電連接件220包括藉由濺鍍、列印、電鍍、無電極電鍍、CVD或類似者形成的金屬柱(諸如銅柱)。金屬柱可不含焊料且具有實質上垂直的側壁。在一些實施例中,金屬頂蓋層形成在金屬柱的頂部上。金屬頂蓋層可包含鎳、錫、錫鉛、金、銀、鈀、銦、鎳鈀金、鎳金、類似物或其組合,且可由鍍覆製程形成。在其他實施例中,替代內連線結構200A至內連線結構200B或除了內連線結構200A至內連線結構200B以外,導電連接件220形成在重佈線結構100上。
圖13示出根據一些實施例的內連線結構200A至內連線結構200B與重佈線結構100成電連接的置放。在一實施例中,使用例如取放製程將內連線結構200A至內連線結構200B的導電連接件220置放為與重佈線結構100的導電線或UBM實體接觸。一旦實體接觸,便可利用回焊製程來將內連線結構200A至內連線結構200B的導電連接件220接合至重佈線結構100。在一些實施例中,導電連接件220並不形成在內連線結構200A至內連線結構200B上,且使用諸如熱壓接合技術的直接接合技術來將內連線結構200A至內連線結構200B接合至重佈線結構100。
在一些情況下,將多個內連線結構200接合至同一重佈線結構100可產生重佈線結構100內的應力。藉由將一或多個內部支撐件110併入在重佈線結構100內,可減小此應力。在一些情況下,重佈線結構100內的應力與相鄰內連線結構200之間的間隙大致對準,且可藉由使用與相鄰內連線結構200之間的間隙大致對準的一或多個內部支撐件110來減小重佈線結構100內的此應力。參考圖14,繪示圖13中指示的區111的放大橫截面。如圖13至圖14中所繪示,內部支撐件110與內連線結構200A及內連線結構200B之間的間隙大致對準。如先前在圖7中針對內連線區200'所描述,內連線結構200A至內連線結構200B具有間隙分隔距離D1,且內部支撐件110的邊緣及內連線結構200A/200B的邊緣具有重疊距離D2。在一些實施例中,重佈線結構100與內連線結構200之間的距離D4介於約10微米至約300微米範圍內,且內部支撐件110與上覆內連線結構200之間的距離D5介於約100微米至約15,000微米範圍內。其他距離也是可能的。在一些實施例中,D5:D2的比率具有約5:1至約30:1的比率。在一些情況下,具有此實例範圍中的D5:D2的比率的內部支撐件110可比具有此範圍之外的比率的內部支撐件110為重佈線結構100提供更多結構支撐及減小的應力。比率D5:D2的其他範圍也是可能的。
圖15示出根據一些實施例的圖14中所繪示的結構的平面圖。圖15的平面圖與圖7中所繪示的平面圖類似,且圖15中所繪示的實例橫截面A-A對應於圖14中所繪示的橫截面圖。如圖15中所繪示,內連線結構200A至內連線結構200D附接至內連線區200'中的重佈線結構100。內連線結構200A至內連線結構200D的每一相鄰對具有減小與內連線結構200A至內連線結構200D的相鄰對相關聯的重佈線結構100中的應力的對應內部支撐件110。內連線結構200A至內連線結構200D可具有與所繪示不同的大小或形狀,且可具有與所繪示不同的配置。可存在比所繪示更多或更少的內連線結構200。在一些實施例中,內連線結構200的邊緣與重佈線結構100的邊緣之間的距離D6介於約40微米至約5,000微米範圍內,但其他距離也是可能的。
在圖16中,底部填充料224沿著內連線結構200A至內連線結構200B的側壁沉積且沉積在內連線結構200A至內連線結構200B與重佈線結構100之間的間隙中。底部填充料224可為諸如模製化合物、包封體、環氧樹脂、底部填充料、模製底部填充料(molding underfill;MUF)、樹脂或類似物的材料。底部填充料224可保護導電連接件220且為封裝結構300(參看圖18)提供結構支撐。在一些實施例中,可使用壓縮模製製程、轉移模製製程或類似製程來施加底部填充料224。在一些實施例中,底部填充料224可以液體或半液體形式施加且隨後經固化。在一些實施例中,底部填充料224可在沉積之後薄化。可例如使用機械研磨或CMP製程執行薄化。在一些實施例中,底部填充料224可沉積於內連線結構200A至內連線結構200B上方,且薄化可暴露內連線結構200A至內連線結構200B。
轉而參看圖17,將第一載體基板102剝離以分離(或「剝離」)第一載體基板102。根據一些實施例,接著將結構翻轉且接合至第二載體基板302。在一些實施例中,剝離包含將諸如雷射光或UV光的光投射在第一載體基板102的釋放層103上,使得釋放層103在光的熱量下分解且可移除第一載體基板102。第二載體基板302可為類似於上文針對第一載體基板102所描述的載體基板。舉例而言,第二載體基板302可為與圖2B中所繪示的晶片類似的晶片或與圖2C中所繪示的面板類似的面板。釋放層304可形成於第二載體基板302上以有助於將結構附接至第二載體基板302。多個結構可形成於第二載體基板302上,且隨後經單一化以形成多個個別的封裝結構300(參看圖18)。可例如使用將結構分隔為離散件的一或多個鋸割刀片來單一化結構,從而形成一或多個單一化結構。然而,亦可利用任何適合的單一化方法,包含雷射切割或一或多種濕式蝕刻。單一化製程可將底部填充料224保留在內連線結構200的側壁上,或單一化製程可自內連線結構200的側壁移除底部填充料224。在單一化製程之後,每一重佈線結構100可具有與內連線結構200的側壁共面的側壁,或可具有與留存於內連線結構200的側壁上的底部填充料224共面的側壁。
仍參考圖17,根據一些實施例,導電連接件312形成在重佈線結構100上。導電連接件312允許與晶粒或另一封裝結構(諸如積體電路封裝350(參看圖18))實體連接且電連接。在一些實施例中,開口可形成於保護層104中以暴露重佈線結構100的導電線(例如導電線105A)。開口暴露導電線上的隨後形成導電連接件312的部分。可例如使用雷射鑽孔製程來形成開口。在其他實施例中,開口可藉由以下形成:在保護層104上方形成光阻,圖案化光阻,且使用適合的蝕刻製程(例如濕式蝕刻製程及/或乾式蝕刻製程)經由經圖案化光阻蝕刻保護層104。
導電連接件312可接著形成在導電線105A上,從而與重佈線結構100電連接。導電連接件312可為球柵陣列封裝(BGA)連接件、焊料球、金屬柱、受控塌陷晶片連接(C4)凸塊、微凸塊、化學鍍鎳鈀浸金技術(ENEPIG)形成的凸塊,或類似者。導電連接件312可包含導電材料,諸如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物或其組合。在一些實施例中,導電連接件312藉由經由蒸鍍、電鍍、列印、焊料轉移、植球或類似者初始地形成焊料層來形成。一旦焊料層已形成於結構上,便可執行回焊以便將材料塑形成所要凸塊形狀。在另一實施例中,導電連接件312包括藉由濺鍍、列印、電鍍、無電極電鍍、CVD或類似者形成的金屬柱(諸如銅柱)。金屬柱可不含焊料且具有實質上垂直的側壁。在一些實施例中,金屬頂蓋層形成在金屬柱的頂部上。金屬頂蓋層可包含鎳、錫、錫鉛、金、銀、鈀、銦、鎳鈀金、鎳金、類似物或其組合,且可由鍍覆製程形成。在一些實施例中,在形成導電連接件312之前,UBM(圖中未繪示)形成在導電線105A上。
圖18示出根據一些實施例的積體電路封裝350至導電連接件312以形成封裝結構300的附接。積體電路封裝350實體連接且電連接至導電連接件312,以形成積體電路封裝350與重佈線結構100之間的電連接。可使用諸如取放製程的適合製程來將積體電路封裝350置放於導電連接件312上。圖18繪示一個積體電路封裝350的附接,但在其他實施例中,一個、兩個或大於三個積體電路封裝350可附接至導電連接件312。在一些實施例中,附接至導電連接件312的積體電路封裝350可包含相同類型的積體電路封裝中的大於一者或可包含兩種或大於兩種不同類型的積體電路封裝。圖18示出單一化之後的封裝結構300,可在形成製程期間在任何適合的先前步驟處執行單一化。在一些實施例中,封裝結構300的相對側之間的側向距離介於約30毫米與約500毫米之間,但其他距離也是可能的。
在一些實施例中,積體電路封裝350可包含一或多個積體電路晶粒352。圖18的橫截面圖繪示三個積體電路晶粒352A至積體電路晶粒352C,但積體電路封裝350可包含比所繪示更多或更少的積體電路晶粒352。積體電路晶粒352可包括例如:邏輯晶粒(例如中央處理單元(central processing unit;CPU)、圖形處理單元(graphics processing unit;GPU)、系統晶片(system-on-a-chip;SoC)、組件晶片(component-on-a-wafer;CoW)、應用程式處理器(application processor;AP)、微控制器等);記憶體晶粒(例如動態隨機存取記憶體(dynamic random access memory;DRAM)晶粒、靜態隨機存取記憶體(static random access memory;SRAM)晶粒等);功率管理晶粒(例如功率管理積體電路(power management integrated circuit;PMIC)晶粒);射頻(radio frequency;RF)晶粒;感測器晶粒;微機電系統(micro-electro-mechanical-system;MEMS)晶粒;訊號處理晶粒(例如數位訊號處理(digital signal processing;DSP)晶粒);前端晶粒(例如類比前端(analog front-end;AFE)晶粒);輸入輸出(input-output;I/O)晶粒;類似物;或其組合。舉例而言,在一些實施例中,圖18中所繪示的積體電路封裝350包含邏輯晶粒352B以及與邏輯晶粒352B介接的多個I/O晶粒352A及I/O晶粒352C,但積體電路晶粒352的其他組合也是可能的。積體電路晶粒352可為記憶體裝置,諸如混合記憶體立方體(hybrid memory cube;HMC)模組、高頻寬記憶體(high bandwidth memory;HBM)模組或包含多個記憶體晶粒的類似物。積體電路晶粒352可形成於一或多個晶圓中,所述一或多個晶圓可包含在後續步驟中單一化的不同元件區。積體電路晶粒352可使用已知製造技術封裝有其他類似或不同積體電路晶粒352。
積體電路封裝350可包含佈線結構354,其提供例如積體電路晶粒352之間的電佈線及連接。佈線結構354亦可提供自積體電路封裝350至導電連接件312的連接。佈線結構354可包括一或多個重佈線層、積體扇出型結構(integrated fan-out structure;InFO)、基板穿孔(through-substrate via;TSV)、金屬化圖案、電佈線、導電線、導通孔、類似物或其組合。
積體電路封裝350可置放為使得積體電路封裝350的導電區(例如接墊、導電連接件、焊料凸塊或類似者,其可為佈線結構354的部分)與重佈線結構100上的對應導電連接件312對準。一旦實體接觸,便可利用回焊製程來將導電連接件312接合至半導體元件350,從而形成封裝結構300。如圖18中所繪示,底部填充料314可沉積在積體電路封裝350與重佈線結構100之間。底部填充料314亦可至少部分地包圍導電連接件312。底部填充料314可為諸如模製化合物、環氧樹脂、底部填充料、模製底部填充料(MUF)、樹脂或類似物的材料,且可與先前描述的底部填充料224類似。
在一些情況下,封裝結構300的多個內連線結構200可產生佈線結構354內的應力。將一或多個內部支撐件110併入在重佈線結構100內亦可減小佈線結構354內的此應力。以此方式,可減小諸如佈線結構354內的彎曲或開裂的問題風險。在一些情況下,內部支撐件110可併入在重佈線結構100內,以減小重佈線結構100及佈線結構354兩者內的應力。在一些實施例中,內部支撐件110可併入在重佈線結構100的特定層內,以減小佈線結構354內的應力。在一些實施例中,多個內部支撐件110可併入在重佈線結構100的不同層上,以減小重佈線結構100及佈線結構354兩者內的應力。在一些情況下,積體電路封裝350的多個積體電路晶粒352可引起重佈線結構100及/或佈線結構354內的應力。內部支撐件110亦可併入在重佈線結構100內,以減小自多個積體電路晶粒352(下文針對圖29至圖30B更詳細地描述)的應力。
仍參考圖18,外部連接件316可形成於內連線結構200上。在一些實施例中,UBM首先形成在內連線結構200上,且外部連接件316形成在UBM上方。舉例而言,外部連接件316可為接觸凸塊或焊料球,但可利用任何適合類型的連接件。在外部連接件316為接觸凸塊的實施例中,外部連接件316可包含諸如錫的材料,或其他適合材料,諸如銀、無鉛錫或銅。在外部連接件316為焊料凸塊的實施例中,外部連接件316可藉由最初使用諸如蒸鍍、電鍍、列印、焊料轉移、植球等此類技術形成焊料層來形成。一旦焊料層已形成在結構上,便可執行回焊以便將材料塑形成外部連接件316所要的凸塊形狀。在一些實施例中,外部連接件316可具有介於約100微米與約1,500微米之間的間距,但其他距離也是可能的。以此方式,可形成封裝結構300。
在一些實施例中,視情況選用的支撐環320附接至封裝結構300以提供進一步機械支撐,從而減少封裝結構300的翹曲。支撐環320可藉由黏著劑、黏著膜或類似物附接至封裝結構300。支撐環320可為諸如金屬的材料,但可使用其他材料。在一些情況下,支撐環320的外部邊緣可與封裝結構300的側壁齊平。支撐環320可具有介於約50微米與約1,500微米之間的厚度,但其他厚度也是可能的。
圖19至圖26示出根據一些實施例的封裝結構400(參看圖26)的形成中的中間步驟。封裝結構400與圖18中所繪示的封裝結構300類似,不同之處在於重佈線結構402包含使用不同技術形成的第一重佈線層408A及第二重佈線層408B。在一些情況下,使用不同技術形成第二重佈線層408B可改善電氣效能,下文更詳細地描述。封裝結構400包含重佈線結構402內的增加結構穩定性且減少翹曲的一或多個內部支撐件110(參看圖20)。在後續步驟中,多個內連線結構200(參看圖25)附接至重佈線結構402。圖19至圖25中所繪示的內連線區200'及內連線區200'指示隨後附接內連線結構200(參看圖25)的區,且每一內連線區200'具有與對應內連線結構200相同的尺寸。
圖19示出根據一些實施例的形成在第一載體基板102上方的第一重佈線層408A的形成。圖19中所繪示的第一重佈線層408A可與圖4中所繪示的第一重佈線層108A類似,且可以類似方式形成。舉例而言,第一重佈線層408A包含多個導電線105A至導電線105E、多個介電層106A至介電層106D以及多個導通孔107A至導通孔107D。第一重佈線層408A經繪示為說明性實例,且其他實施例中可使用更多或更少的導電線、介電層及/或導通孔。
在圖20中,根據一些實施例,一或多個內部支撐件110附接至第一重佈線層408A。內部支撐件110可與針對圖6及本文中的其他地方所描述的內部支撐件110類似。黏著劑109可用以將內部支撐件110附接至第一重佈線層408A。可使用取放技術或類似技術來置放內部支撐件110。
圖21至圖24示出根據一些實施例的重佈線結構402的第二重佈線層408B(參看圖24)的形成中的中間步驟。第二重佈線層408B包含金屬化圖案405A至金屬化圖案405B以及介電層406A至介電層406B。第二重佈線層408B可具有與所繪示不同數目的金屬化圖案或介電層。若第二重佈線層408B中的更少重佈線層待形成,則可省略下文所論述的一些步驟及製程。若更多重佈線層待形成,則可重複下文所論述的一些步驟及製程。
在圖21中,介電層406A形成在介電層106D、導電線105E以及內部支撐件110上。在一些實施例中,介電層406A由可使用微影製程圖案化的感光性材料形成,諸如PBO、聚醯亞胺、BCB或類似物。介電層406A可藉由旋塗、疊層、CVD、類似者或其組合形成。在圖22中,介電層406A接著經圖案化以形成暴露導電線105E的部分的開口。圖案化可藉由可接受製程進行,諸如在介電層406A為感光性材料時藉由暴露於光且顯影介電層406A或在介電層406A並不為感光性時藉由使用例如非等向性蝕刻來進行蝕刻。
在圖23中,根據一些實施例,金屬化圖案405A形成在介電層406A上方。金屬化圖案405A包含沿著介電層406A的主表面延伸且延伸穿過介電層406A以實體耦接且電耦接至底層導電層(例如導電線105E)的導電元件。作為形成金屬化圖案405A的實例,晶種層形成在介電層406A上方以及穿過介電層406A延伸至導電線105E的開口中。在一些實施例中,晶種層為金屬層,其可為單層或包括由不同材料形成的多個子層的複合層。在一些實施例中,晶種層包括鈦層及所述鈦層上方的銅層。可使用例如PVD或類似者形成晶種層。光阻接著形成於晶種層上且在晶種層上經圖案化。光阻可藉由旋塗或類似者形成且可暴露於光且經顯影以用於圖案化。圖案化形成穿過光阻的開口以暴露晶種層,其中開口的圖案對應於金屬化圖案405A。導電材料接著形成在光阻的開口中以及晶種層的暴露部分上。導電材料可藉由諸如電鍍或無電極電鍍的鍍覆或類似者形成。導電材料可包括金屬,比如銅、鈦、鎢、鋁或類似物。導電材料的組合及晶種層的底層部分形成金屬化圖案405A。移除光阻以及晶種層上未形成導電材料的部分。可藉由可接受的灰化或剝離製程,諸如使用氧電漿或類似者來移除光阻。一旦移除光阻,便諸如藉由使用可接受的蝕刻製程(諸如藉由濕式蝕刻或乾式蝕刻)來移除晶種層的暴露部分。介電層406A及金屬化圖案405A的組合形成第二重佈線層408B中的重佈線層。
在圖24中,形成介電層406B及金屬化圖案405B。可使用與介電層406A及金屬化圖案405A類似的材料及技術形成介電層406B及金屬化圖案405B。在一些實施例中,第二重佈線層408B的介電層中的一些或全部可比第一重佈線層408A的介電層薄。在一些實施例中,第二重佈線層408B的介電層(例如介電層406A或介電層406B)中的一或多者可具有與第二重佈線層408B的其他介電層不同的厚度。舉例而言,介電層406B可比介電層406A薄。在一些實施例中,第二重佈線層408B的介電層各自具有介於約2微米至約15微米範圍內的厚度,但其他厚度也是可能的。
在一些實施例中,第二重佈線層408B的金屬化圖案中的一些可具有與第二重佈線層408B的底層金屬化圖案不同的大小。舉例而言,金屬化圖案405A可比金屬化圖案405B更寬或更厚。在一些實施例中,第二重佈線層408B的金屬化圖案具有與第一重佈線層408A的導電線不同的大小。舉例而言,第一重佈線層408A的導電線及/或導通孔可比第二重佈線層408B的金屬化圖案的導電線及/或通孔更寬或更厚,藉此允許更長的水平佈線。
在一些實施例中,更長的線性電路徑使用更厚及/或更寬的金屬化尺寸形成在第一重佈線層408A中,而第二重佈線層408B具有整體上更短的線性電路徑。在一些實施例中,第一重佈線層408A的平坦化層的粗糙度可極好地受控且更易於累積至更大厚度。在此情況下,平坦化層中可利用更厚及更寬的金屬化尺寸,以減小每單位長度的金屬化路徑的整體阻抗,因此改善電路效率且/或減小熱量產生及功率消耗。舉例而言,可藉由增大金屬化圖案的厚度及/或寬度來減小給定金屬化路徑的相同線性長度的電路負荷,因此增大效率且同時減小功率消耗及熱量產生。可藉由利用更厚及/或更寬的金屬化路徑水平地延伸金屬化路徑來利用相同或類似的電路設計實現更大的整體封裝尺寸。
轉而參看圖25,根據一些實施例,內連線結構200A至內連線結構200B附接至重佈線結構100。內連線結構200A至內連線結構200B可與先前針對圖11所描述的內連線結構200類似。內連線結構200A至內連線結構200B可以與先前針對圖12至圖16所描述類似的方式附接至內連線區200'中的重佈線結構402。底部填充料224可沿著內連線結構200A至內連線結構200B的側壁沉積且沉積在內連線結構200A至內連線結構200B與重佈線結構402之間的間隙中。導電連接件220可形成為在重佈線結構402的內連線結構200A至內連線結構200B與最頂部金屬化圖案(例如金屬化圖案405B)之間形成實體連接及電連接。
圖26示出根據一些實施例的積體電路封裝350至重佈線結構402以形成封裝結構400的附接。積體電路封裝350可與先前針對圖18所描述的積體電路封裝350類似,且可以類似方式附接。舉例而言,導電連接件312可形成於重佈線結構402上,其可與針對圖17所描述的導電連接件312類似。積體電路封裝350實體連接且電連接至導電連接件312,以形成積體電路封裝350與重佈線結構402之間的電連接。另外,外部連接件316及/或支撐環320可以先前針對圖18所描述類似的方式形成。
圖27至圖30B示出包含內部支撐件110的封裝結構的各種實施例的橫截面圖及平面圖。圖27至圖30B中所繪示的封裝結構為說明性實例,且在其他實施例中,諸如內部支撐件110的特徵可具有不同的尺寸、數目、組態及/或配置。圖27示出包含與相同內連線結構200側向重疊的多個內部支撐件110的封裝結構500的平面圖。圖27的平面圖與圖15中繪示的平面圖類似。作為一實例,在圖27中,內部支撐件110C及內部支撐件110D被表示為各自與內連線結構200E及內連線結構200F側向重疊。內部支撐件110C及內部支撐件110D藉由可能介於20微米至5000微米範圍內的距離D7側向分隔開,但其他距離也是可能的。在其他實施例中,大於兩個內部支撐件110可與相同內連線結構200側向重疊,或不同對的內連線結構200可具有不同數目個相關聯的內部支撐件110。
內部支撐件110可具有相同尺寸或具有不同尺寸,且內部支撐件可位於重佈線結構100的不同層上。如圖27中所繪示,內部支撐件110可具有不同長度或寬度。舉例而言,在同一重佈線結構100內,一些內部支撐件可具有介於約2毫米至約30毫米範圍內的長度L4,且其他內部支撐件可具有介於約2毫米至約30毫米範圍內的長度L5。在一些實施例中,在同一重佈線結構100內,一些內部支撐件可具有介於約2毫米至約4毫米範圍內的寬度W2,且其他內部支撐件可具有介於約3毫米至約8毫米範圍內的寬度W3。在一些實施例中,同一重佈線結構100內的兩個內部支撐件110可具有介於約1:15至約1:1範圍內的長度L4:L5的比率,或可具有介於約4:1至約3:4範圍內的寬度W3:W2的比率。其他長度、寬度或比率也是可能的。在一些情況下,使用如圖27中所繪示的多個內部支撐件110可允許在內部支撐件110之間形成電佈線,此可改善設計靈活性。在一些情況下,以此方式使用多個內部支撐件110可允許更有效地設定內部支撐件110的大小以減小重佈線結構100(或佈線結構354)內的應力,或允許將所述內部支撐件110置放於重佈線結構100內的更有效地減小應力的位置中。
圖28示出根據一些實施例的封裝結構600的橫截面圖,其包含在重佈線結構100的不同層內的內部支撐件110E-110F。封裝結構600與圖18中所繪示的封裝結構400類似,不同之處在於內部支撐件110位於重佈線結構100的不同層內。如圖28中所繪示,內部支撐件110E位於介電層106E內,且內部支撐件110F位於介電層106F內。內部支撐件110可位於與所繪示不同的重佈線結構100的層內,或不同數目個內部支撐件110可位於與所繪示不同的數目的層內。圖28中所繪示的內部支撐件110E及內部支撐件110F側向重疊,但在其他實施例中,形成在重佈線結構100的不同層上的內部支撐件110可側向分隔開。藉由將內部支撐件110置放在重佈線結構100的多個層內,可實現對重佈線結構100(或佈線結構354)的更大穩定性,並對應力的減小有了改善。
作為一實例,圖28亦示出根據一些實施例的附接至封裝基板650的封裝結構600。在一些實施例中,本文中所描述的其他封裝結構可以類似方式附接至封裝基板。封裝結構600使用外部連接件316附接至封裝基板650。封裝基板650可由半導體材料(例如矽或其他半導體)、絕緣層上半導體(semiconductor-on-insulator;SOI)基板或類似物製成。在一些實施例中,使用與針對圖11所描述的內連線結構200的核心基板202類似的核心基板形成封裝基板650。舉例而言,封裝基板650可為PCB或類似物。封裝基板650可包含主動及/或被動元件(圖中未繪示)。如於本領域具有通常知識者將認識到,諸如電晶體、電容器、電阻器、其組合以及類似者的多種元件可用以產生用於元件堆疊之設計的結構性及功能性要求。可使用任何適合的方法來形成所述元件。
封裝基板650亦可包含金屬化層及通孔(圖中未繪示),以及金屬化層及通孔上方的接合墊652。金屬化層可形成於主動及/或被動元件上方,且經設計以連接各種元件以形成功能電路。在一些實施例中,金屬化層可由介電材料(例如低k介電材料)及導電材料(例如銅)與互連導電材料的層的通孔形成的交替層,且可藉由任何適合的製程(諸如沉積、鑲嵌(damascene)、雙鑲嵌(dual damascene)或類似製程)形成。在一些實施例中,封裝基板650實質上不含主動元件及被動元件。在一些實施例中,外部連接件316經回焊以將封裝結構600附接至接合墊652。外部連接件316將封裝基板650(包含封裝基板650中的金屬化層)電耦接及/或實體耦接至封裝結構600。在一些實施例中,底部填充料(圖中未繪示)可形成在封裝結構600與封裝基板650之間且包圍外部連接件316。底部填充料可在封裝結構600附接之後藉由毛細流動製程形成,或可在封裝結構600附接之前藉由適合的沉積方法形成。
圖29示出根據一些實施例的封裝結構700的橫截面圖,其包含用以減少因積體電路封裝350內的多個積體電路晶粒352A-352C而導致的應力的內部支撐件110G-110I。封裝結構700與圖18中所繪示的封裝結構400類似。在一些情況下,積體電路封裝350內的多個積體電路晶粒352可產生重佈線結構100或佈線結構354內的應力。在一些情況下,最大應力的區可大致與相鄰積體電路晶粒352之間的間隙側向對準。以此方式,可藉由使內部支撐件110與相鄰積體電路晶粒352之間的間隙側向對準或使內部支撐件110對準為使得其與積體電路晶粒352的相鄰對側向重疊來減小應力。此繪示於圖29中,其中內部支撐件110G置放為使得其與積體電路晶粒352A及積體電路晶粒352B側向重疊,且減小因積體電路晶粒352A及積體電路晶粒352B而導致的應力。圖29亦繪示內部支撐件110I,其置放為使得其與積體電路晶粒352B及積體電路晶粒352C側向重疊,且減小因積體電路晶粒352B及積體電路晶粒352C而導致的應力。內部支撐件110可位於與所繪示不同的重佈線結構100的層內,或不同數目個內部支撐件110可位於與所繪示不同的數目的層內。藉由如所描述置放與積體電路晶粒352對準的內部支撐件110,可實現對重佈線結構100(或佈線結構354)的更大穩定性,並對應力的減小作了改善。圖29亦繪示與內連線結構200A及內連線結構200B之間的間隙側向對準的內部支撐件110H,但在其他實施例中,並不存在內部支撐件110。
在一些實施例中,重佈線結構100或佈線結構354內的最大應力可位於內連線結構200之間的間隙大致與積體電路晶粒352之間的間隙側向對準的位置中。舉例而言,內連線結構200之間的間隙可與積體電路晶粒352之間的間隙側向重疊。在此類情況下,內部支撐件110可定位成大致與此等間隙側向對準。此繪示於圖30A至圖30C中,其繪示內連線結構200之間的間隙大致與積體電路封裝350的積體電路晶粒352之間的間隙側向對準的封裝結構800A、封裝結構800B以及封裝結構800C的平面圖。圖30A至圖30C中所繪示的積體電路封裝350可包含例如邏輯晶粒352B以及I/O晶粒352A及I/O晶粒352C,但積體電路晶粒352的其他組合也是可能的。圖30A至圖30C的平面圖與圖15中所繪示的平面圖類似。
在圖30A中,封裝結構800A包含四個內連線結構200以及重佈線結構100內的一個內部支撐件110。每一內連線結構200可具有介於約15毫米乘以15毫米至約75毫米乘以75毫米(諸如約55毫米乘以55毫米)範圍內的尺寸,但其他大小也是可能的。內部支撐件110與內連線結構200之間的間隙及積體電路晶粒352B之間的間隙兩者側向對準。圖30B示出包含九個內連線結構200(一個位於積體電路封裝352下方)及兩個內部支撐件110的封裝結構800B。每一內連線結構200可具有介於約10毫米乘以10毫米至約50毫米乘以50毫米(諸如約36毫米乘以36毫米)範圍內的尺寸,但其他大小也是可能的。每一內部支撐件110定位成與內連線結構200之間的間隙及積體電路晶粒352之間的間隙兩者側向對準。在圖30C中,封裝結構800C包含具有兩種不同大小的四個內連線結構200G至內連線結構200H以及重佈線結構100內的兩個內部支撐件110。較大的內連線結構200G及內連線結構200H可具有介於約10毫米乘以15毫米至約75毫米乘以100毫米(諸如約55毫米乘以76毫米)範圍內的尺寸,但其他大小也是可能的。較小的內連線結構200I及內連線結構200J可具有介於約5毫米乘以15毫米至約50毫米乘以75毫米(諸如約34毫米乘以55毫米)範圍內的尺寸,但其他大小也是可能的。內部支撐件110各自與內連線結構200之間的間隙及積體電路晶粒352之間的間隙兩者側向對準。在一些情況下,以此方式使內部支撐件110與內連線結構200之間的間隙及積體電路晶粒352之間的間隙兩者對準可更有效地減小應力且減小重佈線結構100內使用的內部支撐件110的大小或數目。
其他特徵及製程亦可包含於本文中所描述的各種實施例中。舉例而言,可包含測試結構以輔助3D封裝或3DIC元件的校驗測試。測試結構可包含例如形成於重佈線層中或基板上的測試墊,其允許3D封裝或3DIC的測試、探針及/或探針卡的使用以及類似者。可對中間結構以及最末結構執行校驗測試。另外,本文中所揭露的結構及技術可結合併有對已知良好晶粒的中間校驗的測試方法使用,以增加良率及降低成本。
藉由利用本文中所描述的實施例,可改善元件封裝的效能,且可改善元件封裝的可靠性。可組合本文中所描述的實施例的不同特徵以達成此等及其他益處。在一些情況下,封裝內的多個內連線結構或多個積體電路晶粒可產生封裝內的內部應力,此可導致翹曲及與翹曲相關聯的問題,諸如開裂或分層。本文中所描述的技術包含將一或多個內部支撐件併入在封裝的重佈線結構的一或多個層內以提供結構支撐且減小應力。內部支撐件可置放在重佈線結構內的適合位置中以提供更高效的應力減小。舉例而言,內部支撐件可與相鄰內連線結構或相鄰積體電路晶粒之間的間隙側向對準。此可允許在封裝內使用多個內連線結構而不具有增大的翹曲,此可減少封裝的成本。本文中所描述的技術亦適用於接合各種結構以形成不同類型的封裝。另外,使用如所描述的製程技術可產生改善的良率及改善的連接可靠性,特別是對於具有更大面積的封裝。舉例而言,本文中所描述的技術可減小具有大於80毫米乘以80毫米(例如大於100毫米乘以100毫米)的尺寸的大封裝(諸如積體基板上系統(system on integrated substrate;SoIS)封裝或其他類型的封裝)中的應力。本文中所描述的技術亦可減小具有較細導電特徵(諸如具有小於約2微米的線寬或線間距的導電特徵)的重佈線結構的細線應力。舉例而言,本文中所描述的製程技術可減少翹曲,且因此亦減少與翹曲相關聯的諸如開裂或分層的問題。
在一些實施例中,一種元件包含:重佈線結構,包含導電特徵、介電層以及介電層中的第一介電層內的內部支撐件,其中內部支撐件不含被動元件及主動元件;第一內連線結構,附接至重佈線結構的第一側;第二內連線結構,附接至重佈線結構的第一側,其中第二內連線結構側向鄰近於第一內連線結構,其中內部支撐件與第一內連線結構及第二內連線結構兩者側向重疊。在一實施例中,內部支撐件為陶瓷。在一實施例中,內部支撐件位於介電層中的第二介電層與第三介電層之間。在一實施例中,內部支撐件為多個第一內部支撐件中的第一內部支撐件,其中多個第一內部支撐件位於第一介電層內。在一實施例中,元件包含多個第二內部支撐件,其中多個第二內部支撐件位於介電層中的第四介電層內。在一實施例中,元件包含附接至重佈線結構的第二側的積體電路封裝,其中積體電路封裝包含第一積體電路晶粒及側向鄰近於第一積體電路晶粒的第二積體電路晶粒。在一實施例中,內部支撐件與第一積體電路晶粒及第二積體電路晶粒兩者側向重疊。在一實施例中,元件包含內部支撐件上的晶粒貼合膜。在一實施例中,內部支撐件具有介於15微米至100微米範圍內的厚度。在一實施例中,內部支撐件與第一內連線結構側向重疊介於20微米至500微米範圍內的距離。
在一些實施例中,一種結構包含:多個核心基板,附接至重佈線結構的第一側,其中重佈線結構包含多個第一重佈線層;多個內部支撐件,附接至多個第一重佈線層中的至少一者,其中多個內部支撐件不電連接至多個第一重佈線層;以及多個第二重佈線層,位於多個第一重佈線層上且位於多個內部支撐件上方,其中多個內部支撐件不電連接至多個第二重佈線層;以及積體裝置封裝,附接至重佈線結構的第二側。在一實施例中,多個內部支撐件藉由介於100微米至15,000微米範圍內的垂直距離與多個核心基板垂直分隔開。在一實施例中,第一重佈線層包含第一介電層,其中第二重佈線層包含第二介電層,所述第二介電層為與第一介電層不同的介電材料。在一實施例中,內部支撐件為矽。在一實施例中,內部支撐件與至少兩個各別核心基板側向重疊。在一實施例中,積體裝置封裝包含多個晶粒,且其中內部支撐件與至少兩個各別晶粒側向重疊。
在一些實施例中,一種方法包含:在載體上方形成第一重佈線層;將內部支撐件附接至第一重佈線層,其中內部支撐件為電惰性材料;在第一重佈線層上方以及在內部支撐件上方形成第二重佈線層;將第一內連線結構及第二內連線結構附接至第二重佈線層,其中第一內連線結構藉由間隙與第二內連線結構側向分隔開,其中間隙與內部支撐件側向對準;以及將積體電路晶粒附接至第一重佈線層。在一實施例中,使用與第二重佈線層不同的技術形成第一重佈線層。在一實施例中,方法包含在第一內連線結構及第二內連線結構上沉積底部填充料材料。在一實施例中,使用晶粒貼合膜將內部支撐件附接至第一重佈線層。
前文概述若干實施例的特徵,使得本領域的技術人員可更佳地理解本揭露的態樣。本領域的技術人員應理解,其可易於使用本揭露作為設計或修改用於實現本文中所引入的實施例的相同目的及/或達成相同優點的其他方法及結構的基礎。本領域的技術人員亦應認識到,此類等效構造並不脫離本揭露的精神及範疇,且本領域的技術人員可在不脫離本揭露的精神及範疇的情況下在本文中作出各種改變、替代以及更改。
100、402:重佈線結構 102:第一載體基板 103、304:釋放層 104:保護層 105A~105G:導電線 106A~106F、218、219、406A、406B:介電層 107A~107F:導通孔 108A、408A:第一重佈線層 108B、408B:第二重佈線層 109:黏著劑 110、110C、110D、110E、110F、110G、110H、110I:內部支撐件 111:區 200、200A~200J:內連線結構 200’:內連線區 202:核心基板 207:鈍化層 208、209:佈線層 210:穿孔 211:填充物材料 212、213、354:佈線結構 220、312:導電連接件 224、314:底部填充料 300、400、500、600、700、800A、800B、800C:封裝結構 302:第二載體基板 316:外部連接件 320:支撐環 350:積體電路封裝 352、352A~352C:積體電路晶粒 405A、405B:金屬化圖案 650:封裝基板 652:接合墊 A-A:橫截面 D1、D3:分隔距離 D2:重疊距離 D4、D5、D6、D7:距離 L1、L2、L4、L5:長度 W1、W2、W3:寬度
當結合隨附圖式閱讀時根據以下詳細描述最佳地理解本揭露的態樣。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。事實上,出於論述清楚起見,可任意地增大或減小各種特徵的尺寸。 圖1示出根據一些實施例的形成重佈線結構的第一重佈線層的中間步驟的橫截面圖。 圖2A示出根據一些實施例的形成重佈線結構的第一重佈線層的中間步驟的平面圖。 圖2B及圖2C示出根據一些實施例的形成載體基板上的結構的中間步驟的橫截面圖。 圖3、圖4以及圖5示出根據一些實施例的形成重佈線結構的第一重佈線層的中間步驟的橫截面圖。 圖6示出根據一些實施例的形成重佈線結構中的內部支撐件的中間步驟的橫截面圖。 圖7示出根據一些實施例的形成重佈線結構中的內部支撐件的中間步驟的平面截面圖。 圖8、圖9以及圖10示出根據一些實施例的形成重佈線結構的第二重佈線層的中間步驟的橫截面圖。 圖11示出根據一些實施例的內連線結構的橫截面圖。 圖12、圖13以及圖14示出根據一些實施例的內連線結構至重佈線結構的接合的中間步驟的橫截面圖。 圖15示出根據一些實施例的內連線結構至重佈線結構的接合的中間步驟的平面圖。 圖16、圖17以及圖18示出根據一些實施例的形成封裝結構的中間步驟的橫截面圖。 圖19、圖20、圖21、圖22、圖23以及圖24示出根據一些實施例的形成重佈線結構的第一重佈線層及第二重佈線層的中間步驟的橫截面圖。 圖25及圖26示出根據一些實施例的形成封裝結構的中間步驟的橫截面圖。 圖27、圖28、圖29、圖30A、圖30B以及圖30C示出根據一些實施例的具有內部支撐件的封裝結構的橫截面圖及平面圖。
100:重佈線結構
102:第一載體基板
103:釋放層
104:保護層
111:區
200A、200B:內連線結構
200’:內連線區
220:導電連接件

Claims (20)

  1. 一種元件,包括: 重佈線結構,包括: 多個導電特徵; 多個介電層;以及 內部支撐件,位於所述多個介電層中的第一介電層內,其中所述內部支撐件不含被動元件及主動元件; 第一內連線結構,附接至所述重佈線結構的第一側; 第二內連線結構,附接至所述重佈線結構的所述第一側,其中所述第二內連線結構側向鄰近於所述第一內連線結構,其中所述內部支撐件與所述第一內連線結構及所述第二內連線結構兩者側向重疊。
  2. 如請求項1所述的元件,其中所述內部支撐件包括陶瓷。
  3. 如請求項1所述的元件,其中所述內部支撐件位於所述多個介電層中的第二介電層與第三介電層之間。
  4. 如請求項1所述的元件,其中所述內部支撐件為多個第一內部支撐件中的第一內部支撐件,其中所述多個第一內部支撐件位於所述第一介電層內。
  5. 如請求項4所述的元件,更包括多個第二內部支撐件,其中所述多個第二內部支撐件位於所述多個介電層中的第四介電層內。
  6. 如請求項1所述的元件,更包括附接至所述重佈線結構的第二側的積體電路封裝,其中所述積體電路封裝包括第一積體電路晶粒及側向鄰近於所述第一積體電路晶粒的第二積體電路晶粒。
  7. 如請求項6所述的元件,其中所述內部支撐件與所述第一積體電路晶粒及所述第二積體電路晶粒兩者側向重疊。
  8. 如請求項1所述的元件,更包括所述內部支撐件上的晶粒貼合膜。
  9. 如請求項1所述的元件,其中所述內部支撐件具有介於15微米至100微米範圍內的厚度。
  10. 如請求項1所述的元件,其中所述內部支撐件與所述第一內連線結構側向重疊介於20微米至500微米範圍內的距離。
  11. 一種結構,包括: 多個核心基板,附接至重佈線結構的第一側,其中所述重佈線結構包括: 多個第一重佈線層; 多個內部支撐件,附接至所述多個第一重佈線層中的至少一者,其中所述多個內部支撐件不電連接至所述多個第一重佈線層;以及 多個第二重佈線層,位於所述多個第一重佈線層上且位於所述多個內部支撐件上方,其中所述多個內部支撐件不電連接至所述多個第二重佈線層;以及 積體裝置封裝,附接至所述重佈線結構的第二側。
  12. 如請求項11所述的結構,其中所述多個內部支撐件藉由介於100微米至15,000微米範圍內的垂直距離與所述多個核心基板垂直分隔開。
  13. 如請求項11所述的結構,其中所述多個第一重佈線層包括多個第一介電層,其中所述多個第二重佈線層包括多個第二介電層,所述多個第二介電層為與所述多個第一介電層不同的介電材料。
  14. 如請求項11所述的結構,其中所述多個內部支撐件中的所述內部支撐件為矽。
  15. 如請求項11所述的結構,其中所述多個內部支撐件中的所述內部支撐件與所述多個核心基板中的至少兩個相應核心基板側向重疊。
  16. 如請求項11所述的結構,其中所述積體裝置封裝包括多個晶粒,且其中所述多個內部支撐件中的所述內部支撐件與所述多個晶粒中的至少兩個相應晶粒側向重疊。
  17. 一種方法,包括: 在載體上方形成多個第一重佈線層; 將內部支撐件附接至所述多個第一重佈線層,其中所述內部支撐件為電惰性材料; 在所述多個第一重佈線層上方以及在所述內部支撐件上方形成多個第二重佈線層; 將第一內連線結構及第二內連線結構附接至所述多個第二重佈線層,其中所述第一內連線結構藉由間隙與所述第二內連線結構側向分隔開,其中所述間隙與所述內部支撐件側向對準;以及 將多個積體電路晶粒附接至所述多個第一重佈線層。
  18. 如請求項17所述的方法,其中使用與所述多個第二重佈線層不同的技術形成所述多個第一重佈線層。
  19. 如請求項17所述的方法,更包括在所述第一內連線結構及所述第二內連線結構上沉積底部填充料的材料。
  20. 如請求項17所述的方法,其中使用晶粒貼合膜將所述內部支撐件附接至所述多個第一重佈線層。
TW110110732A 2020-05-29 2021-03-24 半導體元件及製造方法 TWI769758B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063031679P 2020-05-29 2020-05-29
US63/031,679 2020-05-29
US17/097,206 US11894318B2 (en) 2020-05-29 2020-11-13 Semiconductor device and method of manufacture
US17/097,206 2020-11-13

Publications (2)

Publication Number Publication Date
TW202213692A true TW202213692A (zh) 2022-04-01
TWI769758B TWI769758B (zh) 2022-07-01

Family

ID=77570567

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110110732A TWI769758B (zh) 2020-05-29 2021-03-24 半導體元件及製造方法

Country Status (5)

Country Link
US (2) US11784140B2 (zh)
KR (1) KR102506101B1 (zh)
CN (1) CN113380746A (zh)
DE (1) DE102020130962A1 (zh)
TW (1) TWI769758B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10163802B2 (en) * 2016-11-29 2018-12-25 Taiwan Semicondcutor Manufacturing Company, Ltd. Fan-out package having a main die and a dummy die, and method of forming
US11948899B2 (en) * 2021-11-04 2024-04-02 Dyi-chung Hu Semiconductor substrate structure and manufacturing method thereof

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100969326B1 (ko) * 2007-09-18 2010-07-09 삼성전기주식회사 다층 인쇄회로기판 및 그 제조방법
US20090079083A1 (en) * 2007-09-26 2009-03-26 United Microelectronics Corp. Interconnect structure and fabricating method of the same
US8836127B2 (en) * 2009-11-19 2014-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect with flexible dielectric layer
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
US9087832B2 (en) * 2013-03-08 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Warpage reduction and adhesion improvement of semiconductor die package
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9472533B2 (en) * 2013-11-20 2016-10-18 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming wire bondable fan-out EWLB package
JP2015146346A (ja) * 2014-01-31 2015-08-13 イビデン株式会社 多層配線板
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9786623B2 (en) * 2015-03-17 2017-10-10 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming PoP semiconductor device with RDL over top package
US9666502B2 (en) 2015-04-17 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Discrete polymer in fan-out packages
US9461018B1 (en) 2015-04-17 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out PoP structure with inconsecutive polymer layer
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
JP6625872B2 (ja) * 2015-11-25 2019-12-25 新光電気工業株式会社 配線基板及び配線基板の製造方法
US9806059B1 (en) * 2016-05-12 2017-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US10529690B2 (en) * 2016-11-14 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
CN106876364A (zh) * 2017-03-15 2017-06-20 三星半导体(中国)研究开发有限公司 半导体封装件及其制造方法
US11328992B2 (en) * 2017-09-27 2022-05-10 Intel Corporation Integrated circuit components with dummy structures
US11171090B2 (en) * 2018-08-30 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
DE102019113476A1 (de) * 2018-08-30 2020-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-bauelement und verfahren zu dessen herstellung
KR102163059B1 (ko) * 2018-09-07 2020-10-08 삼성전기주식회사 연결구조체 내장기판
US11069630B2 (en) * 2018-09-21 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structures and methods for reducing thermal expansion mismatch during integrated circuit packaging
KR102538181B1 (ko) * 2018-10-24 2023-06-01 삼성전자주식회사 반도체 패키지
US10971446B2 (en) * 2018-11-30 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11217546B2 (en) * 2018-12-14 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded voltage regulator structure and method forming same
US20200243449A1 (en) * 2019-01-30 2020-07-30 Powertech Technology Inc. Package structure and manufacturing method thereof
US11380620B2 (en) * 2019-06-14 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including cavity-mounted device
US11600573B2 (en) * 2019-06-26 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with conductive support elements to reduce warpage
US11049802B2 (en) * 2019-07-18 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11244879B2 (en) * 2019-09-26 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11355428B2 (en) * 2019-09-27 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11145614B2 (en) * 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11462418B2 (en) * 2020-01-17 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11614592B2 (en) * 2020-01-22 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11215753B2 (en) * 2020-02-27 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
US11393746B2 (en) * 2020-03-19 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Reinforcing package using reinforcing patches
US11244939B2 (en) * 2020-03-26 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11545406B2 (en) * 2020-10-08 2023-01-03 Advanced Semiconductor Engineering, Inc. Substrate structure, semiconductor package structure and method for manufacturing a substrate structure

Also Published As

Publication number Publication date
DE102020130962A1 (de) 2021-12-02
US20220359427A1 (en) 2022-11-10
CN113380746A (zh) 2021-09-10
US20230369249A1 (en) 2023-11-16
KR20210148846A (ko) 2021-12-08
US11784140B2 (en) 2023-10-10
KR102506101B1 (ko) 2023-03-06
TWI769758B (zh) 2022-07-01

Similar Documents

Publication Publication Date Title
US11177201B2 (en) Semiconductor packages including routing dies and methods of forming same
US20220352096A1 (en) Semiconductor device and method of manufacture
US11749644B2 (en) Semiconductor device with curved conductive lines and method of forming the same
US20210366877A1 (en) Semiconductor device and method of manufacture
US11482484B2 (en) Symmetrical substrate for semiconductor packaging
US11984372B2 (en) Integrated circuit package and method
US20230369249A1 (en) Semiconductor Device and Method of Manufacture
US12002767B2 (en) Integrated circuit package and method
US20230386866A1 (en) Semiconductor Package and Method of Forming Thereof
US20220359465A1 (en) Package structures and method for forming the same
TWI771870B (zh) 半導體封裝及其形成方法
US20240021510A1 (en) Symmetrical substrate for semiconductor packaging
CN112687665A (zh) 半导体器件及其形成方法
TW202038396A (zh) 積體電路封裝體及其製造方法
US11894318B2 (en) Semiconductor device and method of manufacture
TW202234646A (zh) 半導體裝置及其形成方法
US11830859B2 (en) Package structures and method for forming the same
US11652037B2 (en) Semiconductor package and method of manufacture
KR102473590B1 (ko) 반도체 디바이스 및 방법
US20230253368A1 (en) Semiconductor Device and Method of Manufacture